28
ASML’s customer magazine | 2011 Winter Edition TWINSCAN NXT extends immersion performance EUV is in customers’ hands Holistic Litho improves on-product overlay

Asml 20110318 ASML Images Winter Edition 2011

Embed Size (px)

Citation preview

Page 1: Asml 20110318 ASML Images Winter Edition 2011

ASML’s customer magazine | 2011 Winter Edition

TWINSCAN NXT extends immersion performance

EUV is in customers’ hands

Holistic Litho improves on-product overlay

Page 2: Asml 20110318 ASML Images Winter Edition 2011

2

images | Colofon

Editorial Board

Lucas van Grinsven, Peter Jenkins

Managing Editor

Ryan Young

Contributing Writers

Matthew McLaren, Ron Schuurhuis, Stuart Young,

Keith Gronlund, Frank Driessen, Bernardo Kastrup,

Henk Niesing, Angelique Nachtwein, Hans Bakker,

Kaustuve Bhattacharyya, Arie den Boef

and Rutger Voets

Circulation

Emily Leung, Michael Pullen, Shirley Wijtman

For more information, please see:

www.asml.com/images

© 2010, ASML Holding BV

ASML, ASM Lithography, TWINSCAN, PAS 5500,

PAS 5000, SA 5200, ATHENA, QUASAR, IRIS, ILIAS,

FOCAL, Micralign, Micrascan, 3DAlign, 2DStitching,

3DMetrology, Brion Technologies, LithoServer,

LithoGuide, Scattering Bars, LithoCruiser, Tachyon

2.0, Tachyon RDI, Tachyon LMC, Tachyon OPC+,

LithoCool, AGILE, ImageTuner, EFESE, Feature Scan,

T-ReCS and the ASML logo are trademarks of ASML

Holding N.V. or of affiliate companies. The trademarks

may be used either alone or in combination with

a further product designation. Starlith, AERIAL,

and AERIAL II are trademarks of Carl Zeiss. TEL is

a trademark of Tokyo Electron Limited. Sun, Sun

Microsystems, the Sun Logo, iForce, Solaris, and the

Java logo are trademarks or registered trademarks of

Sun Microsystems, Inc. in the United States and other

countries. Bayon is a trademark of Kureha Chemical

Industry Co. Ltd. Nothing in this publication is intended

to make representations with regard to whether any

trademark is registered or to suggest that any sign

other than those mentioned should not be considered

to be a trademark of ASML or of any third party.

ASML lithography systems are Class 1 laser products.

6 10 243 Editor’s note

4 ASML in the News

6 More Good Wafers

10 EUV is in customers’ hands

12 Tachyon NXE: The speed you want,

the accuracy you need

17 New light on cooperation

20 Wave hello to larger process windows

24 Stellar metrology accuracy boosts

on-product overlay

27 PAS 5500 steppers bring new

benefits to the LED market

Page 3: Asml 20110318 ASML Images Winter Edition 2011

3

ASML Images, Winter Edition 2011

Editor’s note

As I write this, 2010 is coming to a close and

I can’t help but reflect on what a year it’s

been in the semiconductor industry. Who

would have thought that this industry, which

nearly came to a standstill in early 2009,

would rebound so quickly and so strongly?

2010 semiconductor industry growth looks

to finish north of 30%, and while specific

figures vary, industry analysts agree that

growth is expected to continue in 2011.

Much of this growth is, and will continue to

be driven by the mobile internet, specifically

tablets and smartphones. Apple’s iPad

has been wildly successful with an

estimated 13 million units sold in its first

year, while unit forecasts for 2011 are

upwards of 40 million. More importantly,

the iPad launched the “tablet revolution”

which is quickly being joined by numerous

competing products, notably the Samsung

Galaxy Tab, and tablets from Toshiba

and Dell. Meanwhile, IDC forecasts the

smartphone market to grow by 14% in

2010 and 24.5% in 2011.

These technology revolutions are made

possible of course by chipmakers’

adherence to Moore’s Law. Shrink remains

the most efficient way to drive down

manufacturing costs while at the same time

decreasing device size, reducing power

requirements, and improving performance.

At ASML our aim is to support you in your

shrink roadmap by providing the lithography

solutions you need today and tomorrow,

whether you opt for immersion supported

by advanced applications and integrated

metrology, or EUV, or a combination of both.

It appears that double-patterning down

to just under 20-nm half-pitch will be

possible using 193-nm immersion

lithography but at the expense of design

restrictions and extra processing steps.

Our TWINSCAN NXT platform is rising

to the occasion. NXT systems are now

leaving the factory at 175 wph, and doing

so with improved imaging and overlay

performance.

Adding a FlexRay programmable

illuminator to your NXT system takes your

imaging performance to new levels by

giving you complete and rapid control

of pupil shape dynamics. Much faster

than Diffractive Optical Elements (DOEs),

FlexRay improves imaging while saving

you time and money. In addition, our new

FlexWave product allows you to create

almost any wave front in the projection

lens of your NXT:1950i system. You can

use these wave fronts to compensate for

aberrations and lens heating effects, or to

optimize the wave front mask effects. The

end result is better on-product overlay and

focus control – for larger process windows.

Apart from ongoing innovations on the

scanner itself, ASML continues to develop

complimentary products and services

to improve overall litho performance

through Holistic Lithography solutions.

Eclipse packages leverage our in-depth

cooperation to deliver customer-specified

on-product performance. Through Eclipse

you can move through your development

and ramp-up stages faster and reach

a higher line yield. Another key aspect

of Holistic Lithography is the robust

post-patterning metrology solution

available with YieldStar. YieldStar

provides unrivalled overlay measurement

accuracy, reveals the root cause of

process drift faster and implements

higher-order process control.

Looking forward, imaging below 20-nm

half-pitch will require EUV lithography.

ASML’s second-generation EUV system,

the NXE:3100 has begun shipping to

customers. We will build a total of six

of the NXE:3100 systems which will be

used for pre-production and EUV process

development. We’re already investing

in the third-generation systems; the

NXE:3300B will start to ship to customers

in 2012. Our Brion division is preparing

computational lithography solutions for

EUV volume production. Tachyon NXE

was announced in September and will

reduce both the development time and

cost to produce chips on EUV systems.

At ASML, we appreciate how busy you

must be during this steep upturn and we

want to assure you that we too are fully

engaged and committed to supporting

your current business while planning to

meet your future roadmap requirements.

We’re grateful for your business and for

the strong recovery we’ve all experienced

in 2010. I hope you have a happy and

prosperous 2011.

Regards,

Ryan Young

It’s an exciting time in the semiconductor industryBy Ryan Young, Senior Manager Communications

Page 4: Asml 20110318 ASML Images Winter Edition 2011

ASML in the News

4

ASMLin the News

4

Chip Makers Adopt ASML’s Holistic Lithography to Continue Moore’s LawSan Francisco, Calif., July 13, 2010 - At SEMICON West ASML announced broad

customer adoption of holistic lithography products which optimize semiconductor

scanner performance and provide a faster start to chip production. 100% of ASML’s

leading-edge scanners are now sold with one or more holistic lithography components.

Semiconductor manufacturers face increasingly smaller margins of error as they shrink

chip features. Holistic lithography provides a way to shrink within these margins to

continue Moore’s Law.

Introduced a year ago at SEMICON West 2009 ASML’s holistic lithography suite of

products enable continued shrink and provide customers with higher yield, sooner.

Holistic lithography integrates computational lithography, wafer lithography and process

control to optimize production tolerances and reduce “time to money” for chip makers.

All of our customers have adopted multiple products from the holistic product portfolio

into research & development (R&D) as well as volume manufacturing. Products like

Source Mask Optimization (SMO), FlexRay, LithoTuner, Baseliner and YieldStar are

in use worldwide.

ASML also offers holistic lithography as an integrated package called EclipseTM,

which is tailored to a specific customer, node and application, and which enables chip

makers to squeeze every last bit of performance out of the chip making process and to

enter volume production at the earliest possible time. A significant number of ASML’s

advanced customers have adopted an integrated Eclipse package.

ASML TWINSCAN Systems Surpass 4,000 Wafers Per Day Milestone Tokyo, Japan, December 1, 2010 –

ASML announced that two chipmakers

using TWINSCAN semiconductor

lithography scanners have set a new

productivity record of imaging more

than 4,000 silicon wafers within 24 hours.

The milestone has been reached by

an XT:870 and an XT:400, which are in

operation at two different customer sites

in Asia and which have raised the bar

for 300mm lithography productivity.

ASML and its customers are mutually

engaged to continuously increase the

value of ASML scanners by pushing to

increase their productivity. ASML strives

to develop improvements to scanner

hardware and software while chipmakers

fine-tune their chip recipes and

manufacturing processes.

Page 5: Asml 20110318 ASML Images Winter Edition 2011

5

ASML Images, Winter Edition 2011

For complete information regarding these press announcements, please refer to the press section of www.asml.com.

ASML Immersion Systems Reach ‘Million Wafer Club’ Status at Korean Memory Manufacturers Veldhoven, the Netherlands, May 26, 2010 - ASML announced that four

TWINSCAN XT:1900Gi lithography systems have joined ASML’s “One Million Wafer Club”

of scanners that have processed more than one million silicon wafers within 12 months,

underlining the importance and acceptance of this advanced technology in mainstream

chip manufacturing.

The four XT:1900Gi systems, the first immersion scanners to reach the million wafer

milestone, operate at two Korean chipmakers in three different facilities in two countries.

Several more immersion systems at these sites are expected to reach this same

milestone in the next few months. The XT:1900Gi immersion systems join an additional

161 “dry” systems in the One Million Wafer Club.

ASML and Brion Unveil Software that will Optimize EUV Manufacturing of the Most Advanced ChipsMonterey, Calif., September 14, 2010 - Next generation semiconductor production

will be facilitated by a new product introduced by Brion Technologies, a division of

ASML. Brion’s new Tachyon NXE provides accurate predictive modeling specifically

for ASML Extreme Ultraviolet (EUV) scanners, the upcoming technology for the

chip industry which will enable smaller, faster, cheaper and more energy-efficient

semiconductors. The accurate EUV modeling in Tachyon NXE will reduce both the

development time and cost to produce chips on EUV systems.

The Tachyon NXE software package seamlessly integrates with existing Tachyon

products to enable the simulation of the EUV lithography process. In developing Tachyon

NXE, Brion has incorporated TWINSCAN NXE:3100 scanner characteristics, models,

and data to accurately describe the optical performance of the system. By simulating the

behavior of the new scanner in software, this Tachyon NXE model can efficiently predict

and correct NXE-specific effects before the start of chip production, helping to decrease

EUV mask re-spins and shorten the learning cycles during final mask development.

Page 6: Asml 20110318 ASML Images Winter Edition 2011

6

More Good Wafers: Increased productivity doesn ’t need to cost performance By Matthew McLaren, Director of Product Management, and Ron Schuurhuis, Product Manager

Page 7: Asml 20110318 ASML Images Winter Edition 2011

7

ASML Images, Winter Edition 2011

have a negative effect on imaging and

overlay if the motion control of the stage

is not adapted to compensate for the

more aggressive stage parameters. In

addition to the inherent stiffness in the

hardware design of the wafer stage,

positioning errors in x, y (overlay) and z

(imaging) can be suppressed by adapting

the sensitivity of the servo controller to

the changing frequency spectrum at

different wafer stage settings. In the case

of the most recent productivity upgrade

of the TWINSCAN NXT:1950i to 175wph,

the revised servo tuning delivered at

least comparable imaging and overlay

performance when compared with lower

productivity settings ensuring more good

wafers out. See Fig 1

More Good Wafers: Increased productivity doesn ’t need to cost performance By Matthew McLaren, Director of Product Management, and Ron Schuurhuis, Product Manager

TWINSCAN NXT:1950i systems are now

leaving the factory operating at 175 wafers

per hour (wph) and field upgrades for

systems already shipped are ongoing.

Interestingly, the upgraded productivity

comes with improvements to the dynamic

performance of the stage, maintaining

imaging and overlay performance even at

these higher throughputs.

Imaging

Preventing imaging and overlay

performance degradation when increasing

throughput is a key challenge in the

evolution of any scanner and all the

more challenging on immersion systems.

Increased wafer stage acceleration and

reduced settle time in particular can

Abstract | With more than two dozen

systems in volume production at fabs

around the world, and more than 5 million

wafers processed to date the TWINSCAN

NXT:1950i is helping chipmakers

produce next-generation devices. Recent

improvements, systems extensions

and upgrades to the NXT:1950i provide

enhanced imaging, overlay and throughput

for nodes as small as 22-nm.

Page 8: Asml 20110318 ASML Images Winter Edition 2011

8

0.00

5.00

Spec Spec10.00

15.00

20.00

TCX 041 68 o SRCA AIM 5484 79 o SRCA

110w

ph

150w

ph

175w

ph

110w

ph

150w

ph

175w

ph

men

a de

fect

cou

nt

Spec SpecPrinting particles

All Big bubblesOther immersion

Spec (nm)

XT:1900 XT:1950 NXT:1950i110wph

NXT:1950i150wph

NXT:1950i175wph

CDU 40 nm iso

inter field 3.0 1.6 1.5 1.6 1.4 1.2

intra field 1.8 1.0 1.1 0.9 0.6 0.6

CDU 45 nm dense

inter field 3.5 1.7 1.5 1.2 1.1 0.9

intra field 2.5 1.3 1.2 1.2 1.1 1.0

Edge speed optimeiser

LOW LOW LOW LOW HIGH HIGH

Averaged 8 systems 8 systems 1 system 3x

15 systems

7 systems 1 system 3x

Figure 1: Revised servo tuning delivers equal or improved overlay performance at higher throughputs

Figure 2: Better defect performance for higher throughputs and high contact angle material

Big bubbles

Other immersion

Printing particles

Pattern defect performance improves at

higher throughput and for high contact

angle material. Big bubbles, printed

particles and “other defects” are all

reduced at the 175wph spec due to

optimised wafer stage settings. See Fig 2

The NXT:1950i can also leverage new

innovations such as the FlexRay custom

illuminator and FlexWave programmable

wavefront generator.

FlexRay takes your imaging performance

to new levels by giving you complete and

rapid control of pupil shape. During R&D

cycles, tighter pupil specs combined with

instant turn around time of new pupils

enables fast and effective litho process

optimization. When transferring into volume

production the pupil tunability enables

improved tool-to-tool OPC matching and

a faster yield ramp. FlexRay is even more

powerful when used together with Tachyon

SMO for source-mask optimization.

FlexWave allows you to create almost any

wavefront you like in the project lens of

your TWINSCAN NXT:1950i system. You

can use these wavefronts to compensate

for aberrations and lens heating effects, or

to optimize the wave front to suit a specific

mask. The end result is better on-product

overlay and focus control – for larger, more

robust process windows. See Fig 3

Overlay

At 175wph the TWINSCAN NXT:1950i has

demonstrated Dedicated Chuck Overlay

(DCO) of ~2 nm overlay under all test

conditions including single-day, multi-

day, full lot, mixed wafer sequences, etc.

Single Machine Overlay (SMO) of 2.9 nm

has been demonstrated showing system

stability over time. Also a wafer recently

processed during a Factory Acceptance

Test (FAT) became the first with sub 1 nm

overlay showing the further potential of

the NXT platform. See Figs 4-6

4

4.5

3

2.5

2

1.5

1

0.5

0

CDU 40 nm isointra fieldinter field intra fieldinter field

CDU 45 nm iso

Spec (nm)

XT:1950 (mean + Sigma)XT:1900 (mean + Sigma)

NXT:1950i 110 wph

NXT:1950i 175 wphNXT:1950i 150 wph

Page 9: Asml 20110318 ASML Images Winter Edition 2011

9

ASML Images, Winter Edition 2011

Figure 5

Figure 3

target

0

2

4

6

8

1 2 3

YXLot (2.9,2.7)

3 days SMO: 2.9nm

0Lot

2

4

6

8

1 2 3 4 5 6 7 8 9 10 11 12(1 .4 ,1 .0 ) ( 1 .9 ,1 .6 ) (1 .5 ,2 .1 )

YXLot (1.7,1.7)

3 days DCO: 2.1nm

0

2

4

6

8

1 2 3 4

YXLot (1.04,1.11)

1.071.16

0.950.92

1.041.00

1.011.15

0

2

4

6

8

5 10 15 20 25

YXLot (1.04,1.11)

68 fields; filter

Figure 6: a 25 wafer DCO lot at 175wph, showing <2nm over the whole wafer (including the edge fields)

Conclusion

By optimizing the entire system the

improvement of throughput to 175 wph

comes with real value of not only more,

but better wafers. And we’re not finished

yet. A PEP package that will drive

throughput above 200 wph is coming in

2011. The extendibility of the TWINSCAN

NXT platform will continue to meet the

industry roadmap for shrink.

Figure 4

0

2

4

6

8

1 2 3

YXLot (2.9,2.7)

3 days SMO: 2.9nm

0Lot

2

4

6

8

1 2 3 4 5 6 7 8 9 10 11 12(1 .4 ,1 .0 ) ( 1 .9 ,1 .6 ) (1 .5 ,2 .1 )

YXLot (1.7,1.7)

3 days DCO: 2.1nm

Page 10: Asml 20110318 ASML Images Winter Edition 2011

10

EUV is in customers’ handsBy Stuart Young, Senior Product Manager EUV

Abstract | The first TWINSCAN NXE:3100

scanner has been shipped to a customer

and is on schedule to start exposing

wafers before the end of this year. ASML

and its partners are already investing in

next-generation EUV scanners to ensure

the technology is available for mass-market

manufacturing.

Page 11: Asml 20110318 ASML Images Winter Edition 2011

11

ASML Images, Winter Edition 2011

Extreme ultraviolet (EUV) lithography is

now in the hands of ASML’s customers.

The first of our TWINSCAN NXE:3100

scanners has been shipped to a customer

fab. And it is planned to begin exposing

product wafers by the end of December.

Those wafers will be the first EUV wafers

ever exposed on an EUV scanner at a

semiconductor manufacturer’s production

facility. But they won’t be the first EUV

wafers exposed by customers on an

NXE:3100.

Over the last few months, a number

of customers have visited our new

EUV assembly facilities to see a working

NXE:3100 in action and to expose wafers

for themselves. A wide range of device

architectures have been exposed on

the NXE:3100 systems including Flash

and SRAM device images printed at

resolutions down to the 16-nm node.

The NXE:3100 is our second-generation

EUV scanner, following our alpha demo

tools (ADTs) operating at IMEC in

Belgium and CSNE in the USA. It has

a numerical aperture (NA) of 0.25 and

a specified resolution of 27 nm. In all,

six of these scanners will be produced.

Besides the shipped system, four others

are now mechanically complete including

the source and the sixth is in the last

stages of integration. All systems are

currently undergoing performance and

reliability testing.

Strong industry pull

There is a strong demand for production

EUV scanners from manufacturers across

the semiconductor industry. All six of our

NXE:3100 systems were ordered some

time ago, and a number of customers

have already placed orders for our third-

generation EUV scanner – the NXE:3300B.

The NXE:3300B will have an NA of

0.32 and a throughput of 125 wafers per

hour. Planned for delivery in 2012, it will

target volume production at the 22-nm

half-pitch node.

Our optics partner, Carl Zeiss SMT AG,

has already started making the mirror-

based projection lenses that the system

will use. We also have multiple partners

on board to deliver EUV sources.

This early investment in the NXE:3300B

shows that the commitment to EUV of

ASML and its strategic partners is as

strong as the demand from the industry

for this new technology.

Supporting that commitment, ASML

and Brion have released a new version

of the successful Tachyon computation

lithography platform (see article page 12).

Tachyon NXE provides accurate predictive

modeling specifically for the TWINSCAN

NXE:3100 – allowing customers to

optimize volume EUV manufacturing

processes. NXE:3300B scanner models

and further EUV-specific computational

lithography products will be available in

the near future.

The first TWINSCAN NXE:3100 scanner has been

shipped to a customer fab

Figure 1: 0.075µm2 bit cell-size, 78 x 96 nm

minimum pitch

Figure 2: 27nm half pitch flash gate layer across

the imaging slit

Page 12: Asml 20110318 ASML Images Winter Edition 2011

12

Tachyon NXE: The speed you want, the accuracy you needBy Keith Gronlund, Senior Manager Product Marketing and Frank Driessen, Senior Marketing Manager, Eclipse

Page 13: Asml 20110318 ASML Images Winter Edition 2011

13

ASML Images, Winter Edition 2011

Tachyon NXE: The speed you want, the accuracy you needBy Keith Gronlund, Senior Manager Product Marketing and Frank Driessen, Senior Marketing Manager, Eclipse

Abstract | Tachyon NXE is a computational

lithography (c-litho) product that has

recently been released by ASML Brion in

close cooperation with ASML’s TWINSCAN

NXE team. The product is part of the

Holistic Lithography approach of ASML

and provides accurate predictive modeling

of ASML’s Extreme Ultraviolet (EUV)

scanners that are now shipping to

chipmakers. Tachyon NXE meets

customers’ needs for accurate full-field

correction (OPC) and verification for

high-volume manufacturing (HVM).

It addresses several EUV-specific effects

using knowledge of both the mechanical

and optical design of the NXE scanners

as well as their EUV plasma sources.

Hence, Tachyon NXE becomes an

important asset for ASML’s customers

to push the NXE scanners to further

CD shrinks.

Accurate, compact & fast full field EUV

correction & verification

Illuminator pupil support

Tachyon NXE supports all NXE:3100

illumination shapes via a pupil model

dataset from Zeiss. Available illumination

modes are displayed in Fig. 1.

For non-circular-symmetric illumination

profiles, such as dipole illumination, a

through-slit pupil rotation occurs on the

NXE:3100 as shown in Fig. 2. This pupil

rotation will not be present from the

NXE:3300 scanners onwards by design.

Tachyon NXE supports the imaging

effects of this through-slit rotation that

are caused by a slightly reduced overlap

of the diffraction orders. For dense-L/S

applications such as DRAM and FLASH

these effects are small, on the order of

0.4 nm center-edge differences because

the width of the poles and spot positions

were designed to keep maximum overlap

of the diffraction orders.

For non-dense patterning and dipole

illumination, pitch regions exist with

a center-edge CD difference of 3 nm.

OPC applications can easily correct

this prior to mask tape-out and/or Litho

Manufacturability Check (LMC) verification

can detect and prevent any imaging

error caused by it. The effect is of no

importance for conventional and annular

illumination modes.

Generic EUV Mask Effects

Tachyon NXE also addresses an effect

in EUV lithography known as mask-3D

shadowing that results from the non-

perpendicular angle of incidence of the

illumination. The finite thickness of the

absorber material then causes a shadow

the size of which depends both on the

orientation of the mask feature and on its

location on the mask relative to the slit.

The left part of fig 3 shows a schematic

of the effect and the right plot shows

the biases that need to be corrected to

compensate this effect. It is clear that

mask-3D shadowing extends across the

entire image-field.

Accurate modeling of intra-field flare

The TWINSCAN NXE reflective projection

lens projects the diffracted mask pattern

on to the wafer via the exit-aperture.

One of the well-known key items in EUV

lithography is the relatively high level of

flare that is related to the short wavelength

of 13.5 nm.

Accurate prediction of flare effects is

important because 1% flare approximately

translates into 0.8 nm CD difference on the

wafer. Tachyon NXE includes proprietary

flare modeling to properly address and

correct these errors.

Page 14: Asml 20110318 ASML Images Winter Edition 2011

14

In figure 4 we show the impact of intra-

field flare for a 25 nm HP DRAM layout.

The Tachyon NXE additionally models

mechanical parts of the NXE that actually

reduce the average flare level. The left

picture shows the traditional flare map

whereas the right picture shows the

accurate Tachyon NXE effective flare map

result. Note that differences between the

plots are NOT constant throughout the

field: in the vertical across-scan direction

up to 0.9% and in the horizontal across-slit

direction up to 1.1% differences are found

between the maps.

The accuracy of this model has been

recently confirmed on wafer at IMEC

(G. Lorusso et al., Oct. 2010, internal

meeting and to be published). The early

experiments at IMEC show that the flare

signature through slit is much better

described when accounting for long-

range exit-aperture effects modeled in

the Tachyon NXE: the difference between

measured and simulated flare improves

2 to 3 times when using the Tachyon

NXE model. This will translate in an

improvement of CDU accuracy across

the field of about 1 to 1.5 nm.

Inter-field flare: ‘black’ on EUV masks

is not really black

Black-borders on an EUV mask consist

of the MoSi multi-layer stack and an

absorber stack: however, this total stack

does reflect some EUV light due to thin-

film interference. The thickness of the

absorber layer is a compromise between

partial EUV reflectivity, for thin absorbers,

and higher mask-3D (shadowing) effects

for thick absorbers. So, the mask black-

border (BB) is not ‘black’ for EUV but has a

certain reflectivity such that unwanted light

can go into the projection lens. The REMA

blades should in principle prevent this from

happening but, due to the finite distance

between Rema blades and reticle, an EUV

half-shadow effect occurs (penumbra),

hence, EUV light from the mask-BB does

affect imaging. Figure 5 shows a full-field

flare map from Tachyon NXE for the case

of a DRAM poly layer. At the edges of

the image field, and in particular in the

corners where three neighbor-fields are

of influence, the mask-BB effects can be

clearly identified to result in enhanced flare

levels that will have an impact on CD. This

picture also makes clear that to correct

these long-range effects on CD well,

a full-FIELD OPC correction run is required,

in contrast to the ‘’age of immersion ArF’’

for which full-CHIP OPC correction runs

were sufficient with repetition of the results

by placement of multiple identical post-

OPC chips on the mask.

This inter-field effect has also been verified

on the wafer. The left side of Fig.6 shows

results on the alpha-demo-tool (ADT) at

IMEC (E. van Setten et al, Photomask 2010

(BACUS) and (G. Lorusso et al., Oct. 2010,

internal meeting and to be published)).

This early ADT tool has a high level of

flare (~14%) compared to the NXE:3100

(<5% measured) and is therefore very

well suited to verify predictions made

by flare models. Figure 6 shows that the

line-CD of a feature close to the edge of

the slit (in this case located at x=12.72 mm)

is decreased when a neighbour-field is

exposed. The CD decreases with the

distance to the neighbour-field because of

mask-BB EUV reflections and the REMA

half-shadow effect: the sloped CD part

from field-distances between 250 and 600

micron results from the half-shadow effect,

the flat part between 0 and 250 micron is

caused by mask-BB reflections. Results

for features horizontally and vertically

positioned in the slit are displayed.

The right part of figure 6 shows the

simulated result from the Tachyon model.

Clearly the same trends are observed:

a flat region up to ~250 micron, a sloped

region up to ~600 micron, and ~1nm

offset between H and V features. It must

be mentioned that the results are not fully

yField

Pupil

x

Fig. 1: Illumination shapes supported on the

NXE:3100

Fig. 2: Rotation of the pupil through slit and

schematic for NXE:3100 (only)

Seamless integration with existing Tachyon applications

(i.e. OPC+, LMC)

Page 15: Asml 20110318 ASML Images Winter Edition 2011

15

ASML Images, Winter Edition 2011

Fig. 4: Flare maps generated without (left) and

with (right) NXE-specific machine characteristics.

Differences are not constant through the field.

Fig. 5: Flare map that includes the effects of

neighboring field exposures without separating

distance between the dies. The main cause of this

border-effect is the mask-black-border reflection.

CD drop due to Mask-BB reflection: Tachyon simulation

CD drop due to Mask-BB reflection: Wafer data ADT

23

25

27

29

31

33

35

0 200 400 600 800 1000

0 200 400 600 800 1000

Distance to a neighbor die [µm]

CD

[nm

]

23

25

27

29

31

33

35

Distance to a neighbor die [µm]

CD

[nm

]

H exp

V exp

H exp

V exp

Fig. 6: CD reduction measured on the ADT

system at IMEC as a function of the distance

to neighbouring field (left) and the same

configuration modeled by Tachyon NXE (right) for

a thin-absorber mask.

shadow

bias

(nm

)

-15 -10 -5

-3.5

-3

-2.5

-2

slit position (mm)

CD Bias to compensate EUV shadow effect

0 5 10 15

0

90

45

135

-1.5

-1

-0.5

Fig 3: Mask shadowing requires a polygon-orientation and slit-position-dependent bias compensation.

identical because the model was calibrated

with different mask and resist processes.

The mask used for this test contained a

so-called thin absorber layer of 44nm, which

has a relatively high reflectivity for EUV. The

industry mask standard at the moment uses

a thick absorber layer of 56 nm and with

such a mask, the absolute CD differences will

be approx. 1/3 of the values shown in Fig. 6.

Nonetheless, it is important to correct these

systematic effects at borders and especially

corners prior to mask tape-out.

The improvements that can be obtained

in terms of CD distribution on the wafer

while using a Tachyon NXE flare model in

OPC instead of a “standard” flare model

are shown by simulations in figure 7. The

typical or default flare based OPC printing

results on the left show a wide range of CD

distributions at unacceptably small values;

the Tachyon NXE OPC predicted results

are nicely on target at 30nm with a very

narrow distribution.

Apart from detailed flare knowledge,

Tachyon NXE has knowledge of other

NXE-optics characteristics per machine

such as apodization and aberrations.

The NXE:3100 is specified for the 27-nm

node and the NXE-optics then have a

negligible effect on printing. However,

some of ASML’s customers want to use

the NXE:3100 to shrink their devices even

further. As an example, the CD-differences

between edge and center of the slit were

studied for 24-nm dense L/S using dipole-X

75 illumination for these machine data.

Machine data here means the effects of

apodization, aberration and source-pupil

maps. The left-hand bar in figure 8 shows

the edge-center CD difference of ~1.1 nm

without taking machine data into account.

The subsequent bars show that the through-

slit CD difference reduces upon including

more and more machine information in the

Tachyon NXE model, thereby allowing the

user to improve on CD uniformity.

File size and runtime

High-volume manufacturing (HVM)

demands fast turn-around-time for product

introductions. Modeling and correcting

masks should take place within acceptable

runtimes and with manageable file sizes.

This is a special point of attention

Page 16: Asml 20110318 ASML Images Winter Edition 2011

16

Case 24nm HP, dipole - X 75.

0

0.2

0.4

0.6

0.8

1

1.2

CD

Err

or (n

m)

No Machine Data Correct 3100 Rot.Pupil

+Correct PupilDetail

+CorrectAberrations

+CorrectApodization (ALL)

DCD(center-edge slit)

Fig. 8: EdgeCD minus CenterCD as a function of optics machine data for the NXE:3100; case dipole

illumination and 24 nm L/S.

Run

tim

e (H

R)

140

120 129

2.31

2.53 1.030.26

28.17

100

80

60

40

20

0

Out

put f

ile s

ize

(GB

)

30

25

20

15

10

5

0

Run time

Output file size

Fig. 9: Full-field OPC results showing that very-long range EUV effects can be handled efficiently by

Tachyon NXE. Strong improvements in file size and runtime are achieved.

Model based correction Flat HScan HScan

Proximity

Flare

Mask black border

Shadowing

because with EUV a number of the above

described effects are very long-range in

nature and could potentially flatten the full

layout after OPC. Tachyon NXE seamlessly

integrates with Tachyon OPC+ for which,

despite the long-range EUV effects,

dedicated EUV custom hierarchical layout

operations are possible to achieve these

manufacturing standards.

Figure 9 shows example results on a

full-field state-of-the-art memory mask.

The mask is an in-house 30 nm DRAM

design. The flare tolerance was set to 0.3%

using a Tachyon 3.0 system with 30 leafs.

The output format is the industry-standard

OASIS. The graph shows the strong

reductions in file size that are obtained with

Tachyon hierarchical operations compared

with “flat” operation, and likewise shows

the achieved strong runtime reduction for

a full-field. This is done for two cases: the

case on the right handles a full-field OPC

run for long-range flare and (shorter-range)

proximity; the case in the middle shows the

full-field results when also the long-range

effects of mask-BB reflection and mask-

shadowing are added. Both cases show

excellent results for file size and runtime

that are compliant with HVM needs.

Outlook to the c-litho support for the

NXE:3300 and other applications.

With NXE:3100 being the tool that delivers

EUV to our customers for their pilot and

process-development purposes, the true

workhorse of the industry will be the next

family of tools NXE:3300B/C. Tachyon NXE

is committed to supporting and integrating

with the NXE:3300B/C and especially

the full technical potential that its array of

movable mirrors will provide. A multitude

of illumination modes will be possible

without loss of throughput. With that,

applications such as source-mask

optimization and scanner tuning for

EUV appear on the roadmap.

900

800

700

600

500

400

300

200

100

0

21 22 23 24 25 26 27 28 29 30 31

CD(nm)

Num

ber o

f mea

sure

men

ts

Typical flare based OPC

Milli

ons 900

800

700

600

500

400

300

200

100

0

21 22 23 24 25 26 27 28 29 30 31

CD(nm)

Num

ber o

f mea

sure

men

ts

NXE flare aware OPC

Milli

ons

Fig. 7: Wafer CD simulations obtained after OPC with either a typical flare model (left) and

a Tachyon NXE model (right).

Includes flare modeling, shadowing, and proximity effects

Page 17: Asml 20110318 ASML Images Winter Edition 2011

17

ASML Images, Winter Edition 2011

New light on cooperationBy Bernardo Kastrup, Director Marketing for Eclipse, and Henk Niesing, Business Manager Eclipse

Abstract | The drive for high yields at small

feature sizes is bringing new complexity

to semiconductor manufacturing. ASML’s

new-look Eclipse offering helps bring the

simplicity back. It provides a systematic

structure for in-depth cooperation between

ASML and semiconductor manufacturers

– focused on delivering customer-specified

on-product performance. Eclipse projects

combine proactive expert support with early

access to new products and the promise

of customized solutions in one tailor-made

package.

Page 18: Asml 20110318 ASML Images Winter Edition 2011

From R&D to production faster

To see how Eclipse could work for

you, let’s look at a typical challenge

all semiconductor manufacturers face:

bringing a new process to market fast

to maximize your market opportunities.

A key way to reduce your R&D cycle time

is switch as much of your development

as possible from experimental wafer

lithography to computational lithography.

The Tachyon suite from Brion gives you a

solid foundation for doing that. Moreover,

you can combine it with our FlexRay

programmable illuminator, for faster and

more flexible (free-form) source-mask

optimization cycles.

Through Eclipse, our experts can help

you extract the maximum performance in

your specific case. This could be through

customized CD performance analyses or

mask optimization recipes for Tachyon.

They can also provide guidance on which

parts of the Tachyon suite are best suited

to your needs and how you can integrate

them and FlexRay into your R&D workflow.

Going further, our experts could also help

you qualify your matched machine overlay

(MMO) earlier. This is particularly valuable

when you are trying to match layers that

are printed using different technologies –

EUV and immersion ArF, for example – and

can significantly speed up your transition

to production.

The first step is to analyze your planned

production lithography choices while

you are still in the development phase.

From that, we create an overlay budget

breakdown to identify the key contributors

to your MMO. We offer a wide range of

overlay improvement options, including

BaseLiner, Overlay Optimizer, and various

TOP packages.

Based on your overlay breakdown, our

experts will work with yours to determine

which of those options will help you

most. Where necessary, they will tailor

those options to suit you – perhaps

by customizing product interfaces to

accelerate integration into your flow – and

provide you with early access to new

functionality or products.

Holistic Lithography lets you maximize

your process window and yield at the

smallest feature sizes by using degrees

of freedom from one process step to

compensate for issues arising in others.

To help you implement Holistic Lithography

in your fab, we created our Eclipse

packages. Tailored to your particular

needs, they help you solve specific

production or development issues.

However, the challenges of achieving high

yields at smaller feature sizes and more

complex geometries are driving other

changes in the industry’s way of working.

Those changes are summed up in one

word – cooperation. Semiconductor

manufacturers and their suppliers are

working together more closely and from

an earlier stage.

Reflecting those changes, we’ve

improved our Eclipse offering to provide

a systematic structure for that in-depth

cooperation. A structure that helps you

reduce your R&D cycle, accelerate ramp

up and improve final yields.

Eclipse is based on three pillars:

dedicated support from ASML experts,

early access to new products and the

availability of customized solutions.

These pillars are combined into a flexible,

tailor-made package designed to help you

achieve the on-product specifications you

want faster and more cost-efficiently.

Dedicated support

ASML has always offered its customers

expert application support, but Eclipse

takes that to the next level. The resources

you need are formally allocated to you, so

you can be sure that our experts will be

available when you need them. And they

play a pro-active role in supporting you.

For example, as well as helping you

address existing issues, they can

analyze your current process and

create a budget breakdown. From that,

they can extrapolate to new and better

solutions, allowing you to anticipate future

challenges.

In addition, for each Eclipse engagement,

we will put into place a suitable project

management and issue escalation

structure. From the start, we will sit

down with you to agree on targets for

the project, and we will commit ourselves

to meeting them.

Early access

Developing a new process typically

takes around two years. In parallel to your

development, we are also developing new

products and services to complement

our scanners. So we may already have

planned a solution that could be useful

in your development project.

Achieve the on-product specifications you want faster

and more cost-efficiently

18

Page 19: Asml 20110318 ASML Images Winter Edition 2011

Improving on-product performance

Eclipse projects can be used to improve

your on-product performance and

system uptime, and hence your overall

productivity. Such projects often

involve using the scanner flexibility to

compensate for off-scanner issues.

An Eclipse project in the production phase

would typically start with our people and

your people carrying out a joint analysis

of your situation to determine where you

see bottlenecks and where improvements

can be made.

For example, if you wanted to improve

your intra-field CDU performance, the

analysis could potentially show that you

need to address mask quality issues

such as mask registration, global bias,

so-called “3D effects” and mask flatness.

In this case, we might integrate our

new FlexWave lens control option (see

article page 20) and our LithoTuner in-fab

computational lithography tools into a

custom package that lets you compensate

for all kinds of mask issues.

To improve your on-product overlay, we’d

work with you to identify the root causes

of your problems. These could well be

off-scanner issues, with metrology and

annealing steps common sources of

overlay issues. Then, together, we’d carry

out a feasibility study to see which of

our many overlay improvement products

could help you address your issues.

Step three could be to develop a partially

customized metrology strategy that is

tuned to your needs and circumstances.

This could include a scatterometry mark

design tailored to your layer stack and a

custom sampling scheme that helps you

balance performance and metrology time.

Finally, we would work with your team to

integrate all these elements into your flow

with minimal disruption to production.

In an Eclipse project, in addition to advice

on selecting existing products, you can

also get early access to solutions that are

still in development. That could mean, for

example, providing you with alpha versions

of new software or allowing you to try out

exposure recipes on prototypes of new

hardware at our facilities in Veldhoven.

Either way, through earlier access to

new products, you can keep your own

development moving forward on track.

Customized solutions

With early access comes the opportunity

to customize solutions to your needs. For

example, we could tailor the user interface

and output formats of new software to

suit your way of working and existing

fab systems.

According to your requirements, we could

also adapt existing products for you –

perhaps providing additional functionality

or cut-down versions as needed. And we

can also support you on feasibility and

impact analysis studies to explore all your

possible options.

Tailor-made for you

The scope of an Eclipse project is up to

you. It could be as broad as “We want to

print layer X with Y specifications.” Or it

could be as specific as a list of roadblocks

that you’ve already identified and would

like help to overcome more quickly.

But our focus and commitment is always

the same – to help you achieve the on-

product performance you specify in

an agreed timeline. Working together in an

Eclipse project, we aim to formalize the

co-operation and provide you with the

tools you need to move through your

development and ramp-up stages faster

and reach a higher line yield.

Move through your

development and ramp-up

stages faster and reach a

higher line yield

19

ASML Images, Winter Edition 2011

Page 20: Asml 20110318 ASML Images Winter Edition 2011

20

Wave hello to larger process windowsBy Angelique Nachtwein, Product Manager FlexWave, and Hans Bakker, Product Manager PG Applications

Abstract | FlexWave is a new lens control

option that takes flexible optics to the next

level. It allows you to create almost any

wave front you like in the projection lens of

your TWINSCAN system. You can use that

to compensate for aberrations and lens

heating effects, or to optimize the wave

front to suit a specific mask. The end result is

better on-product overlay and focus control

– for larger, more robust process windows.

The more flexibility and control your

scanner offers, the larger your potential

process window and the better the yields

you can achieve. That’s why ASML is

continually developing new features and

options to increase the flexibility and

control our lithography systems deliver.

The latest in this line of developments is

called FlexWave. It takes lens control to

a completely new level allowing you to

create almost any wavefront you like in

the projection optics of your TWINSCAN

scanner. This in turn lets you optimize

your on-product overlay and imaging

performance.

FlexWave features a new lens manipulator

that fits into the projection optics column of

your TWINSCAN scanner. This manipulator

offers significantly more flexibility than

previous solutions: FlexWave can correct

wavefronts with a spatial resolution

equivalent to the first 64 Zernikes.

See Fig. 1

Approaching the “perfect lens”

So how does that flexibility benefit you?

We see three key target applications for

FlexWave. The first is extended wavefront

compensation. In this application, you

use FlexWave to reduce the aberration

fingerprint of your projections lens.

Page 21: Asml 20110318 ASML Images Winter Edition 2011

21

ASML Images, Winter Edition 2011

Fig. 1: Wavefronts for individual Zernikes

Because you have access to a wider

range of Zernike polynomials, you

can achieve a much greater level of

compensation. This brings your system

closer to the theoretical “perfect lens”.

Particularly useful when printing the

smallest features, extended wavefront

Reduce the aberration

fingerprint of your

projections lens

Page 22: Asml 20110318 ASML Images Winter Edition 2011

22

compensation helps minimize distortion

differences between illumination modes

and so improves your on-product overlay.

See Fig. 2

Taking the heat

A second application is dynamic through-

lot wavefront control. With throughputs

extending towards 200 wafers per

hour and the increasing use of extreme

illumination modes that concentrate much

of the laser light into small areas, today’s

manufacturing increases the risks of

localized lens heating.

Dynamic through-lot wavefront control

with FlexWave gives you much greater

flexibility to compensate for the effects

of lens heating. You can program different

FlexWave settings for each wafer or

exposure. Again, because you have

access to all Zernike polynomials up to

Z64, you have much greater potential for

aberration control.

Moreover, unlike with other lens control

options, you can control both rotated and

XY aberrations at the same time. As well

as offering better lens heating control, this

reduces the overhead when switching lots

as you don’t need to make any hardware

changes to account for the different lens

heating conditions of different recipes.

See Fig. 3

Tailor-made wavefronts

Both extended wavefront compensation

and dynamic through-lot wavefront

control use FlexWave to compensate for

lens aberrations. The third application,

known as application-specific wavefront

targets, uses FlexWave to address mask-

related issue – in particular so-called

mask 3D effects.

In semiconductor manufacturing today,

it is quite common to print features that

are smaller than the wavelength of the light

used to expose the pattern. Under these

conditions, the standard two-dimensional

model of diffraction at the mask breaks

down due to interactions between the

light beam and the three-dimensional

mask stack. This causes the so-called 3D

Dipole 35X, 1.2 NA, XY 38 mJ/cm 2 , 20% transmission

Dipole 35Y, 1.35 NA, XY 30 mJ/cm2 , 30% transmission

- 230 wafers per hour - Simulation based

Total RMS

0.00

1.00

2.00

3.00

4.00

5.00R

MS

-val

ue [n

m]

Dipole 35X, 1.35 NA, XY 30 mJ/cm2 , 30% transmission

Dipole 35X, 1.2 NA, R 26.2 mJ/cm2 , 20% transmission

Standard ALC-R

ALC-XY FlexWave

Fig. 3

Average simulation result cold lens

Rm

s Z5

-Z64

[nm

]

Standard ALC-R

ALC-XY FlexWave

Spherical Coma Astigmatism

3-foil Odd Even Total0

0.8

0.6

0.4

0.2

1.0

Fig. 2

effects, which show up as Bossung tilts,

best focus offsets between features and

reduced process window.

If you know what these effects are for

a particular layer, you can use FlexWave

to compensate for them in the scanner

by creating a tailored wavefront with an

appropriate aberration profile. In this

way, you can introduce pitch- and /

or orientation-dependent best focus

offsets that counteract those arising

from 3D effects. In a typical application,

this can lead to depth of focus (DoF)

improvements of around 15%.

See Fig. 4 / 5

The power of computation

The wavefronts needed to compensate

for 3D effects can be very complicated.

Moreover, a wavefront target will impact

the printing of all features on the mask,

not just those that are affected by 3D

effects. Consequently, determining the

optimal wavefront target for a given layer

design is an extremely challenging task.

Optimizing the wavefront manually

would require an iterative procedure that

could take several weeks. To speed up

the process, ASML and its subsidiary

Brion Technologies are developing new

computational lithography tools that

handle the hard work for you.

These tools are based on highly accurate

and detailed models of ASML scanners.

Through those models and powerful

simulations, the tools will optimize the

wavefront target for a chosen set of

features. That could potentially speed

up the turnaround time for optimizing the

wavefront significantly. It would also allow

you to carry out many more iterations so

Page 23: Asml 20110318 ASML Images Winter Edition 2011

23

ASML Images, Winter Edition 2011

stan

dard

Flex

Wav

e Measured with wavefront target

Measured without wavefront target

45 P90H

45 P112.5H

45 P135H

45 P270H+AF

45 P315H+AF

90 P207V

90 P270V

SG WL1 WL2 WL7

-15

-10

-5

0

5

10

15

Bes

t Foc

us (n

m)

-20

pitches used for optimization

Measured focus offsets containing core and periphery features (NAND case) 31nm

13nm anchor

pattern

ASML test reticle

EL v

s D

oF

DoF [nm]

EL [%

]

with wavefront target

without wavefront target

Exposure Latitude versus Depth of Focus

0

0 20 40 60 80 100

2

4

6

8

Fig. 4

Fig. 5

Fig. 6 optimized bossung curves resulting in an improved process window

you can explore the possibilities much

more fully and be sure of finding the

optimal solution. See Fig. 6

In addition, the computational lithography

tools being developed could help with the

verification of printed devices. For example,

they could be capable of identifying which

features it would be best to measure with

CD-SEM or even recommending suitable

YieldStar markers that will allow you to

monitor the wave front’s stability over time.

Opening the window

FlexWave will be available in the first

half of 2011. Together with our FlexRay

programmable illuminator and the

computation lithography tools in

development, it will provide the maximum

flexibility in setting up the optical column

of your TWINSCAN scanner. That flexibility

helps you maintain process windows large

enough for profitable manufacturing at

smaller feature sizes, keeping your shrink

roadmap on track.

mf18 mf21

22nm, SRAM, contact

Wavefront Target Correcting Bossung tilt

Flattening CD to focus/dose responses

-20-15-10

-505

101520

mf18 mf21

22nm, SRAM, contact

Wavefront Target Correcting Bossung tilt

Flattening CD to focus/dose responses

-20-15-10

-505

101520

Reduce the aberration

fingerprint of

your projections lens

Page 24: Asml 20110318 ASML Images Winter Edition 2011

24

Stellar metrology accuracy boosts on-product overlayBy Kaustuve Bhattacharyya, Senior Product Manager for Metrology, and Arie den Boef, Research Fellow

Abstract | YieldStar, ASML’s unique

3-in-1 post-patterning metrology solution,

delivers excellent overlay measurement

accuracy to match its precision and

speed. Thanks to its Process Asymmetry

Indicator, it helps you monitor several key

aspects of your process. So you can be

sure measurements are credible and as

insensitive as possible to process changes.

That extra robustness, plus the higher

sampling densities that YieldStar enables,

can help you make significant on-product

overlay improvements.

Speed, precision and accuracy

form a “golden triangle” for post-

patterning metrology. Speed reduces

cost of ownership, precision ensures

measurements are reproducible and

accuracy means you really know what

is being printed. In YieldStar, you’ll find

a metrology solution that shines on all

three fronts.

YieldStar is a unique 3-in-1 metrology tool

that can measure CD uniformity, overlay

and focus in a single wafer pass. As we’ve

explained in previous issues of Images,

YieldStar is capable of making thousands

of measurements per hour with proven

precision down to 0.25 nm. But did you

know that YieldStar also offers unrivalled

overlay measurement accuracy to support

the most stringent on-product overlay

requirements?

That accuracy is due mainly to two

features that are unique to YieldStar.

Firstly, it is the only scatterometry-based

metrology solution to make use of higher

diffraction orders. This means it has access

to more information to deliver more

accurate results. Secondly, the robust

design of the YieldStar scatterometry

target ensures low sensitivity to

aberrations, closely mimicking the

behavior of product structures.

Guaranteed credibility

However, no matter how well designed the

target is, process drifts can become large

enough to introduce asymmetry in the

target. In extreme cases, measurement

accuracy may therefore be compromised.

To avoid this situation, YieldStar features a

unique Process Asymmetry Indicator (PAI).

The PAI is possible because, unlike other

metrology options, YieldStar uses a

number of different light wavelengths and

Unrivalled overlay

measurement accuracy

Page 25: Asml 20110318 ASML Images Winter Edition 2011

25

ASML Images, Winter Edition 2011

polarization states for each measurement.

If the results from all these light states are

consistent, then you can be confident that

the target has been printed properly and

the metrology results are accurate.

See Fig. 1

By contrast, a distorted target will scatter

the various light states differently, leading

to a spread in the individual results. But

even in this case, YieldStar can still deliver

accurate overlay results thanks to some

smart software that determines the best

wavelength / polarization combination to

use for that measurement.

More robust processes

If there is a spread in the results for

individual wavelength / polarization

combinations, the PAI flag is triggered.

This gives you an early indication that

something has drifted within your process

and allows you to monitor how that drift

is trending. In fact, it is the only tool in

the fab that has the sensitivity to detect

asymmetry changes down to the sub-

nanometer scale.

What’s more, you can take measurements

on every die on a wafer if you choose,

so you can get a very good indication of

the wafer-wide fingerprint of your process

issue. And because issues in different

process steps tend to have very different

fingerprints, YieldStar can help you track

down the root cause of process drift

faster, helping you improve your

process robustness.

Improving on-product overlay

With its combination of speed, precision

and accuracy, YieldStar can actually help

you improve your on-product overlay

performance. In a typical process, around

50% of the on-product overlay budget

comes from process variation. YieldStar’s

PAI and trending data directly help you

identify and reduce that variation.

YieldStar is available as a standalone

metrology system and as an integrated

module. This latter version is ideal for

incorporation into your litho cluster.

Besides potentially saving you several

hours per layer in metrology cycle time,

it allows you to implement denser overlay

sampling and higher-order process

control – further reducing process

variation and hence on-product overlay.

See Fig 2.

Implement higher-order process control

PAI as process monitor

Production lots through time

Pre-set limit

PAI exceeded limit: indicates process issues on these lots

Pro

cess

Asy

mm

etry

Indi

cato

r (PA

I)

Potential gains• Total cycle time reduction• Reduction of APC reaction time• Dense sampling for accurate OV, Dose and Focus control• Lower WIP

tin tintstocker tout

tqueue tCD tOVtqueue

tout tstocker

Cycle time saving

(several hrs / layer)

Stand alone metrology configuration

CD measure

Overlay measure

Coat Expose

Litho ClusterDevelop

Stocker Stocker

tin

Integrated metrology

Coat Expose

Litho ClusterDevelop

IM

Integrated metrology and cycle time saving

Fig. 1

Fig. 2

Page 26: Asml 20110318 ASML Images Winter Edition 2011

26

Abstract | The LED market is enjoying a

period of growth that will be amplified by

growing “green” efforts. LED manufacturers

will need reduction lithography, such as

ASML’s PAS 5500 steppers to replace

current 1x printing to meet technology

requirements and maximize value of

ownership.

By exploiting the optical phenomenon

of electroluminescence, the first LEDs

(Light Emitting Diodes) were made nearly

a century ago. Beginning about 40 years

ago LED research and development,

from companies such as Monsanto

and Fairchild Semiconductor, enabled

mass produced LEDs for application

in watches, calculators and other

alphanumeric indicators.

Today LEDs have replaced more traditional

lighting technologies in myriad applications.

Newer HB-LEDs (High Brightness) may

see broader adoption into more mainstream

applications currently dominated by

incandescent and fluorescent lighting,

triggering a period of high-growth for

the LED market. However, before that

happens, newer more sophisticated LEDs

need to be developed. One possibility

is the incorporation of photonic crystals

which increases both the internal quantum

efficiency and the amount of light extracted.

This type of device will require more

complex manufacturing technologies

and increased yields to drive more

competitive pricing.

Currently, nearly all LEDs are

manufactured using contact printers

or other 1x technologies which image the

entire wafer at once. This type of pattern

transfer is limited and faces several

challenges in meeting emerging LED

manufacturing requirements including

smaller design features, tighter CD

control and die-by-die leveling.

A new light, a new life

To meet these requirements LED

manufacturers are turning to reduction

lithography steppers. ASML has entered

the LED manufacturing equipment market

with its proven PAS 5500 systems. These

factory refurbished systems were once

PAS 5500 steppers bring new benefits to the LED marketBy Rutger Voets, Product Manager

Page 27: Asml 20110318 ASML Images Winter Edition 2011

27

ASML Images, Winter Edition 2011

employed in advanced semiconductor

manufacturing and now are finding a

second life after being refurbished,

retrofitted and resold into the LED and

other markets.

ASML’s PAS 5500 systems are renowned

for their modularity and productivity.

Once the leading edge in semiconductor

lithography, these systems are now

finding second lives on the pre-owned

market for which ASML offers a complete

factory refurbishment. In the case of the

LED market ASML leveraged the modular

nature of the PAS platform to incorporate

an LED-specific wafer handling solution

to address the issue of bowed wafers.

This dedicated wafer handling package

provides manufactures the opportunity

to use ASML PAS 5500 systems for the

production of LED’s.

ASML PAS 5500 systems provide

higher productivity and enable tighter

design requirements compared to current

imaging solutions. As LED manufacturing

moves into higher volume production,

the PAS 5500 platform’s productivity

lowers manufacturing cost while being

comparably priced in terms of capital

outlay. At 150-170 wafers per hour,

ASML PAS systems are 2.5 to 3 times

as productive as typical 1x tools.

Also, the ability to level the wafer

die-by-die is becoming increasingly

important to mitigate localized non-

flatness resulting in better CD control,

allowing higher yield.

LED resolution, while not at the same

scale as advanced semiconductors,

is nonetheless shrinking in its own right.

Within two to three years, photonic crystal

LEDs are expected to come to market

and will require a substantial shrink from

today’s relatively large geometries. These

photonic crystal LEDs may see critical

dimensions of 0.18 um which will require

deep-ultraviolet (DUV) lithography only

available in reduction litho steppers and

scanners. LED manufacturers who adopt

reduction lithography now for benefits such

as die-by-die leveling will further increase

their return on investment once the industry

moves to DUV, as they will make the

transition faster and more smoothly.

PAS 5500 steppers bring new benefits to the LED marketBy Rutger Voets, Product Manager

Reduction lithography systems enable high productivity

and device shrink

Page 28: Asml 20110318 ASML Images Winter Edition 2011

www.asml.com

Corporate Headquarters

De Run 6501

5504 DR Veldhoven

The Netherlands

Phone +31 40 268 30 00

U.S. Main Office

8555 South River Parkway

Tempe, AZ 85284 USA

Phone +1 480 383 4422

Asia Main Office

Suite 1702-3 17th Floor

100 Queen’s Road Central

Hong Kong, SAR

tel: +852 2295 1168