Circuite Integrate Digitale Danisor Surugiu

Embed Size (px)

Citation preview

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    1/151

    1

    ALIN DNIORIOAN SURUGIU

    CIRCUITE INTEGRATE DIGITALE

    CURS UNIVERSITAR

    Constana2012

    Arhitectur Harvard Arhitectur von Neumann

    Memorie

    de dateCPU

    Memorie

    program8 4CPU

    Memorie

    de date iprogram8

    A

    B BAf

    Vcc (+5V)

    GND

    +5V

    (0,2V)

    2,1V

    (0,9V)0,9V

    (3,8...5V)1,4V

    (0,2V)

    0,7V

    (0V)

    R1

    4k

    0,2V

    (2,4...5V)

    D2D1

    D

    R4

    1,6k

    R3

    1k

    R2

    130

    T1T2

    T3

    T4

    +5V

    A

    Bf

    Simbol

    e

    gb

    ce

    f

    g

    a

    d dp2dp1

    b

    c

    f

    dp2dp1

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    2/151

    2

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    3/151

    3

    CUPRINS

    Capitolul 1: Sisteme digitale ...................................................................................................71.1. Calculatoare electronice 71.2. Automate finite 10

    1.2.1. Noiuni i definiii ... 10

    1.2.1.1. Automat ...101.2.1.2. Automat determinist ....111.2.1.3. Automat finit ........................................................................................................... 11

    1.3. Modele de automate finite ...................................................................................... 111.3.1. Modelul Moore 111.3.2. Modelul Mealy 121.3.3. Modelul Starke 12

    1.4. Semiautomat ........................................................................................................... 121.5. Structura unui automat finit .................................................................................... 121.6. Reprezentrile funciilorcaracteristice ale automatelor finite ................................ 13

    1.6.1. Tabelul de tranziie ..................................................................................................131.6.2. Grafuri de tranziie ..................................................................................................13

    1.6.3. Organigrame ........................................................................................................... 14Capitolul 2: Algebra boolean i funcii booleene ..15

    2.1. Algebra boolean .152.1.1. Noiuni introductive 15

    2.1.1.1. Logica matematic .................................................................................................. 152.1.1.2. Algebra logicii .15

    2.2. Funcii booleene .. 152.2.1. Definiie ...152.2.2. Operaii cu funcii booleene 16

    2.3. Reprezentarea numeric a informaiei .162.3.1. Reprezentarea n sistem binar ..16

    2.3.2. Reprezentarea n sistemele octal i hexazecimal .202.3.3. Conversiile reprezentrilor numerice . 202.4. Funcii booleene elementare. Circuite tippoart logic.212.5. Reprezentarea funciilor booleene ...23

    2.5.1. Metode grafice .232.5.1.1. Tabelul de adevr 242.5.1.2. Diagrame VeitchKarnaugh 252.5.1.3. Scheme logice (logigrame) ..25

    2.5.2. Metode analitice .. 262.5.2.1. Reprezentarea prin expresii analitice .. 262.5.2.2. Reprezentarea funciilor cu ajutorul codurilor 27

    2.6. Minimizarea funciilor booleene ............................................................................. 27

    2.6.1. Definiii ................................................................................................................... 282.6.2. Metode de minimizare a funciilor booleene ...29

    2.6.2.1. Metoda Quine . 292.6.2.2. Metoda QuineMc Cluskey .292.6.2.3. Metoda Karnaugh ... 31

    2.6.3. Funcii incomplet definite .......................................................................................32

    Capitolul 3: Comenzi secveniale .........................................................................................333.1. Analiza i sinteza circuitelor logice combinaionale ...............................................33

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    4/151

    4

    3.1.1. Analiza circuitelor logice combinaionale ...............................................................333.1.1.1. Circuite combinaionale logice cu pori I, SAU, NU ... 333.1.1.2. Circuite combinaionale logice cu pori I-NU (NAND), SAU-NU (NOR) .. 34

    3.1.2. Sinteza circuitelor logice combinaionale ............................................................... 363.1.2.1. Sinteza CLC cu module (pori) I, SAU, NU ........................................................ 363.1.2.2. Sinteza cu module (pori) INU, SAUNU ......................................................... 38

    3.1.3. Hazardul n circuitele logice combinaionale ..403.2. Circuite logice secveniale ...40

    3.2.1. Introducere ...403.2.2. Tipuri de circuite logice secveniale 423.2.3. Reprezentarea circuitelor logice secveniale .. 433.2.4. Analiza circuitelor logice secveniale ..44

    Capitolul 4: Analiza circuitelor basculante bistabile 474.1. Introducere ...474.2. Circuite basculante bistabile asincrone ... 47

    4.2.1. Circuite basculante bistabile asincrone tip RS .. 474.2.1.1. CBAA tip RS cu pori INU .. 47

    4.2.1.2. CBBA tip RS cu pori SAUNU ...514.2.1.4. Concluzii asupra CBBA tip RS ............................................................................ 524.2.2. Circuite basculante bistabile asincrone de tip J-K ...................................................52

    4.2.2.1. CBBA tip JK cu pori INU 524.2.2.2. CBBA tip JK cu pori SAUNU ... 54

    4.2.3. Analiza circuitelor logice secveniale asincrone (CLSA) care au circuite basculante bistabile ca elemente de memorie ... 54

    4.3. Circuite basculante bistabile sincrone 564.3.1. Caracteristicile circuitelor basculante bistabile sincrone 564.3.2. Analiza circuitelor basculante bistabile sincrone ... 57

    4.3.2.1. Circuite basculante bistabile sincrone de tip RS ... 574.3.2.2. Circuite basculante bistabile sincrone de tip T 59

    4.3.2.3. Circuite basculante bistabile sincrone de tip D ... 604.3.2.4. Circuite basculante bistabile sincrone de tip masterslave ..63

    Capitolul 5: Circuite integrate logice ...675.1. Caracteristicile circuitelor logice .............................................................................67

    5.1.1. Introducere ...675.1.2. Caracteristicile circuitelor logice cu dispozitive semiconductoare 675.1.3. Familii de circuite integrate logice ..70

    5.2. Circuite integrate logice cu tranzistoare bipolare 715.2.1. Generaliti . 715.2.2. Poarta logic fundamental pentru seria TTL normal .. 735.2.3. Circuite logice cu intrri expandabile . 75

    5.2.3. Circuite logice cu intrri expandabile . 765.2.5. Circuite TTL cu colectorul n gol ... 775.2.6. Circuite logice cu trei stri .. 785.2.7. Circuite logice cu injecie I2L ..79

    5.3. Circuite integrate logice cu tranzistoare unipolare ..................................................805.3.1. Caracteristicile tranzistoarelor unipolare .................................................................805.3.2. Circuite logice cu TECMOS . 81

    5.3.2.1. Circuite logice statice . 825.3.2.2. Circuite logice dinamice ..83

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    5/151

    5

    5.3.3. Circuite logice cu TECCMOS ...845.3.3.1. Circuitul logic fundamental inversor al seriei CMOS .855.3.3.2. Circuite logice SAUNU (NICI) i INU (NUMAI) CU TECCMOS ...875.3.3.3. Comutator analogic . 88

    Capitolul 6: Aplicaii ale circuitelor integrate digitale ...................................................... 91

    6.1. Aplicaii cu circuite logice combinaionale .............................................................916.1.1. Sumatoare binare .....................................................................................................916.1.2. Codificatoare ... 926.1.3. Decodificatoare .. 93

    6.1.3.1. Decodificatoare din cod binar n cod zecimal .........................................................936.1.3.2. Decodificatoare din zecimal codat binar (BCD) n cod zecimal (DBCDZ) ...................................................................................... 986.1.3.3. Decodificatoare din zecimal codat binar (BCD) n cod 7 segmente ... 99

    6.1.4. Multiplexoare ........................................................................................................ 1076.1.4.1. Reprezentarea multiplexoarelor 1076.1.4.2. Implementarea multiplexoarelor cu pori logice ... 1086.1.4.3. Extinderea multiplexrii ... 109

    6.1.4.4. Utilizarea multiplexoarelor n implementarea funciilor logice 1106.1.4.5. Circuitul integrat CDB 4151 cu funcie de multiplexor ... 1126.1.5. Demultiplexoare ... 112

    6.1.5.1. Reprezentarea demultiplexoarelor .1126.1.5.2. Implementarea demultiplexoarelor cu pori logice .. 1136.1.5.3. Utilizarea demultiplexoarelor pentru implementarea funciilor logice 1136.1.5.4. Extinderea demultiplexrii 1146.1.5.5. Transmiterea la distan a informaiei binare ... 115

    6.2. Registre . 1166.2.1. Clasificarea registrelor .. 1166.2.2. Tipuri de registre ... 116

    6.2.2.1. Registre de memorare paralel . 116

    6.2.2.2. Registre de deplasare .1186.2.2.3. Circuite integrate cu bistabili 122

    6.3. Numrtoare i divizoare .......................................................................................1296.3.1. Numrtoare asincrone cu transport succesiv ....................................................... 129

    6.3.1.1. Numrtoare asincrone cu linie de iniializare de tip PRESET .1306.3.1.2. Numrtoare asincrone cu linie de iniializare de tip CLEAR (RESET) . 132

    6.3.2. Numrtoare sincrone cu transport succesiv ........................................................ 1326.3.2.1. Numrtoare sincrone cu transport paralel ... .........................................................1336.3.2.2. Numrtoare sincrone cu transport succesiv ........................................................ 133

    6.3.3. Circuite integrate cu funcia de numraredivizare .. 1346.3.3.1. Circuite integrate TTL utilizate ca numrtoaredivizoare .. 1346.3.3.2. Circuite integrate CMOS utilizate ca numrtoaredivizoare .. 141

    6.4. Memorii digitale .. 1426.4.1. Noiuni introductive .. 1426.4.2. Tipuri de memorii ..142

    6.4.2.1. Memoria ROM .. 1436.4.2.2. Memoria RAM .. 145

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    6/151

    6

    BIBLIOGRAFIE

    1) Eliodor Bistriceanu. Octavian Stnil: Matematic discret, Editura MATRIXROM, Bucureti, 1996.

    2) Eliodor Gh. Bistriceanu: Algebre booleene i circuite digitale, Editura MATRIXROM, Bucureti, 1997.

    3) Microelectronica Data Book, Bucureti, 1985. 4) Bneasa S.A.Full Condensed Catalog, Bucureti, 1991.5) Nicolae Drgulnescu: Agenda electronistului, ediia a II-a, Editura Tehnic, Bucu-

    reti, 1989. 6) W. D. Anderson, A. G. Douce, R. C. Grimes, W. R. Heniford, R. L. Morris, R. F.

    Schweitzer, S. Wolf: Proiectarea cu circuite integrate TTL, Editura Tehnic, Bucureti,1974.

    7) Sabin Ionel, Radu Munteanu: Introducere practic n electronic, Editura de Vest, Ti-mioara, 1994.

    8) Sanada Maican: Sisteme numerice cu circuite integrate. Culegere de probleme,Editura Tehnic, Bucureti, 1980.

    9) *** Guide des circuits integres, Publitronic, 1987.10) www.globalspec.com11) www.crystalfontz

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    7/151

    7

    CAPITOLUL 1SISTEME DIGITALE

    nepoca noastr nu se mai poate concepe desfurarea activitilor din toate domeniilefr circulaia informaiilor. Evoluia tiinei i tehnologiei au permis conceperea i imple-mentarea unor sisteme performante de producerea, transmiterea i recepia semnalelor purt-

    toare de informaii. Astfel comunicaiile intercontinentale, regionale sau naionale nu maisunt posibile, n condiii de vitez ridicat i acuratee, fr utilizarea sistemelor digitale (nu-merice) caracterizate de semnale numerice.

    1.1. Calculatoare electroniceCalculatoarele electronice au devenit un instrument indispensabil al activitii umane.Evoluia calculatoarelor a fost posibil odat cu apariia, n anul 1854, a lucrrii The

    Laws of Thought(Legile gndirii) a logicianului i matematicianului irlandezGeorge Boole(1815-1864), care a conceputcalculul booleanbazat pe dou stri. Aceast idee a fost prelu -at de ctre savantul american Claude Shannon pentru proiectarea circuitelor numerice ceadmit numai dou nivele de tensiune:ridicaticobort(1938).

    Primele calculatoare electronice erau complicate, avnd i o vitez foarte mic de lucru,deoarece utilizau sistemul zecimal de reprezentare a informaiei (10 nivele de tensiune). Oda -

    t cu implementarea sistemului binar de reprezentare i dezvoltarea tehnologiei s -au atinsperformanele din ziua de azi (viteze de lucru ale microprocesorului de peste 3GHz).

    Definiie.Calculatorul reprezint un mijloc de calcul automat care efectueaz o seriede operaii aritmetice i logicecu ajutorul algoritmilor, pe baza unor programe.

    Algoritmul este o colecie de reguli, o secven de aciuni elementare privind efectuareaunor operaii cucaracter aritmeticologic, asupra unor date, pentru a produce alte date ce re-

    prezint rezultatele sau datele de ieire.Efectuarea algoritmului presupune cunoaterea datelor ce trebuie prelucrate i a ins -

    truciunilor ce descriu metoda de calcul.Calculatoarele electronicese mpart n:

    - calculatoare analogice;- calculatoare numerice;- calculatoare hibride.

    I) Calculatorul analogic (CA)prelucreaz mrimi cu variaie continu n timp (m-rimi analogice). Sunt destinate n special, pentru rezolvarea unor ecuaii difereniale ce repre-zint soluiile unor probleme dinamice complexe.

    Relaiile matematice ce descriu sistemele fizice au drept corespondent n calculator ecu-aii similare ntre mrimi de alt natur fizic (tensiuni sau cureni).

    Blocurile funcionale sunt realizate cu amplificatoare operaionale conectate n circuitecare pot efectua operaii de: adunare, scdere, nmulire, mprire, derivare, integrare, ridica-rea la puterea ana, extragerea rdcinii de ordinuln, logaritmare, antilogaritmare, nmulireacu o constant etc.

    Calculatoarele analogice se utilizeaz pentru modelarea i simularea unor procese.

    II) Calculatoarele numerice (CN)prelucreaz informaia sub form discret, codi-ficat binar cu ajutorul celor dou stri logice 0i 1i care semnific valori numerice.CNau avantajul unei precizii ridicate, rezultatele nu sunt dependente de variaiile rezo-

    nabile ale tensiunii de alimentare, pot stoca datele i pot prelucra numai acele date necesareaplicaiei respective.

    CNeste un ansamblu construit dinresurse fizice (hardware) i deprograme de sistem(software de baz), care asigur prelucrarea informaiei n conformitate cu algoritmii specifi-cai de utilizator prinprograme de aplicaii (software de aplicaii).

    Programelereprezint osuccesiune de instruciuni, n care fiecare instruciune efectu-

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    8/151

    8

    eazo operaie. n urma interpretrii instruciunilor, se obinrezultatelesau datele de ieire.O instruciune (conine specificaii referitoare la ope-raia ce trebuie efectuat (codul

    operaiei), avndmbii cu care se codific 2minstruciuni i specificaia referitoare la adresaunui operand sau a unei alte instruciuni, numit adresceconinenbii i cu care se poate explora un spaiu de adre-sare n memorie de 2ncuvinte (figura 1.1).

    Prima arhitectura a unui calculator a fost stabilit, nanii 40 de americanulJohn von Neumann.

    Structura unui CN(figura 1.2) este format din:1) Unitatea central de calcul (CPU)

    CPU (Central Processing Unit) opereaz cu cuvinte binare de lungime 4, 8, 16, 32sau 64 de bii i este alctuit din:

    unitatea aritmetic i logic (UAL) sau unitatea de execuie, care are rolul de a efectuaoperaii aritmetice i logice asupraoperanzilor(datelor) aplicate la intrare, n conformitate cuo comand sau cod de operaie furnizate din exterior. Ieirile UALsunt:

    - indicatorii de condiii: semnul rezultatului, rezultatul egal cu zero, paritatea rezultatului,transportul n afara rangului de semn;

    - indicatori de eroare: depirea capacitii de reprezentare a numerelor sau a rezultatului.UALefectueaz i transferuri de date ntreregistreleei interne, de tipul:- registre cu operanzi;- registre cu indicatori de condiii;- registre de ieire cu rezultatele operaiei.

    unitatea de comand (UC), care realizeaz:- citirea instruciunilor programului dinmemorie(UM) cu ajutorul registrelor de instruci-

    uni i execuia lor automat;

    CODOPERAIE

    (mbii)

    ADRES

    (nbii)

    Figura 1.1: Instruciunea

    Figura 1.2: Structura unui calculator numeric

    M A G I S T R A L E (BUSES)COMMAND BUS

    DATA BUS

    ADRESS BUS

    Unitatecomand

    UC

    Unitatearitmetici logic

    UAL

    Registre

    Unitate central

    de calcul

    CPU

    RAM ROMDisc

    DMA

    Band

    Memorie

    UM

    Intrri/Ieiri

    numerice

    ConvA/NN/A

    Proces/

    Experiment

    Dispozitive

    n timp real

    Imprimant

    Plotter

    nregistrator

    Scanner

    Modem

    Plac reeaTuner TV

    CD R/W

    DVD

    Terminal

    Dispozitive alfa numerice

    Unit i intr are ieir e (UI /UE )

    I/O

    Indicatori de condiii (0, =0,0 etc.)

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    9/151

    9

    - coordonarea celorlalte uniti ale CN,prin furnizarea semnalelor de comand de ctrecontorul programuluice conine adresa instruciunii urmtoare din program.

    Deci,CPUrealizeaz operaii aritmetice elementare, funcii logice, transferuri ntre re -gistrele ei interne, comand celelalte uniti ale CNi efectueaz transferuri de date n exte-rior prin cele trei magistrale de date.

    2) Unitatea de memorie (UM), care cuprinde:

    zona memoriei de tipRAM(englez:RandomAccesMemory), memorie cu acces alea-tor sau memorie nepermanent, n careCPUpoate nscrie i citi cuvinte de date i schimbainforma-ia.RAMpoate fi construit cu dispozitive semiconductoare, fiind volatil(la deco-nectarea alimentrii se pierde informaia) sau cu ferite (nevolatil);

    zona memoriei de tipROM(englez:ReadOnlyMemory), din careCPUcitete cuvin-tele instruciunilor din program fiind o memorie de tippermanent, avnd coninutul fix dat de

    productor i care nu poate fi alterat de utilizator (nu poate fi nscris deCPU);zona memoriei de tipDMA(englez:DirectMemoryAcces), memorie cu acces direct,

    la care accesul se face fr intervenia programatorului, utiliznd partea dehardware.La aceste tipuri de memorie elementele de memorie sunt organizate sub form de registre

    de memorie, de regul cucircuite basculante bistabile (CBB). Fiecare bit al unui cuvnt binarmemorat de un registru este stocat de unCBB.

    mediu de memorare de tipdisc sau band magnetic, pentru stocarea extern a informa-iei.

    Capacitatea unei memoriise exprim nkilocuvinte: 1kilocuvntconine 210= 1024cu-vinte, cuvntul avnd 4, 8, 16, 32 sau 64 bii.

    3) Unitile intrare/ieire (I/O) sau UI/UE, formate din:dispozitive alfanumerice de intrareieire care permit operatorului accesul la nscrierea

    de date n CN, citirea rezultatelor, verificarea i depanarea programelor cu ajutorul terminalu-lui alfanumeric (alctuit din monitor i tastatur), tiprirea rezultatelor i reprezentri graficecu ajutorul imprimantei,plotter-ului,scanner-ului sau nregistratorului i introducerea de datecu ajutorul cititorului de cartele perforate sau benzi perforate, dischetelor, compactdiscurilor(CD) sau dispozitivelor cu memorii flash. De asemenea, se pot stabili legturi cu ceilali

    utilizatori de calculatoare prin intermediulmodem-ului, pentru acces la reele de calculatoaresauInternet;

    dispozitive de intrare/ieire n timp real, numerice sau analogice care permit realizareaunor experimente sau a controlului unor procese fizice, cu ajutorul convertoarelor analognumerice(CAN)saunumericanalogice(CAN).

    4) Magistralele de informaiiMagistralele de informaiipermit schimbul de date ntre unitile componente ale unui

    CN, astfel: magistrala de informaii(DATA BUS), bidirecional, avnd un numr de linii de date

    egal cu lungimea cuvintelor binare (4, 8, 16, 32 sau 64 bii)i pe care se face schimbul de da-te ntreCPUi celelalte uniti;

    magistrala de adrese(ADRESS BUS), unidirecional, pentru a stabili de ctreCPUceidoi parteneri ai transferului de date, cu ajutorul cuvintelor sub form de adrese;

    magistrala de control(COMMAND BUS), ntreCPUi celelalte uniti, pentru a stabilitipul operaiei n curs (sensul transferuluispresaudinspre CPU, deservirea unei ntreruperi,acces direct laDMA).

    Transmiterea de cuvinte binare dinspre CPUse face cu operaia de nscriere WR (en-glez:WRite), iar transmiterea spre CPU, se realizeaz cu operaia de citire RD (ReaD).

    III) Calculatoarele hibride (CH) opereaz cu informaii analogice i numerice cuajutorul unor blocuri funcionale numerice i analogice, conectate ntre ele prin convertoare

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    10/151

    10

    CNAiCAN.Clasificarea calculatoarelor

    O clasificare a calculatoarelor se poate face n funcie de cteva criterii i anume:1) Din punctul de vedere al dezvoltrii (evoluiei):

    calculatoare din generaia 1 (19461957) calculatoare reale construite cutuburi cuvid;

    calculatoare dingeneraia 2 (19571967) calculatoare reale construite cu dispozitivesemiconductoare discrete (diode i tranzistoare);

    calculatoare dingeneraia 3 (1967...1970)calculatoare reale construite cu circuite in-tegrate de tipSSI(SmallScaleIntegration) cu 12pori logiceTTLi de tipMSI(MediumScaleIntegration) cu 12...100pori logiceTTL;

    calculatoare din generaia 4 (din 1970) calculatoare reale construite cu circuite inte-grate de tip LSI(englez:LargeScaleIntegration) cu peste 100 de pori logice TTL, de tipVLSI(englez:VeryLargeScaleIntegration) cu 50000 tranzistoareMOSpe cip i de tipSLSI(englez:SuperLargeScaleIntegration) cu 106tranzistoareMOS/cip;

    calculatoare dingeneraia 5 construite pe baza unor sisteme inteligente.2) Din punctul de vedere al utilizrii:

    calculatoare universale; calculatoare specializate, pentru un anumit domeniu sau domenii apropiate.Unele dispozitive dedicate, cum ar fi microcontrolerele, pot fi realizate cu arhitectur

    von Neumann(concept clasic) sau cu arhitectur Harvard(concept mai nou). narhitecturaHarvard, magistrala de date i magistrala de adrese sunt separate (figura 1.3). Astfel poatecircula un mare volum de date prin unitatea de procesare central iar viteza de lucru este maimare. Prin separarea un program de memoria de date este posibil ca instruciunile s nu nece-site cuvinte de 8 bii. Tipic pentruarhitectura Harvardeste numrul mai mic de instruciunidect narhitectura von Newmanniar instruciunile sunt executate uzual ntr-un ciclu.

    1.2. Automate finite1.2.1. Noiuni i definiii

    Activitatea de descriere, msurare i prognozare a fenomenelor fizice sau sociale se ba-zeaz pe modele matematice care constau n relaii ntre parametrii numerici sau logici legaintre ei prin diverse operaii matematice.

    Calculatoarele i unele dispozitive de automatizare folosesc informaiile despre activit -ile enumerate mai sus n reprezentare numeric.

    Sistemele numerice, care opereaz cu informaii de natur numeric, pot fi reprezentatedin perspectiva teoriei generale a sistemelor cu stri finite. Un sistem cu stri finite poate fi

    particularizat la o subspecie a sa numitautomate finite.1.2.1.1. Automat

    Definiie.Un automat(automaton) este uncvintuplu de forma:(1.1) A = (X, S, Z, f, g),unde X este mulimea intrrilor (alfabet de intrare), S reprezint mulimea strilor automatu-lui, iar Zeste mulimea ieirilor (alfabet de ieire).

    Mulimile X, S, Zsunt mulimi nevide.

    Arhitectur Harvard Arhitectur von Neumann

    Memoriede date

    CPU Memorieprogram8 4

    CPU

    Memoriede date iprogram8

    Figura 1.3Arhitecturile Harvard i von Neumann

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    11/151

    11

    Reprezentarea grafic a unui automat, caracterizat de mulimile X, Si Z, este ilustratn figura 1.4.

    Funciile fi gsunt aplicaii ale produsului cartezian al mulimilorSi Xpe mulimea

    prilor nevide *ale mulimii S, respectiv Z, astfel:(1.2)

    ),(:

    )(:

    Z*XSg

    S*XSf

    P

    P

    x

    x

    unde feste funcia de tranziie (trecere) i g reprezint funcia de ieire (rspuns).Din relaia (1.2) rezult c funciile fi gsunt mulimi de perechi ordonate (s, x) cus

    Si xX, adic f(s,x) i g(s,x).1.2.1.2. Automat determinist

    Definiie.Un automatAeste determinist dac pentru () s Si x Xavem:

    ,1),(

    ;1),(

    xs

    xs

    g

    f(1.3)

    undef(s,x) ig(s,x) reprezintcardinalulfunciilor respective.Observaie. Dac pentruorices Si x Xavemf(s,x)= 1, atunciAeste un auto-

    mat Sdeterminist, iardac pentru orices Si x Xavemg(s,x)= 1, atunciAeste unautomat Zdeterminist.

    Concluzie. Un automat determinist este un automat Sdeterminist i Zdetermi-

    nist. 1.2.1.3. Automat finitDefiniie.Un automatA este finit dac mulimile X, S, Z care aparin automatului

    sunt finite.Automatul finit poate fi reprezentat ca o cutie neagr avnd un numr de borne exteri -

    oare accesibile i capabil s posede un numr finit de stri interne (elementele mulimii S).Dac se aplic elemente ale alfabetului Xla bornele de intrare, atunci automatul finit

    elaboreaz un rspuns (elemente ale alfabetului Z) i trece ntr-o nou stare intern (elementeale mulimii S).

    Rspunsulistarean care trece automatul aparin mulimilorg(s,x)i f(s,x) unde seste starea prezent.

    Funciile caracteristiceale unui automat sunt S, fi g.

    Observaie. n cazul mai multor automate care au aceleai mulimi X i Z i pentrucare folosim notaia Aut(X, Z), orice automat A Aut (X, Z) poate fi descris detripletul:(1.4) A= (S, f, g).

    1.3. Modele de automate finiteAutomatele finite pot fi de tipulMoore,MealysauStarke.

    1.3.1. Modelul MooreDefiniie.Un automat finitMooreeste un cvintuplu de forma:

    A = (X, S, Z, f, g),avnd urmtoarele ecuaii caracteristice:

    X ZS

    Figura 1.4: Reprezentarea unui automat

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    12/151

    12

    )],([)(

    )](),([)1(

    sz

    sxs

    g

    f(1.5)

    unde X, S, Z, fi gau fost definite anterior, iar:x() este simbolul de intrare, la momentul prezent;s() este starea prezent, la momentul prezent ;

    z() este simbolul de ieire, la momentul prezent;s( + 1) reprezint starea la momentul urmtor( + 1) saustarea urmtoare.Not .Alfabetulunei variabile reprezint mulimea de valori pe care o poate lua varia-

    bila, iarsimbolul este fiecare element al alfabetului.Conform relaiei (1.5), pentru un automatMoorestarea urmtoares( + 1) este definit

    de simbolul de intrarex() i de starea prezent s(), iar ieirea prezentz() a automatuluidepinde numai de starea prezents(v).

    1.3.2. Modelul MealyDefiniie.Un automat finitMealyeste un cvintuplu de forma A = (X, S, Z, f, g), cu ur-

    mtoarele ecuaii caracteristice:

    )].(),([)(

    )](),([)1(

    sxz

    sxs

    g

    f(1.6)

    Din definiie, rezult c, ieireaz()este determinat n prezent de starea prezent s()i de intrareax(), iar funcia de tranziie (starea urmtoare s( + 1)) este aceeai ca la auto-matulMoore. De altfel, automatulMooreeste un caz special al automatuluiMealy(o subspe-cie a sa).

    1.3.3. Modelul StarkeDefiniie.Un automatStarkeeste un cvadruplu de forma A= (X, S, Z, k), unde:

    (1.7) )(: XSXSk * P ,este funcia creia i apartine ieirea la momentuli starea la momentul( + 1)n funcie destarea i intrarea automatului la momentul .

    Modelul Starke reprezint cazul general al automatelor finite, iar prin particularizarea

    funciei k se obinmodelulMoore, pentru)](),,([),( sxsxs gfk(1.8.a) ,

    modelulMealy, pentru)],(),,([),( xsxsxs gfk(1.8.b) .

    1.4. SemiautomatDefiniie.Un semiautomat, notat S(A),este un triplet de forma:

    (1.9) S(A) = (X, S, f).Semiautomatul este un automat la care nu intereseaz ieirea ci numai evoluia sa inter-

    n mpreun cu tranziiile dintre stri.Observaie. Unui semiautomat i se pot ataa mai multe automate prin adoptarea al fa-

    betului de ieire Zi a funciei de ieire (rspuns) g, dar unui automat i se poate ataa un sin-gur semiautomat.1.5. Structura unui automat finit

    n figura 1.5se reprezint modelul unui automat la care strile lui sunt realizabile fiziccu ajutorulblocului de memorie M. BloculMdetermin strile interne ale automatului i esteun automatMoore.

    Dac lipsete conexiuneaa la blocul funciei de ieire g, structura devine un automatMoore, iar dac lipsete blocul funciei g(s,x), se obine unautomat de stare.

    Un automat care are funcia de ieire de forma:

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    13/151

    13

    (1.10) )](([)](),([ xxs gg ,reprezint unautomat fr memoriesautrivial. n acest caz, funcia de tranziie fi variabile-le intermediare determinate de legtura invers bnu au nici o influen i, deci, noiunea destare este inutil.

    Deoareceautomatele fr memorie au ieirea la un moment dat determinat numai decombinaia mrimilor aplicate la intrare n acel moment, ele se numesc automate combinaio-nale, care sunt realizate fizic cucircuite logice combinaionale(prescurtat,CLC).

    Automatele finite cu memorie sunt realizate fizic cu circuite logice secveniale (CLS),

    care conin circuite logice combinaionaleielemente de memorie.Partea de structur combinaional este reprezentat ntr-unautomat netrivial (cu me-

    morie)de blocurile care elaboreaz funciile f(s,x)i g(s,x).1.6. Reprezentrile funciilor caracteristice ale automatelor finite

    Funciile caracteristice fi gse pot reprezenta prin tabelul de tranziie, grafuri de tranzi-ie sau organigrame.

    1.6.1.Tabelul de tranziieTabelul de tranziie se construiete trecnd pe coloane variabilele de intrare ale muli-

    mii X, iar pe linii componentele mulimii strilorS. Interseciile definesc funciile fi g (figu-ra 1.6).

    De exemplu, dac sistemul se afl n stareas1i la intrare primete variabilax1, automa-tul trece n stareasni la ieire are valoareaz3.

    1.6.2.Grafuri de tranziienfigura 1.7este dat un exemplu de reprezen-tare a funcionrii unui automat cu ajutorul grafurilorde tranziie.

    n noduri se trec strile strile sistemului (s1,s2,s3), iar arcele orientate conin determinrile de intrarei ieire pentru care sensul sgeii indic sensul tran-ziiei.

    Dac sistemul se afl n starea s1 i se aplic

    f(s, x)M

    sS

    g(s, x)xXzZa

    b

    Figura 1.5: Structura unui automat

    X x1 x2 xpS

    s1 sn z3 s2

    f,g

    sn

    Figura 1.6: Tabelul de tranziie

    x1

    z3 x2 z2 x3 z3

    x2 z2 x1 z1

    s1 s2

    s3

    Figura 1.7: Exemplu de grafde tranziie

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    14/151

    14

    mrimeax1la intrare, el va trece n stareas2 avnd la ieire mrimeaz3.1.6.3. Organigrame

    Pentru reprezentarea sistemelor cu ajutorul organigramelor (figura 1.8), mrimilex1,x2sunt condiii de intrare testate n vederea stabilirii evoluiei sistemului, iar alturi de strilesistemului se trec valorile ieirilor.

    n situaia n care sistemul se afl n stareas1i are la intrare mrimeax

    1, el trece n sta-

    reas2i va avea la ieire valoareaz3. Dac n urma testriix1nu a aprut la intrare, atunci sis-temul va rmne n stareas1(legturaa).

    ***

    s1

    s2

    s4 s3

    x2

    x1Nu

    z1a

    Da

    z3

    z3z2

    DaNu

    Figura 1.8: Exemplu de organigram

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    15/151

    15

    CAPITOLUL 2ALGEBRA BOOLEAN I FUNCII BOOLEENE

    2.1. Algebra boolean2.1.1. Noiuni introductive

    2.1.1.1. Logica matematic

    Logica matematic este tiina care utilizeaz metode matematice pentru soluinonareaproblemelor matematice.

    2.1.1.2. Algebra logiciiAlgebra logiciieste un domeniu al logicii matematice care opereaz cu aseriuni simple

    saucompuse.Aseriuneareprezint o afirmaie despre care se poate spune c este fie adevrat i i se

    atribuie valoarea 1, fie fals i creia i se atribuie valoarea 0.Algebra logicii folosete metoda simbolicpentru simplificarea calculelor i anume:a) Simboluri, pentru operaii matematice de tipul:

    -disjuncie: +, SAU, (reuniune);- conjunctie: , I, (intersecie);-negaie: x (negarea variabileix).

    b) Litere, pentru aseriunile simple sau compuse.Proprieti:

    1) Comutativitatea

    .

    ;

    ABBAsauABBA

    ABBAsauABBA(2.1)

    2) Asociativatatea

    .)()()()(

    ;)()()()(

    CBACBAsauCBACBA

    CBACBAsauCBACBA(2.2)

    3) Distributivitatea

    ).()()()()(

    ;)()(

    CABACBAsauCABACBA

    CABACBAsauCABACBA

    (2.3)

    Postulate

    0.1

    10

    101

    010

    110

    001

    000

    111

    111

    000

    10

    01(2.4) ;;;;;

    ,

    ,

    ApentruA

    ApentruA

    Teoreme

    .;)(

    )(;;;

    0

    1

    00

    11

    1

    0(2.5)

    AA

    AA

    AA

    AA

    AAA

    AAA

    A

    A

    AA

    AA

    Formulele lui De Morgan

    ABAABAAABAABAA

    )()((2.6)

    .

    ;

    CBACBA

    CBACBA(2.7)

    2.2.Funcii booleene2.2.1.Definiie

    Se consider funcia:

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    16/151

    16

    (2.8) f(x,y) =a x2+b y,n carex,y ;a,b;f(x,y) , iarx,ysunt argumentele funciei f.

    Pentru diferite valori ale argumentelorxi y acestora le corespunde o funcie f.n algebra boolen, argumentele funciei i funcia f nu pot lua dect dou valori, 0sau 1, iarfunciafse numete funcie boolean.

    Pentrunargumente se pot obine 2ncombinaii ale valorilor acestor argumente, combi-

    naii pentru care funcia boolean fnu poate lua dect valoarea 0 sau 1(figura 2.1).Reprezentarea de mai sus constitu-

    ie tabelul de adevr al funciei booleene.n practic pot aprea urmtoarele

    situaii i anume:1) Exist dispozitive despre care cu-

    noatem modul de variaie a intrrilor(argumentele funciei booleene) i stareaieirii pentru toate combinaiile intrri-lor. Cu ajutorul acestor elemente se poatestabili tabelul de adevr, iar pe baza luise determin expresia funciei booleene. Aceast operaie se numete analiza dispozitivului.

    2) n cazul n care se dau funcia boolean, numrul argumentelor i tabelul de adevr ise cere dispozitivul care realizeaz practic funcia booleean, se va utiliza operaia de sinteza dispozitivului.

    3) Situaia de nedeterminare, n care pentru unele combinaii ale argumentelor, funciabooleean nu are o valoare cert (determinat) astfel c funcia este incomplet definit. Acestfapt se consemneaz n tabelul de adevr cu ajutorul simbolului * .

    2.2.2. Operaii cu funcii booleeneOperaiile fundamentale care se pot aplica funciilor booleene sunt:

    - negarea variabileix, adic x- reuniunea (+", disjuncie,)- intersecia (, conjuncie,).

    2.3. Reprezentarea numeric a informaiei2.3.1. Reprezentarea n sistem binarn scopul prelucrriihardwarede ctre circuite adecvate, informaia trebuie s fie repre-

    zentat nsistem binar, cu ajutorul celor doucifre binare (bii): 0 i 1.Din punct de vedere electric, cifrei 0i se asociaz un nivel sczut de tensiune (n jurul

    valorii de 0 voli), denumit nivel logic JOS sau LOW(n englez), iar cifrei binare 1i se aso-ciaz un nivel ridicat de tensiune (n valoare absolut), denumit nivel logic SUS (HIGH).

    Cele dou cifre binare 0 i 1 aparin sistemului de numeraie binarcare are baza 2,sistem care conine cele dou simboluri:(2.8) A2= {0,1}.

    Un numr binarXpoate fi scris sub forma

    (2.9)

    0

    0

    1

    1

    2

    2

    1

    12 2222)(

    aaaaX

    n

    n

    n

    n ,undeai{0,1}, i = 0, 1, ...., (n1) sunt coeficienii reprezentrii binare.Forma prescurtat de reprezentare a numrului binarXeste

    (2.10) (X)2= 0121 ...... aaaa nn , ai{0,1}, i = 0, 1, ...., (n1).

    n relaia anterioar, an1 se numetecel mai semnificativ bit (n englez, prescurtatMSB), iara0 cel mai puin semnificativ bit (LSB). Relaia coninentermeni i reprezint uncuvnt binar cu nbii saunranguri.

    Atenie!Inversarea poziiilor rangurilor conduce la modificarea reprezentrii iniia-

    x1, x2,.....................,xn-1, xn f(x1,x2,...,xn-1, xn)0 0...........................0 0 10 0...........................0 1 20 0...........................1 0 3

    .........................................1 1...........................1 1 n

    i{0,1},i = 1, 2, .., 2n

    Figura 2.1: Tabelul de adevr

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    17/151

    17

    le a numrului(cuvntului)binar!Un cuvnt binar cu nranguri poate reprezenta numere ntregi zecimale n gama:

    (2.11) 120 nX .Astfel, un cuvnt binar cu 8bii, denumit ioctet, poate reprezenta numerele zecimale

    ntregi ntre 0 i 255 (n = 8).Cu ajutorul relaiei (2.9)se poate efectua i operaia de decodificare (conversie) din re-

    prezentarea binar n reprezentarea zecimal. De exemplu, numrul binar (octet) 11010010 lvom reprezenta pe baza relaiei (2.9)astfel:

    Observaie.DacLSB = 0, atunci numrul zecimal corespunztor este par, iar dac

    LSB = 1 numrul zecimal va fiimpar.Principalele avantaje ale utilizrii sistemului binar de reprezentare a informaiei n ra-

    port cu sistemul zecimal sunt att tehnologice, ct i din punct de vedere al efecturii operaii-lor matematice logice. Din punct de vedere tehnologic este mai uor s se realizeze dispoziti-ve care s materializeze cele dou stri 0 i 1ale sistemului binar dect cele 10 stri ale siste -mului zecimal. Astfel, utilizarea dispozitivelor semiconductoare i, n special a tranzistorului,care funcioneaz n regim de comutaie conduce, prin convenie, la alocarea cifrei binare 1pentru starea de blocare respectiv cifrei 0pentru starea de conducie. Aceste dispozitive suntelemente de comutaie statice (fr piese n micare), spre deosebire de elementele de comu -taie dinamice (cu piese n micare) de tipul releelor electromagnetice, care au fost utilizateiniial n schemele logice i care prezint un grad sczut de fiabilitate. Al doilea avantaj l re -

    prezint simplitatea regulilor pentru operaiile matematice logice.Operaii cu numere binare

    1) Adunarea i nmulirea numerelor binareAceste operaii au la baz urmtoarele reguli (vezi i relaiile (2.4)):

    Adunarea binar

    (2.12)

    1011

    101

    110

    000

    transportdebitcu,

    nmulirea binar

    (2.13)

    111

    001

    010

    000

    Operaia de nmulire este o adunare repetat.

    Exemplu.S se efectueze nmulirea numerelor binare: 11011i 1011.

    101467

    01234567

    01234567

    )210(2222

    2021202021202121

    )()()()()()()()(

    aaaaaaaa

    01001011

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    18/151

    18

    R.

    2) Scderea numerelor binareOperaia de scdere a dou numere binare, la care iau parte desczutul(numr pozitiv)

    i scztorul (numr negativ), implic prelucrarea suplimentar a scztorului, astfel ca ladesczut s se adune uncomplemental scztorului. Necesitatea reprezentrii prin comple-ment a numerelor negative deriv din observaiile pe care le putem efectua asupra reprezent-rii prin modul i semna numerelor negative.

    Reprezentarea numerelor negative n modul i semnSemnul (+) sau () al unui numr binar se recunoate dup valoarea bitului cel mai

    semnificativ (MSB): dacMSB = 0numrul este pozitiv, iar dacMSB = 1numrul va fi ne-gativ. De exemplu, considerm numerele zecimale +11 i 11 reprezentate n binar, cu cte 8ranguri inclusiv bitul de semn:

    Aceast reprezentare are o serie de dezavantaje majore:-biii de semn trebuie tratai separat;- apar dou reprezentri diferite pentru zero: 000...00 pentruzero pozitiv, iar pentru

    zero negativ combinaia 100...00;-este necesar implementarea unei operaii de scdere a valorilor absolute ale numerelor.

    Reprezentarea n complementul fa de 1Numrul negativ reprezentat prin complementul fa de 1 se obine prin nlocuirea fie-

    crei cifre binare, prin complementul fa de 1 astfel:complementulfa de 1, al lui 0, este 1,iar complementul fa de 1, al lui 1, este 0. Numrul pozitiv are n complementul fa de 1aceeai reprezentare ca n modul i semn, bitul cel mai semnificativ fiind alocat semnului nu-mrului binar.

    i n aceast reprezentarezeroare tot dou forme (vezi exemplul de mai jos), deoarece

    rezultatul adunrii a celor dou numere 111111este complementul fa de 1 a lui 000000:

    Utiliznd aceast reprezentare, operaia de scdere a dou numere binare nseamn aaduna la desczut complementul fa de 1 al scztorului, lund n considerare rangurile (bi -

    Nr. binar Nr. zecimal0 0 0 0 1 0 1 1 +11

    Bii de semn1 0 0 0 1 0 1 1 11

    Nr. binar Nr. zecimal Operaie0 1 0 1 1 0 +22 0 1 0 1 1 0 +

    Bii de semn 1 0 1 0 0 11 0 1 0 0 1 22 1 1 1 1 1 1

    1 1 0 1 1 Verificare: 1 0 1 1 (27)10

    1 1 0 1 1 (11)101 1 0 1 1 (297)10

    0 0 0 0 0

    1 1 0 1 1

    1 0 0 1 0 1 0 0 1

    BT-1BT-1BT-1

    BT-1BT-1

    BT-1BT-1

    BT-1

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    19/151

    19

    ii) de semn, iar bitul de transport aprut n urma adunrii se va aduna la rndul su la bituldin dreapta (LSB) al rezultatului. Acest algoritm voluminos mpreun cu dubla reprezentare alui zero constituie dezavantaje apreciabile ale metodei de scdere princomplement fa de 1.

    Operaia de scdere cu ajutorul reprezentrii prin complement fa de 1 se va exemplifi-ca pentru operaia +(10)10(7)10:

    Reprezentarea n complementul fa de 2Complementul fa de 2 al unui numr negativ este complementul fa de 1 al numru-

    lui respectiv care include bitul de semn negativ i la care se adun o unitate la cel mai puinsemnificativ bit(LSB) al rezultatului reprezentrii.

    Exemplu. S se determine complementul fa de 2 al numrului () 0001001.

    R.

    n aceast reprezentare, exist o singur form pentru zero, lucru ce se poate constatadin exemplul urmtor, n care se adun n complement fa de 2 numerele +5 i 5, avnd c-te patru ranguri n cod binar:

    n concluzie, adunarea codurilor complementare a dou numere se efectueaz rang curang inclusiv rangurile de semn, neglijndu-se bitul de transport n afara rangului de semn.

    n tabelul 2.1se prezint codurile n complement fa de2 ale numerelor zecimale n-tregi cuprinse ntre +8 i 7, cu meniunea c, prin definiie, se consider c numrul +8 aredrept cod 1000(vezi i figura 2.12).

    Tabelul 2.1: Compl ement fa de2(X)10 (X)2 (X)10 (X)2

    8 1 0 0 0 +7 0 1 1 17 1 0 0 1 +6 0 1 1 06 1 0 1 0 +5 0 1 0 15 1 0 1 1 +4 0 1 0 04 1 1 0 0 +3 0 0 1 13 1 1 0 1 +2 0 0 1 02 1 1 1 0 +1 0 0 0 11 1 1 1 1 0 0 0 0 0

    Nr. zecimal Nr. binar Operaie Verificare (+10)10 0 1 0 1 0 0 1 0 1 0 + (+10)10 (+7)10 0 0 1 1 1 1 1 0 0 0 + (7)10 (7)10 1 1 0 0 0 1 0 0 0 1 0 +

    0 0 0 1 1 = (+3)10

    Numr binar () 0 0 0 1 0 0 1 Complement fa de 1 1 1 1 1 0 1 1 0

    1 1 1 1 0 1 1 0+1

    Complement fa de 2 1 1 1 1 0 1 1 1

    (+5)10 0 1 0 1 0 1 1 1 +

    1 0 1 0 + 1 0 1 11 1 0 0 0 0 (-5)10 1 0 1 1 bit care se neglijeaz

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    20/151

    20

    Cu ajutorul anranguri ale cuvntului binar se pot reprezenta ncomplementfa de 2numereleNn gama:

    (2.14) 122 11 nn N .Observaie. Verificarea operaiilor efectuate n reprezentare binar se face convertind

    termenii i rezultatele n sistem zecimal.2.3.2. Reprezentarea n sistemele octal i hexazecimal

    Informaiile binare pot fi reprezentate cu un volum redus utiliznd aceste dou sistemede reprezentare.

    Reprezentarea octalReprezentarea octal utilizeazalfabetul octal cu opt simboluri:

    (2.15) A8= {0, 1, 2, 3, 4, 5, 6, 7}.Reprezentarea hexazecimalReprezentarea hexazecimal se bazeaz pealfabetul hexazecimalde tip alfanumeric (16

    simboluri):(2.16) A16={0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F}.

    2.3.3. Conversiile reprezentrilor numericeConversiile informaiilor numerice reprezentate n unul din cele patru sisteme: zecimal ,

    binar, octal i hexazecimal se pot efectua innd cont de corespondenele prezentate n tabe-lul 2.2.

    (X)2 (X)8(X)1023 22 21 20 22 21 20

    0 0 0 0 0 0 0 0 01 0 0 0 1 0 0 1 12 0 0 1 0 0 1 0 23 0 0 1 1 0 1 1 34 0 1 0 0 1 0 0 4

    5 0 1 0 1 1 0 1 56 0 1 1 0 1 1 0 67 0 1 1 1 1 1 1 78 1 0 0 0 89 1 0 0 1 9

    10 1 0 1 0 A11 1 0 1 1 B12 1 1 0 0 C13 1 1 0 1 D14 1 1 1 0 E15 1 1 1 1 F

    Conversia zecimalbinarSe mparte succesiv numrul zecimal labaza 2 pn se obine un ct mai mic dect 2 (0

    sau 1). Numrul binar va fi compus din valoarea ultimului ctqn1care este ntotdeauna egalcu 1i constituieMSBi valorile succesive ale resturilor obinute n urma mpririi, conside-rate n ordinea :rn2,rn3 ,...., astfel nct primul restr0 devineLSB. De exemplu, considermnumrul zecimal (X)10= 25.

    (X)16

    Tabelul 2.2: Sisteme de conversie

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    21/151

    21

    Conversia binaroctalAceast conversie recurge la mprirea cuvntului binar de la dreapta la stnga n

    grupe de cte 3 bii, numitetriade, iar pentru decodificarea triadelor se utilizeaz tabelul 2.2.

    Conversia binarhexazecimalSe mparte cuvntul binar n grupe de cte 4 ranguri(tetrade)de la dreapta la stnga,

    care se decodific conform tabelului 2.2:

    Dup cum se observ, reprezentarea octal necesit doar 4 ranguri pentru aceeai infor-maie binar cu 10 ranguri, iar cea hexazecimal numai 3 ranguri.

    Observaie. Dac, n urma decodificrii, ultima triad sau tetrad este incomplet, laaceasta se va aduga bitul 0n poziiile rangurilor care lipsescdeoarece grupele binare cores-

    punztoare astfel completate nu-i modific valorile.2.4. Funcii booleene elementare. Circuite tip poart logicFunciile booleene elementare rezult din operaiile fundamentale ale algebrei booleene

    pentru care exist n practic circuite electrice sau electronice, denumite pori logice(n en-glezlogic gates). Ieirile (valorile funciei booleene) sunt de forma:

    a) 0(LOW/JOS/L), pentru poart logic blocat (nchis);b) 1(HIGH/SUS/H), pentru poart logic deschis.

    1) Funcia direct (operator direct)Se realizeaz cu un circuit logic neinversor i se folosete ca un dispozitiv de putere

    pentru transmiterea informaiei pe linii de comunicaie de lungime considerabil (figura 2.2).

    2) Funcia negaie (poart inversoare)(NU)Aceast funcie se obine cu ajutorul unui circuit logic la ieirea cruia se elaboreaz un

    Af = A

    (a) Simbol grafic (b) Tabel de adevrFigura 2.2: Operator direct

    Intrare

    A

    Ieire

    f = A0 01 1

    )(111

    2|30

    )10011()25(2|60

    2|12)(1

    2|25

    4

    3

    2

    2101

    0

    MSBqr

    r

    r

    LSBr

    .)(2)(

    2)(

    823512

    010

    3

    110

    5

    101

    1

    100

    X

    X

    .)(2)(

    2)

    16

    (

    A53A

    0101

    5

    1010

    3

    1100

    X

    X

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    22/151

    22

    semnal avnd starea logic opus strii semnalului aplicat la intrarea porii (circuitul inversordin figura 2.3).

    3) Funcia disjuncie (SAU/OR/+ /)Cicuitul realizeaz o sumare a dou semnale (figura 2.4) sau a mai multor semnale bi-

    nare.

    4) Funcia disjuncie negat (SAUNU/SAU/NOR/NICI)Funcia realizeaz o nmulire a semnalelor de stri opuse strilor semnalelor aplicate la

    intrare (figura 2.5).

    Funcia disjuncie negatse mai numete funcia PierceWebbi poate fi reprezentatcu operatorul nici A nici B ()astfel:(2.17) .BABABAf

    5) Funcia conjuncie(I/AND/)

    Circuitul acestei funcii n-mulete dou semnale binare (fi-gura 2.6), sau mai multe semnale

    binare aplicate la intrri.

    (a) Simbol grafic (b) Tabel de adevr Figura 2.3: Inversor

    IntrareA

    Ieiref = A

    0 1

    1 0

    A f = A

    (a) Simbol grafic (b) Tabel de adevrFigura 2.4: Operator SAU

    f = A + BA

    B

    IntrriA B

    Ieiref = A + B = AB

    0 0 00 1 11 0 11 1 1

    f = BA

    (a) Simbol grafic (b) Tabel de adevrFigura 2.5: Operator SAUNU

    A

    B

    IntrriA B

    Ieiref = BABABABA

    0 0 10 1 01 0 01 1 0

    f = A B

    (a) Simbol grafic (b) Tabel de adevrFigura 2.6: Operator I

    A

    B

    IntrriA B

    Ieiref = A B = AB

    0 0 00 1 01 0 01 1 1

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    23/151

    23

    6) Funcia conjuncie negat (INU/I/NAND/NUMAI)La ieirea acestui

    circuit se obine o nmul-ire negat a dou semna-le (figura 2.7)sau a maimultor semnale aplicatela intrri.

    Funcia conjunc-ie negat, care este nu-mit i funcia Sheffer,

    poate fi reprezentat cuoperatorul numai A sau numai B () astfel:(2.18) .BABABAf

    7) Funcia SUM MODULO2 (/SAUEXCLUSIV/XOR/EXOR)Funcia SUM MO-

    DULO 2 (figura 2.8) esteutilizat n unitile aritme-

    tice logice (UAL) ale micro-procesoarelor.

    Aceast funcie reali-zeaz urmtoarea operaiearitmetic logic:

    (2.19) BABAf

    8) Funcia COINCIDEN (/SAUEXCLUSIVNEGAT/NXOR)n figura 2.8este prezentat funcia COINCIDENcare intr de asemeanea n com-

    ponenaUAL, efectund urmtoarea operaie aritmetic logic:

    (2.20) BABAf .

    2.5. Reprezentarea funciilor booleeneReprezentarea funciilor booleene se face prin metode grafice (intuitive) sau metodeanalitice.

    2.5.1. Metode graficeMetodele grafice (geometrice)sunt utilizate pentru funcii algebrice booleene cu un nu-

    mr redus de argumente i pot fi:-tabelul de adevr;- diagrame de tipEuler,Venn,Veitch, VeitchKarnaugh;- grafuri;

    BAf

    (a) Simbol grafic (b) Tabel de adevr Figura 2.7: Operator INU

    A

    B

    IntrriA B

    Ieire

    BABABAf 0 0 10 1 1

    1 0 11 1 0

    (a) Simbol grafic (b) Tabel de adevrFigura 2.8: Funcia SUM MODULO 2

    f = ABA

    B

    IntrriA B

    Ieiref = AB = BABA

    0 0 00 1 11 0 11 1 0

    f = AB

    (a) Simbol grafic (b) Tabel de adevr Figura 2.9: Funcia COINCIDEN

    A

    B

    IntrriA B

    Ieiref = AB = BABA

    0 0 10 1 01 0 01 1 1

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    24/151

    24

    - scheme logice (logigrame).2.5.1.1. Tabelul de adevr

    Tabelul de adevrsau tabelul combinaionaleste forma cea mai complet de reprezen-tare i conine n partea stng un numr de linii egal cu numrul combinaiilor posibile alevalorilor argumentelor, iar n partea dreapt valorile pe care le ia funcia pentru fiecare com -

    binaie de valori ale argumentelor (vezi i figura 2.1).

    2.5.1.2. Diagrame VeitchKarnaughCele mai utilizate suntdiagramele VeitchKarnaugh, care sunt o reprezentare tabelarcompact cu o dispunere bidirecional a celorn argumente pe 2plinii i 2qcoloane, astfel c

    p +q = n. Dacneste par, atunci 2p = 2q, iar dacn este impar avem 2q = 2p+1(figura 2.10(a), (b), (c)).

    Aceste diagrame au fost introduse prima dat de matematicianulVeitchn cod binar na-tural. Mai trziu,Karnaugh propunecodul Graysau codul binar reflectat(tabelul 2.3) care,

    fiind un cod continuu i ciclic, asigur adiacenantre cmpurile diagramei, deoarece doucmpuri (cifre binare) respectproprietatea de adiacendac difer prin cifra 1.

    x1x2x3 0

    001

    11

    10

    01

    f(x1, x2, x3)

    n = 3p = 1 (2 linii)

    q = 2 (4 coloane)23 = 8 cmpuri

    x1x2x3 x4 0

    001

    11

    10

    000111

    10f(x1,x2,x3, x4)

    n = 4p = 2 (4 linii)

    q = 2 (4 coloane)24 = 16 cmpuri

    (a) (b) (c)

    x1x2x3x4x5

    000111

    10f(x1,x2,x3, x4)

    n = 5p = 2 (4 linii)

    q =3 (8 coloane)25 = 32 cmpuri

    000001011010

    110111101100

    Figura 2.10: Diagrame VeitchKarnaugh

    Tabelul 2.3: Coduri binareCod binar natural Cod binar GrayCod

    zec. B3 B2 B1 B0 G3 G2 G1 G00 0 0 0 0 0 0 0 01 0 0 0 1 0 0 0 12 0 0 1 0 0 0 1 13 0 0 1 1 0 0 1 04 0 1 0 0 0 1 1 05 0 1 0 1 0 1 1 16 0 1 1 0 0 1 0 1

    7 0 1 1 1 0 1 0 08 1 0 0 0 1 1 0 09 1 0 0 1 1 1 0 1

    10 1 0 1 0 1 1 1 111 1 0 1 1 1 1 1 012 1 1 0 0 1 0 1 013 1 1 0 1 1 0 1 114 1 1 1 0 1 0 0 115 1 1 1 1 1 0 0 0

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    25/151

    25

    n exemplele de diagrame din figura2.10se constat cdiagramele VeitchKar-naughconin n total 2p 2q = 2ncmpuri ncare se trec valorile funciei booleene. La ca-

    petele liniilor i coloanelor se trec valorilecombinaiilor argumentelor funciei.

    Exemplu. Sse reprezinte cu diagra-maVeitchKarnaugh funcia de patru argu-mentef(x1x2,x3x4), ale crei valori sunt da-te n tabelul 2.4.

    R. DiagramaVeitch Karnaugh seconstruiete innd cont c pentru patru ar-gumente, numrul liniilor i coloanelor este 4 (vezi i fi-gura 2.10 (b)), iar valorile funcieifpentru diferite com-

    binaii ale argumentelorx1,x2,x3,x4 se trec n diagramla interseciile liniilor cu coloanele care conin grupurilede combinaii respective (tabelul 2.5).

    2.5.1.3. Scheme logice (logigrame)n construirea schemelor logice se utilizeaz simbo-lurile grafice corespunztoare funciilor logice elementareprezentate n 2.4.

    Exemplu. n figura 2.11se prezint un circuit logic cu pori logiceNU,SAU, I, I,

    SAUpentru care se cere forma analitic a funciei de ieiref.

    R.Analiza acestui circuit logic combinaional se efectueaz determinnd funciile deieiref4,f5,f6,f7respectivf8ale porilor logice, n sensul de la sursele de semnal (variabilelede intrare) ctre ieireay a schemei logice. Se obin:

    1) 314 xxf 2) 3215 xxxf

    3) 31316 xxxxf 4) 231247 xxxxff 5) 31321658 xxxxxfff

    6) )()( 3132123187 xxxxxxxxffy

    x1 x2 x3 x4 f x1 x2 x3 x4 f0 0 0 0 0 1 0 0 0 10 0 0 1 1 1 0 0 1 00 0 1 0 1 1 0 1 0 1

    0 0 1 1 0 1 0 1 1 10 1 0 0 0 1 1 0 0 10 1 0 1 1 1 1 0 1 00 1 1 0 0 1 1 1 0 00 1 1 1 0 1 1 1 1 1

    Tabelul 2.4

    Tabelul 2.5 x1 x2x3 x4

    00 01 11 10

    00 0 0 1 101 1 1 0 0

    11 0 0 1 110 1 0 0 1

    1

    2

    34

    5

    6

    7

    8

    f4

    f5

    f6

    f7

    f8

    I, INU SAU, SAUNUNU

    x1 x2 x3

    y

    Figura 2.11: Exemplu de circuit cu pori logice

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    26/151

    26

    = 3132131231321231 )()()()( xxxxxxxxxxxxxxxx ).()( 321313221 xxxxxxxxxy

    2.5.2. Metode analiticeMetodele analitice se utilizeaz pentru funcii booleene cu un numr arbitrar de argu -

    mente, sub form deexpresii analiticesaucoduri.

    2.5.2.1. Reprezentarea prin expresii analiticen cele ce urmeaz vom analiza cele dou forme complementare ale expresiilor uneifuncii booleene.

    1) Forma canonic disjunctivForma canonic disjunctiv (prescurtat FCD) se definete considernd c n funcia

    f(x1,x2,,xn)se pun n eviden produsele:(2.21) ni xxxxP

    ~.....~~~ 321 ,pentru care funciafia valoarea 1, caz n care:

    (2.22)

    .~,

    ;~,~respectiviacombinanvaloareaarexdacx

    respectiviacombinanvaloareaarexdacxx

    kk

    kkk 0

    1

    n condiiile de mai sus, o funcie booleanfpoate fi reprezentat astfel:

    (2.23) f(x1,x2,...,xn) = Pi,unde Pieste o reuniune a termenilor sub form de produse pentru care funcia fia valoarea1. Aceast form esteforma canonic disjunctiv.

    TermeniiPi se numesc termeni canonici conjunctivi (TCC) sautermeni minimali saumintermeni.

    Observaie.TCCsunt combinaiile variabilelor pentru care funcia feste 1, iar varia-bilele care au valoarea 0n combinaia respectiv se scriu negate.

    Pentru exemplul din tabelul 2.4se obine tabelul 2.6.n coloana din stnga sunt trecui toiTCC:P0,P1,P2,,P15, dintre care numai pentru

    termeniiP1,P2,P5,P8,P10,P11,P12 iP15 funcia f(x1,x2,x3,x4) ia valoarea 1, astfel c:

    Tabelul 2.6TCC x1 x2 x3 x4 f TCD

    (P0) 0 0 0 0 0 TCD1 (S0)TCC1 (P1) 0 0 0 1 1 (S1)TCC2 (P2) 0 0 1 0 1 (S2)

    (P3) 0 0 1 1 0 TCD2 (S3)(P4) 0 1 0 0 0 TCD3 (S4)

    TCC3 (P5) 0 1 0 1 1 (S5)(P6) 0 1 1 0 0 TCD4 (S6)(P7) 0 1 1 1 0 TCD5 (S7)

    TCC4 (P8) 1 0 0 0 1 (S8)(P9) 1 0 0 1 0 TCD6 (S9)TCC5 (P10) 1 0 1 0 1 (S10)TCC6 (P11) 1 0 1 1 1 (S11)TCC7 (P12) 1 1 0 0 1 (S12)

    (P13) 1 1 0 1 0 TCD7 (S13)(P14) 1 1 1 0 0 TCD8 (S14)

    TCC8 (P15) 1 1 1 1 1 (S15)

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    27/151

    27

    ).(

    )()()(

    )()()(

    )(

    4321

    432143214321

    432143214321

    4321151211108521

    xxxx

    xxxxxxxxxxxx

    xxxxxxxxxxxx

    xxxxPPPPPPPPf

    2) Forma canonic conjunctiv

    Forma canonic conjunctiv (prescurtat,FCC) se definete considernd c n funciaf(x1,x2,,xn) se pun n eviden sumele de forma:(2.24) ni xxxxS

    ~.....~~~ 321 ,pentru care funciafia valoarea 0, caz n care:

    (2.25)

    .~,

    ,~,~respectiviacombinanvaloareaarexdacx

    respectiviacombinanvaloareaarexdacxx

    kk

    kkk 1

    0

    n condiiile de mai sus, o funcie booleanfpoate fi reprezentat astfel:(2.26) f(x1,x2,...,xn) = Si,

    unde Sieste o intersecie a termenilor sub form de sume pentru care funciafia valoarea0. Aceast form esteforma canonic conjunctiv (FCC).

    TermeniiSi se numesc termeni canonici disjunctivi (TCD) sautermeni maximali saumaxtermeni.

    Observaie.TCDsunt combinaiile argumentelor pentru care funciaf ia valoarea 0,iar argumentele care au valoarea 1n combinaia respectiv se scriu negate.

    TCD din tabelul 2.6sunt prezentai pe coloana din dreapta, iar funcia fare valoarea 0pentruS0,S3,S4,S6,S7,S9,S13 iS14, a stfel c:

    ).()(

    )()()()(

    )()(

    43214321

    4321432143214321

    432143211413976430

    xxxxxxxx

    xxxxxxxxxxxxxxxx

    xxxxxxxxSSSSSSSSf

    Observaie. Cele dou forme canonice sunt unice pentru o funcie boolean complet

    definit. 2.5.2.2. Reprezentarea funciilor cu ajutorul codurilorDespre reprezentarea funciilor booleene princodul Grayi despre utilitatea acestuia s-

    au specificat n 2.5.1.2. Alte coduri folosite sunt codurile autocomplementare i codurilecomplementare.

    Codul exces3 constituie un sistem autocomplementatde numere zecimale codificatebinar. Acest cod se obine prin complement fa de 1al unui numr, inversnd toi biii aceluinumr (figura 2.12).

    Codul exces3are ase stri care nu sunt folosite niciodat. Acest avantaj poate fi ex -ploatat n cazul aplicaiilor la care se utilizeazdiagrame Karnaugh, deoarece acestor strifr importan li se poate aloca valoarea 0sau 1, n funcie de forma canonic folosit, pen-tru a se efectua cea mai bun minimizare.

    Aa cum s-a descris n 2.3.1,complementarea numerelor binarese utilizeaz n opera-iile aritmetice numerice de scdere.2.6.Minimizarea funciilor booleene

    Minimizarea este operaia pentru obinerea expresiei celei mai simple a unei funciibooleene care s permit folosirea unui numr ct mai redus decircuite logice standard. ncazul circuitelor logice combinaionale, acestea conin un anumit numr (standard) de porilogice, care au la rndul lor au un numr determinat (standard) de intrri n funcie de tipul

    porii logice, aspecte ce sunt convenite ntre productorii circuitelor numerice.

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    28/151

    28

    2.6.1.Definiii1) Termen normal conjunctiv

    Termenul normal conjunctiv (TNC) este un produs de forma:(2.27) kxxxx

    ~.....~~~ 321 , k n,

    unde

    ,~,

    ;~,~respectiviacombinanvaloareaarexdacx

    respectiviacombinanvaloareaarexdacxx

    ii

    iii 0

    1

    n care fiecare variabil se ntlnete o singur dat.Observaii:

    a) Numrul argumentelorTNCreprezintrangultermenului respectiv;b) Reuniunea tuturorTNCse numeteforma normal disjunctiv (FND);c) Forma normal disjunctiv care conine cel mai mic numr de argumente ix

    ~ n com-

    paraie cu celelalte forme, reprezintforma disjunctiv minim.2) Termen normal disjunctiv

    Termen normal disjunctiv (TND) este o suma de forma:(2.28) kxxxx

    ~.....~~~ 321 , k n,

    unde

    ,~,

    ;~,~respectiviacombinanvaloareaarexdacx

    respectiviacombinanvaloareaarexdacxx

    ii

    iii 1

    0

    n care fiecare variabil se ntlnete o singur dat.Observaii:

    a) Numrul argumentelorTNDreprezintrangultermenului respectiv;b) Intersecia tuturorTNDse numeteforma normal conjunctiv (FNC);c) Forma normal conjunctiv care conine cel mai mic numr de argumente ix

    ~ n com-

    paraie cu celelalte forme reprezintforma conjunctiv minim.3) Implicanii primi

    Implicanii primiai unei funcii booleene denargumente sunt produse de forma:

    kxxxx~.....~~~ 321 , kn,

    care implic funcia fr a se mai putea elimina o variabil.

    Cod binar zecimal Cod exces3 Complementfa de 1

    Complementfa de 2

    Codzeci-mal0 0 0 0 0 0 0 1 1 1 1 1 1 0 0 0 01 0 0 0 1 0 1 0 0 1 1 1 0 1 1 1 12 0 0 1 0 0 1 0 1 1 1 0 1 1 1 1 0

    3 0 0 1 1 0 1 1 0 1 1 0 0 1 1 0 14 0 1 0 0 0 1 1 1 1 0 1 1 1 1 0 05 0 1 0 1 1 0 0 0 1 0 1 0 1 0 1 16 0 1 1 0 1 0 0 1 1 0 0 1 1 0 1 07 0 1 1 1 1 0 1 0 1 0 0 0 1 0 0 18 1 0 0 0 1 0 1 1 0 1 1 1 1 0 0 09 1 0 0 1 1 1 0 0 0 1 1 0 0 1 1 1

    10 1 0 1 0 0 1 0 1 0 1 1 011 1 0 1 1 0 1 0 0 0 1 0 112 1 1 0 0 0 0 1 1 0 1 0 013 1 1 0 1 0 0 1 0 0 0 1 114 1 1 1 0 0 0 0 1 0 0 1 015 1 1 1 1 0 0 0 0 0 0 0 1

    B3 B2 B1 B0 E3 E2 E1 E0 C3 C2 C1 C0 T3 T2 T1 T0

    Figura 2.12: Coduri

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    29/151

    29

    a) Obinerea implicanilor primi dinFCDAcest lucru se realizeaz aplicnd sistematic la un numr de termeni adiaceni, egal cu

    puteri ale bazei 2, operaia de alipire parial, respectiv operaia de absorbie, reprezentate deurmtoarele dou identiti:

    (2.29)

    .)1(

    )(

    AxAxAA

    AxxAxAxA

    kk

    kkkk

    b) Obinerea implicaiilor primi dinFCCn acest caz se utilizeaz relaiile:

    (2.30)

    .)(

    )()(

    AxAA

    AxAxA

    k

    kk

    Dup gsirea implicanilor primi, prin operaia de reuniune (intersecie) a implicaiilorprimi se obine forma disjunctiv (conjunctiv) prescurtat a funciei booleene. Urmeaz eli-minarea implicanilor redundani care implic suplimentar funcia, obindu-se implicaniistrict necesari sau implicanii eseniali. n final, prin reuniunea (intersecia) implicaniloreseniali se obine forma minim a funciei booleene.

    2.6.2.Metode de minimizare a funciilor booleene

    Pentru minimizare se utilizeaz metodeleQuineMc Cluskey,Quine iKarnaugh.2.6.2.1. Metoda QuineExemplu.Se d urmtoarea funcie sub form canonic disjunctiv:

    f(x1,x2,x3) = 321 xxx 321 xxx 321 xxx 321 xxx 321 xxx 321 xxx .R.Implicanii primi vor fi:

    321 xxx 321 xxx = 21xx321 xxx 321 xxx = 32xx321 xxx 321 xxx = 31xx321 xxx 321 xxx 32xx321 xxx 321 xxx = 31xx

    321 xxx 321 xxx 21xxn acest mod s-au obinut termeni de rang 2 care aici nu mai pot fi redui, astfel c

    funciafdevine:f(x1,x2,x3) = 21xx + 32xx + 31xx + 32xx + 31xx + 21xx .

    Pentru stabilirea numrului minim de implicani se construiete un tabel al implicani-lor primi, n care fiecare linie corespunde unui implicant prim, iar fiecare coloan corespundeunui termen canonic.

    Din tabelul 2.7 rezult c fiecare termen canonic este implicat de cte doi implicaniprimi rezultnd astfel dou grupuri de implicani eseniali. Aceste grupuri conduc la dou for-me disjunctive minime:

    f(x1,x2,x3) = 21xx 3132 xxxx , sau:

    f(x1,x2,x3) = 32xx 2131 xxxx .Putem observa c n cazul n care forma canonic a unei funcii are termeni muli, com-

    pararea lor devine destul de greoaie i se pot face uor omisiuni. Din acest motivMc Cluskeya mbuntit prima parte a metodei comparnd termenii canonici dup numrul biilor 1.

    2.6.2.2. Metoda QuineMc CluskeyAceast metod se aplic n cazul funciilor cu un numr mare de variabile (peste ase),

    permind utilizarea programelor de calcul numeric.Exemplu. Se d funcia de 4 argumente:

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    30/151

    30

    f(x1,x2,x3,x4) = 4321 xxxx + 4321 xxxx + 4321 xxxx + 4321 xxxx + 4321 xxxx +

    + 4321 xxxx + 4321 xxxx + 4321 xxxx + 4321 xxxx + 4321 xxxx + 4321 xxxx .

    Se cere forma disjunctiv minim a funcieif.R.Se parcurg urmtoarele etape:

    1) Reprezentarea termenilor canonici n cod binarSe scriu termenii n cod binar dup numrul de bii cu valoarea 1.- grupa zerocu niciun bit 1: 0000- grupa nticu un bit 1: 0001; 0010; 0100; 1000- grupa a doua cu doi bii 1: 0011; 0110; 1001- grupa a treia cu trei bii 1: 0111; 1011- grupa a patra cu patru bii 1: 1111

    2) Determinarea implicanilor primiImplicanii primi se obin prin comparaii succesive ale fiecrui termen dintr-o grup cu

    toi termenii din grupa urmtoare (termenul dingrupa zerocu fiecare termen dingrupa nti,fiecare termen dingrupa nticu fiecare termen dingrupa a doua.a.m.d.), aplicnd relaiade alipire parial:

    A kx + A kx = A vezi relaiile (2.29).Se elimin rangurile celor doi termeni care se compar acolo unde biii corespunztori

    rangurilor respective au valori diferite i se nlocuiesc cu semnul ().Mai nti, se determintermenii de rang trei:- grupa zero: 000; 000; 000;000- grupa nti: 001;001; 001; 010; 010; 100- grupa a doua: 011;011; 011; 101- grupa a treia: 111; 111n continuare, se repet procedeul pn cnd nu mai este posibil nici o reducere. Astfel

    se obintermenii de rangul doi:- grupa zero: 00 ;00; 0 0

    - grupa unu: 01; 01- grupa a doua: 11.3) Obinerea formei minime a funciei

    Se construiete tabelul de minimizare cu termenii corespun ztori ultimei reduceri (ncazul nostru,termenii de rangul doi). Termenii canonici (TC) se trec pe coloane, iar pe linii,implicanii primi (IM). Se vor alege acei implicani primi care asigur acoperirea minimal atermenilor canonici (tabelul 2.8).

    Din analiza tabelului rezult c forma disjunctiv minim este:

    4341324321 ),,,( xxxxxxxxxxf .

    Tabelul 2.7Termeni canoniciImplicani

    primi 321 xxx 321 xxx 321 xxx 321 xxx 321 xxx 321 xxx

    21xx 32xx 31xx 32xx 31xx 21xx

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    31/151

    31

    Observaie.Aceast metod poate fi aplicat i formei canonice conjuctive inndcont de identitile:

    (A+xk)(A+ kx ) =A; A(A+xk) =A -vezi relaiile (2.30).

    2.6.2.3. Metoda KarnaughAceast metod este una global deoarece ambele etape alemetodei Quinese efectuea-

    z simultan.

    Exemplu.Se consider funciaf(x1,x2,x3,x4) alecrei valori sunt prezentate n diagrama Karnaughdin ta-belul 2.9.

    R.Formele canonice ale funciei sunt:a) Forma canonic disjunctiv (FCD)

    FCD se obine prin reuniunea tuturormintermeni-lor, adic a termenilor pentru care funcia f are valoarea1, astfel c se obine:

    ),,,( 4321 xxxxf = 4321 xxxx + 4321 xxxx +

    + 4321 xxxx + 4321 xxxx + 4321 xxxx + 4321 xxxx +

    + 4321 xxxx + 4321 xxxx + 4321 xxxx + 4321 xxxx .

    b) Forma canonic conjunctiv (FCC)Aceast form se determin n urma interseciei tu-turormaxtermenilor(termenii pentru care funciafia va-loarea 0) astfel:

    ),,,( 4321 xxxxf = )( 4321 xxxx )( 4321 xxxx )( 4321 xxxx )( 4321 xxxx )( 4321 xxxx )( 4321 xxxx .

    Minimizarea funcieifse realizeaz n urmaalipirii termenilorpentru care funcia areaceeai valoare, 1sau 0, termeni ce ocupcmpuri adiacente. Dou cmpuri sunt adiacentedac combinaiile argumentelor corespunztoare lor difer prin cifra 1, pe linie sau pe coloa-n. Alipirea a dou cmpuri adiacente conduce la eliminarea uneivariabile (argument), alipi-rea a patru cmpuri adiacente conduce la eliminarea a dou variabile etc.

    Forma normal disjunctiv (FND)se obine, n cazul nostru, n urma unor alipiri marca -te cu linii punctate:)()()(),,,( 4143243214321 xxxxxxxxxxxxxf .

    Este evident c se pot utiliza i alte perimetre de alipire, important fiind obinerea unuinumr ct mai mic numr de implicani eseniali care s aib ct mai puine argumente.

    Forma normal conjunctiv (FNC), cu alipirile sugerate n figur de liniilentrerupte, vafi expresia:

    32143142414321 ),,,( xxxxxxxxxxxxxxf .

    Tabelul 2.8 TC

    IM0 0 V V V V0 0 V V V V

    0 0 V V V V 01 V V V V0 1 V V V V 1 1 V V V V

    0000

    0001

    0010

    0011

    0100

    0110

    0111

    1000

    1001

    1011

    1111

    Tabelul 2.9

    x1x2x3x4

    00 01 11 10

    00 1 0 0 0

    01 1 1 1 1

    11 0 1 1 1

    10 1 1 0 0

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    32/151

    32

    2.6.3. Funcii incomplet definiteSe consider o funcief(x1,x2,x3,x4) ale crei valori sunt date n diagrama Karnaugh

    din tabelul 2.10.Strile nedeterminate ( ) se nlocuiesc cu valoarea 1sau 0, n funcie de forma canoni-

    c care se utilizeaz, disjunctiv sau conjuctiv, i apoi se parcurg etapele unei minimizriobinuite. n cazul din diagramei de mai sus, strile nedeterminate s -au nlocuit cu valoarea 1

    pentru a se obine forma disjunctiv minim:421324321 ),,,( xxxxxxxxxf .

    ***

    Tabelul 2.10 x1x2x3x4

    00 01 11 10

    00 01

    1 1

    011

    1 11

    11 1

    1

    1 1

    10 0 0 01

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    33/151

    33

    CAPITOLUL 3COMENZI SECVENIALE

    n acest capitol se vor prezenta aspecte privind analiza i sinteza circuitelor logice com -binaionale i secveniale.

    3.1.Analiza i sinteza circuitelor logice combinaionale

    3.1.1. Analiza circuitelor logice combinaionalen 1.5s-a specificat c circuitele logice combinaionale (CLC) sunt automate finite f-r memorie, ale cror ieiri la un moment dat sunt determinate doar de combinaia variabile -lor de intrare aplicate n acel moment, adic:

    )]([)](),([ xxs gg vezi relaia (1.10).n aceste circuite se consider cu aproximaie c nu intervine timpuldeoarece fiecare

    ieire nu depinde dect de configuraia intrrilor(figura 3.1).

    n aceste condiii, relaia (1.10)devine:

    (3.1)

    ),,,(

    ),,,(

    ),,,(

    21

    2122

    2111

    nmm

    n

    n

    xxxgz

    xxxgz

    xxxgz

    Analiza circuitele logice combinaionale se reduce la gsirea expresiilor algebrice alefunciilor booleene pentru ieirile circuitului cunoscnd structura fizic a acestuia (tipulpori-lor logice).

    Algoritmul analizeiEtapele care se parcurg n analiza unuiCLCsunt:1) Determinarea funciilor corespunztoare ieirilor circuitului cunoscnd schema logi-

    c (logigrama) a acestuia.2) Dezvoltarea funciilor sub form canonic.3) Stabilirea valorilor funciilor de ieire pentru toate combinaiile intrrilor, folosind o

    metod oarecare de reprezentare.4) Minimizarea funciilor pentru obinerea unui circuit echivalent mai economic (cu unnumr ct mai redus de circuite standard), dac este cazul.

    3.1.1.1. Circuite combinaionale logice cu pori I, SAU, NUSe consider circuitul din figura 3.2pentru care se vor determina formele minime ale

    funciilor de ieirey1iy2, n vederea construirii unui circuit echivalent mai economic.

    x1

    CLC

    x2

    xn

    z1z2

    zm

    ZX x3 z3

    Figura 3.1: Reprezentarea unui CLC

    6

    7

    x1y1

    y2

    2

    x2

    x3

    f1

    f2f6

    f7

    15

    4f3 f4

    f5

    3

    Figura 3.2: Exemplu de CLC cu pori I, SAU, NU

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    34/151

    34

    R.Se vor parcurge etapele indicate anterior, utilizndu-se formele disjunctive.1) Funciile de ieiresunt:

    y1 =f4 +f6 =f3 f5 +x1 f1 = )()( 321211 xxxyfx= 232131213212321 )()()]([ yxxxxxxxxxxyxxx

    3121322

    32132132131211

    21313232131211

    321322

    321322

    )()())(()(

    )(

    xxxxxxy

    xxxxxxxxxxxxxy

    xxxxxxxxxxxxxy

    xxxxxy

    xxxxxy

    2) Formele canonice disjunctive ale funciilor de ieire. Deoarece ambele funcii nusunt dezvoltate n forma canonic disjunctiv, se aplic proprietatea 1 kk xx , astfel c:

    321321321322133211 )()( xxxxxxxxxxxxxxxxxy = = 321 xxx + 321 xxx + 321 xxx + + 321 xxx + 321 xxx + 321 xxx + 321 xxx

    3122213332112 )()()( xxxxxxxxxxxxy =

    = .321321321321321321 xxxxxxxxxxxxxxxxxx Termeniiredondani (subliniai) implic suplimentar funciile, astfel c se iau n consi-

    derare o singur dat:y1= 321 xxx + 321 xxx + 321 xxx + 321 xxx + 321 xxx + 321 xxx

    .3213213213212 xxxxxxxxxxxxy 3)Valorile funciilor de ieire. Valorile celor dou func-

    ii se stabilesc pentru toate combinaiile posibile ale variabilelor(semnalelede intrare) i se alctuiete tabelul 3.1cu aceste va-lori. De exemplu, pentru combinaia (*), n carex1 = 0,x2 = 1,

    x3 = 1, rezulty1= 0iy2= 1.

    4) Minimizarea funciilor de ieire. Pentru minimizareacelor dou funcii se folosete o metod oarecare, de exemplucu diagrameKarnaughi se obin tabelele din figura 3.3.

    n urma minimizrii, cele dou funcii devin:3121322323211 ; xxxxxxyxxxxxy .

    Deoarece funciile obinutey1 iy2sunt minime rezult c circuitul prezentat iniial ( fi-gura 3.2) este cel mai economic, avnd un numr de pori minime.

    3.1.1.2. Circuite combinaionale logice cu pori I- NU (NAND), SAU-NU (NOR)Analiza acestor circuite serealizeaz ntr-un mod asemntor circuitelor prezentate an-

    terior, analiz ce se va efectua pe exemplul din figura 3.4i n care se utilizeaz formele dis -junctive.

    Tabelul 3.1x1 x2 x3 y1 y20 0 0 0 00 0 1 1 00 1 0 1 00 1 1 0 11 0 0 1 0

    1 0 1 1 11 1 0 1 11 1 1 1 1

    *

    x1x2x3

    00 01 11 10

    0 0 1 1 11 1 0 1 1

    y1

    x1x2x3

    00 01 11 10

    0 0 0 1 01 0 1 1 1

    y2Figura 3.3: Tabele utilizate pentru minimizare

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    35/151

    35

    R.1)Funcia de ieire:

    321 xxf ;

    322122 xxxfxf ;

    323313 xxxxff ;

    3232323322324 xxxxxxxxxxfff ;321313231135 xxxxxxxxxxff ;

    )()( 321313232

    32131323254

    xxxxxxxxx

    xxxxxxxxxffy

    321321 xxxxxxy .

    2) Forma canonic disjunctiv. Forma canonic disjunctiv a funcieiy(x1,x2,x3) s-aobinut la etapa anterioar; deci:

    321321 xxxxxxy .3) Valorile funciei de ieire sunt date m tabelul 3.2.4)Minimizarea funciei de ieirese efectuea-

    z pe baza tabelului de adevr din figura 3.5. n ur-ma minimizrii se obine funcia:

    321321 xxxxxxy ,adic forma funciei determinat n cadrul primei eta-

    pe; deci circuitul considerat iniial este minim ( figu-ra 3.4).

    n cazul unor circuite complexe care conin i pori INUiSAUNUanaliza devinedificil. Atunci se mai pot folosi urmtoarele reguli bazate pe funciile acestor circuite:

    a) Deoarece xxx i xxx orice circuitSAUNUsau INUeste un inversor lo-gic. Rezult c semnalul care le parcurge se obine inversat dup un numr impar de nivelelogice i neinversat dup un numr par de nivele logice.

    b) Pentru circuitele INUse poate scrie: 2121 xxxx , de unde rezult c dup unnumr par de nivele logice se obine funcia Ia variabilelor, iar dup un numr impar de ni-vele logice se obine funciaSAUa variabilelor negate.

    c) Pentru circuiteleSAUNUexist relaia: 2121 xxxx , ceea ce permite ca dup unnumr par de nivele logice s se obin funcia SAUa variabilelor, iar dup un numr imparde nivele logice funcia Ia variabilelor negate.

    Observaie. Numerotarea nivelelor logice se face de la ieire ctre intrare.Regulile enunate anterior s-au sintetizat n tabelul 3.3i vor fi aplicate n exemplul din

    figura 3.6, pentru care se determin expresiile funciilor de ieire:

    Tabelul 3.2x1 x2 x3 y0 0 0 00 0 1 00 1 0 00 1 1 0

    1 0 0 11 0 1 01 1 0 01 1 1 1

    x1x2x3

    00 01 11 10

    0 0 0 0 01 1 0 1 0

    Figura 3.5: Tabel de adevr

    x1

    x2

    y(x1,x2,x3)

    f5

    1f1

    2f2

    3f3

    x3

    4f4

    Figura 3.4: Exemplu de CLC cu pori INU, SAUNU

    5

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    36/151

    36

    )( 546313261326134351 xxxxxxfxfxfxfxfffy

    6513211 xxxxxxy .

    )( 6517321

    6513217

    177162

    xxxxxxx

    xxxxxxx

    yxxyfy

    76321

    7532173212

    xxxxx

    xxxxxxxxxy

    3.1.2. Sinteza circuitelor logice combinaionaleSinteza CLC conduce la stabili-

    rea structurii circuitului cunoscndsemnalele de ieire corespunztoarediferitelor combinaii ale valorilor deintrare. Sinteza comport dou etape:

    1)Sinteza abstract, care constn stabilirea expresiilor funciilor de

    tip Boole corespunztoare i minimi-zarea lor.2) Sinteza structural, prin care

    se determin structura fizic a siste-mului.

    3.1.2.1. Sinteza CLC cu module (pori) I, SAU, NUEtapele sintezei sunt:

    1) Obinerea formelor minime ale funciilor booleene.2) Realizarea circuitului sintetizat cu module standard avndun anumit numr de intrri.

    Observaie. Dac din expresiile minime alefunciilor booleene rezult necesitatea mai multor

    intrri dect are un modul standard se utilizeaz ex -pandarea (mrirea) numrului de intrri pe baza pro-prietii de asociativitate a operaiilor de reuniune iintersecie.

    A) Sin teza cir cuitelor combinaionalecare au o singur ieir e

    Se cere sinteza circuitului combinaional reprezentat prindiagrama Karnaughdin figu-ra 3.7, utiliznd module I,NU,SAU, care aucel mult dou intrri.

    R.n urma operaiei de minimizare rezult funcia de ieire:

    322121 xxxxxxy .Se observ c forma funciei nu

    respect condiiile din enun deoarecefuncia SAU ar avea trei intrri cores-punztoare celor trei termeni ai funcieiyi de aceea se efectueaz o regrupare atermenilor:

    21312 )( xxxxxy .Logigrama circuitului este dat n

    figura 3.8.

    Tabelul 3.3Funcie realizat

    Nivelul logicTip circuit

    par impar

    SAUNU(NOR)INU(NAND)

    SAUI

    ISAU

    Tipul variabilelor nenegate negate

    x1x2x3

    00 01 11 10

    0 0 1 1 1

    1 0 1 0 1

    Figura 3.7: Diagrama Karnaugh

    1

    4 y

    5

    2

    3

    7

    6

    x1

    x2

    x3

    Figura 3.8: Logigrama circuitului

    x3 y1

    4

    f3

    x6

    5

    f4

    6

    3

    2

    f2

    x1

    Figura 3.6: CLC cu pori INU, SAUNU

    1f1

    x2

    x4

    x5

    x7

    impary1 impary1

    impary2 impary2pary2 pary2

    pary1

    f5

    y2

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    37/151

    37

    B) Sinteza circuitelor combinaionale care au mai multe ieiriPentru acest sintez se execut o minimizare global a unui sistem de funcii booleene

    n urma parcurgerii urmtoarelor etape:1)Se determin formele canonice ale fiecrei funcii;2) Se stabilesc implicanii primi comuni tuturor funciilor sau comuni unor grupri de

    funcii;

    3) Se refac expresiile funciilor folosind implicanii comuni.Exemplu. S se sintetizeze circuitul care are patru intrri i trei ieiri pentru care

    con-diiile de funcionare sunt date de funciile:

    15141312111098761 PPPPPPPPPPy ;

    11109876312 PPPPPPPPy ;

    15141312876313 PPPPPPPPPy .

    R.Se consider c se dispune de module logice cu numrul de intrri necesare, frrestricii privind utilizarea modulelor standard.

    Reprezentrile cudiagrame Karnaughsunt artate n figura 3.9.1) Dup minimizare funciile arat astfel:

    3211 xxxy ;42213212 xxxxxxxy ;

    43142132213 xxxxxxxxxxy 2)Implicanii comuni

    - implicanii comuni funciilory1,y2iy3: 321 xxx , 4321 xxxx ;

    - implicantul comun al funciilory1 iy2: 21xx ;

    - implicantul comun al funciilory1iy3: 21xx ;- implicantul comun al funciilory2iy3: 421 xxx .

    3)n urma reunirii acestor implicani rezult funciile de ieire:

    212143213211 xxxxxxxxxxxy ,

    x3x400 01 11 10

    00 0 0 1 101 0 0 1 111 0 1 1 110 0 1 1 1

    y1

    x3x400 01 11 10

    00 0 0 0 101 1 0 0 111 1 1 0 110 0 1 0 1

    y2

    x3x

    4

    00 01 11 10

    00 0 0 1 101 1 0 1 011 1 1 1 010 0 1 1 0

    y3-implicanii comuni funciilory1,y2iy3

    Figura 3.9: Reprezentarea cu diagrame Karnaugh

    x1x2 x1x2

    x1x2

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    38/151

    38

    unde primii doi termeni sunt implicanii comuni funciilory1,y2iy3, al treilea termen esteimplicantul comun al funciilory1 iy2, iar al patrulea termen reprezint implicantul comun alfunciilory1 iy3.n mod analog se obin i celelalte dou funcii de ieire:

    4212143213212 xxxxxxxxxxxxy ;

    4212143213213 xxxxxxxxxxxxy .O schem logic a circuitului este dat n figura 3.10.

    3.1.2.2. Sinteza cu module (pori) INU, SAUNUFormele minime ale funciilor de ieire vor fi realizate cu funciile INUi SAUNU,

    iar negaia va fi materializat cu module INUiSAUNUi acolo unde este cazul, se utili-

    zeazexpandarea intrrilor. O variabil oarecarexpoate fi exprimat sub una din formele:(3.2) x...xxx....xxx vezi 2.1.1.2relaia(2.7), sau:(3.3) x...xxx....xxx vezi 2.4(4)relaia(2.17).(3.4) 000000 ...x...xx vezi 2.1.1.2relaia(2.7), sau:(3.5) 00000 ...x...xx vezi 2.4(4)relaia(2.17).(3.6) x....xxxx...xxx vezi 2.1.1.2relaia(2.7), sau:(3.7) x...xxx...xxx vezi 2.4(6)relaia(2.18).(3.8) 111111 ...x...xx vezi 2.1.1.2relaia(2.7), sau:(3.9) 111111 ....x...xx vezi 2.4(6)relaia(2.18).

    Relaiile de mai sus suntredate grafic n figura 3.11.

    62

    3

    x1

    x2

    x3

    Figura 3.10: Logigrama circuitului

    4x4

    84321 xxxx

    7

    21xx

    21xx

    9421 xxx

    y1(x1,x2,x3,x4)1 321 xxx

    510

    y2(x1,x2,x3,x4)11

    y3(x1,x2,x3,x4)12

    Figura 3.11: Expandarea intrrilor

    x x

    (a) (b)

    xx

    x

    1

    0(c) (d)

    SAUNU SAUNU INU INU

    xxx

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    39/151

    39

    Astfel, relaiei (3.2)i corespunde funciaSAUNUdin figura 3.11(a), relaia (3.4)arereprezentarea din figura 3.11(b), relaiei (3.6)i corespunde funcia INUdin figura 3.11(c)i relaia (3.8)este redat grafic de figura 3.11(d).

    Operaia de expandare a intrrilorse efectueaz atunci cnd numrul intrrilor circui-tului logic sintetizat este mai mare dect numrul intrrilor unui modul standard. n acest caz,se utilizeaz attea module standard ct sunt necesare, iar intrrile nefolosite ale acestor mo-

    dule se conecteaz fie la masa electric a circuitului (nivel logic 0), dac avem moduleSAUNU(figura 3.11(b)), fie la polul pozitiv al sursei de alimentare (nivel logic 1) n cazul modu-lelor INU(figura 3.11(d)).

    Se va aplica operaia deexpandareasupra sistemului de funcii din exemplul anterior(3.1.2.1(B)).

    R. 212143213211 xxxxxxxxxxxy ,

    4212143213212 xxxxxxxxxxxxy ;

    4212143213213 xxxxxxxxxxxxy .Se vor implementa module INUcu trei intrri i inversoareNU.Se neag de cte dou ori fiecare funcie i se aplic formulele lui De Morgan vezi

    2.1.1.2relaiile (2.6) i (2.7). Pentru prima funcie se obine:

    212143213211 xxxxxxxxxxxy .Pentru simplificare, se introduce notaia de tipul:

    (3.10) ba ba ba funciaSheffer(vezi i relaia 3.7).Funciay1devine:

    )()()()( 212143213211 xxxxxxxxxxxy .n mod asmntor se obin i celelalte dou funcii:

    )()()()( 4212143213212 xxxxxxxxxxxxy ;

    )()()()( 4212143213213 xxxxxxxxxxxxy .Operatorularat faptul c un modul INUpoate avea 2, 3 sau 4 intrri.

    Se efectueaz operaia de expandare a intrrilor deoarece se dispune numai de moduleINUcu trei intrri, iar unii termeni ai funciilor au patru intrri. Pentru aceasta, se ine contde faptul c:

    (3.11) 11...... 2121 kjikji xxxxxxxxxxy .

    De exemplu, pentru termenul 4321 xxxx se obine:

    4321 xxxx = 4321 xxxx ,

    iar pentru termenul cu dou intrri 21 xx avem:12121 xxxx .

    Sistemul funciilor de ieire devine:

    );1()1()1()( 212143213211 xxxxxxxxxxxy);()1()1()( 4212143213212 xxxxxxxxxxxxy

    ).()1()1()( 4212143213213 xxxxxxxxxxxxy Aceste funcii sunt reprezentate nlogigrama din figura 3.12.Se constat c sunt necesare 11 moduleINUcu cte trei intrri i 7 circuiteNU.

    Observaie. Dac se utilizeaz moduleSAUNUse folosete notaia:

    (3.12) ba ba ba funciaPierceWebb(vezi i relaia3.5).

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    40/151

    40

    3.1.3.Hazardul n circuitele logice combinaionaleHazarduleste un fenomen nedorit care se petrece datorit timpului finit de comutare a

    modulelor logice (1ns...10ns...40ns...80ns), care introduc ntrzieri n comutarea semnalelor.Fenomenul datorat decalajului n timp ntre semnalele care trebuie aplicate simultan la

    intrarea unui circuit logic se numetefenomen de concurssauhazard static.Aceste fenomene se manifest diferit, funcie de semnalul care se aplic primul.Pentru exemplificare se consider funciay(x1,x2,x3)reprezentatn figura 3.13 prin

    diagramaKarnaughi creia i corespunde circuitul logic din figura 3.14(a).Hazardul poate aprea dac n diagrameleKarnaughexist cmpuri adiacente necuprin-

    se n reuniuni comune, marcat cu linie-punctn figura 3.13.Variabila care poate genera hazardul

    este aceea care i schimb valoarea la trece -rea ntre cmpuri adiacente, adic x1 i careintroduce, n cazul nostru, un semnal denu-mit zero fals (figura 3.14(b)).

    Metode de eliminare a hazardului1) Introducera unor elemente de ntrziere pasive (rezistene i condensatoare),care s

    filtreze semnalele de impulsurile datorate comutrilor false. Acest lucru afecteaz viteza deacionare a ntregului dispozitiv, dei mpiedic transmiterea informaiei false.

    2) Prin mrirea redondanei circuitului folosind module sau pori suplimentare care nuafecteaz viteza de lucru. Se introduc implicani neeseniali rezultai n u rma alipirilor supli-mentare, respectivmodulul (poarta logic) 5cu funciax2x3din figura 3.14(c). Rezult cnoua funcie de ieirey va fi:

    323121 xxxxxxy .3.2.Circuite logice secveniale

    3.2.1. IntroducereAa cum s-a menionat deja n 1.5, la un circuit logic combinaional valorile ieirilor la

    un momenttdepind numai de valorile intrrilor la momentult, dac nu se ine cont de durata

    11

    1

    2

    3

    x1

    x2

    x3

    Figura 3.12: Logigrama circuitului cu intrri expandate

    4x4

    9

    10

    12

    ;321 xxxb;321 xxxa ;21xxd;21xxc 421 xxxe

    8 a

    b

    c

    d

    e

    5 13

    1

    1

    1

    y116

    y217

    y318

    614

    7

    1

    1

    1

    15

    3121 xxxxy

    x1x2x3

    00 01 11 10

    0 0 0 1 01 1 1 1 0

    Figura 3.13: Exemplificarea unui hazardhazard

  • 5/23/2018 Circuite Integrate Digitale Danisor Surugiu

    41/151

    41

    timpului de propagare prin circuit, nu i de valorile ieirilor la momente de timp pre cedente(anterioare) luit. De exemplu, dac la momentultse aplic semnalul logic 1pe toate intrrileunei pori I, atunci ieirea trece n 1 i nu conteaz valoarea pe care a avut-o ieirea naintede momentult.

    n cazul unui circuit logic secvenial (CLS), valorile ieirilor la un anumit momenttde-pind nu numai de valorile intrrilor la momentult, dar i de valorile pe care le-au avut ieirilen momentele de timp anterioare luit.

    Definiie.Circuite logice secveniale sunt circuitele la care semnalele de ieire suntfuncie att de semnalele aplicate la intrare la un moment dat t, ct i de semnalele aplicate lamomentele anterioare luit.

    Un circuit logic secvenial este caracterizat prinstareasa la un momentti care repre-zint ansamblul valorilor ieirilor sale la momentult.

    Strile circuitelor logice secvenialeSe pot defini urmtoarele stri ce pot fi evideniate de un observator extern:

    1) Starea de startstarea pe care o accept circuitul n momentul punerii sale n funciune.2) Starea iniial starea n care se aduce circuitul pentru aplicarea unei secvene de in-

    trare. Dac nu este specificat, aceasta coincide cu starea de start.3) Starea final starea n care ajunge circuitul n urma aplicrii unei secvene de intrare.4) Starea stabil starea n care, pentru anumite valori ale semnalului de intrare, elemen-

    tele de memorie pstreaz aceleai valori att la intrare ct i la ieire.

    5) Starea instabil starea n care, pentru anumite valori ale semnalelor de intrare, semna-lele de ieire ale unor elemente de memorie difer de semnalele corespunztoare de intrare nmemorie. n acest caz, fr modificarea valorii semnalelor la intrare, circuitul efectueaz otranziie spontan n alt stare care poate fi stabil sau instabil i astfel acesta poate intra n-tr-un regim ciclic.

    Un circuit secvenial nu i poate schimba starea dect n anumite momente sau interva-le de timp determinate de un semnal de comand specific aplicat pe una din intrri.

    Circuitele secveniale considerateelemente de memorieintr n compunereaautomate-lor finite cu memorie (vezi 1.5) mpreun