32
COMPOUND SEMICONDUCTOR May 2006 Volume 12 Number 4 C ONNECTING THE C OMPOUND S EMICONDUCTOR C OMMUNITY Why the Lucent, Alcatel merger will hit smaller chip firms p14 Power play Infineon’s new SiC Schottkys marry power and performance. p18 Turning Japanese Giorgio Anania says that Bookham must learn lessons from chip makers in Japan. p12 INTERVIEW How’s that for progress? Jagdeep Singh charts Infinera’s meteoric rise BEHIND THE HEADLINES TECHNOLOGY OPINION

COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

  • Upload
    others

  • View
    4

  • Download
    0

Embed Size (px)

Citation preview

Page 1: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

COMPOUNDSEMICONDUCTOR

May 2006 Volume 12 Number 4

C O N N E C T I N G T H E C O M P O U N D S E M I C O N D U C T O R C O M M U N I T Y

Why the Lucent,Alcatel merger will hitsmaller chip firms p14

Power playInfineon’s new SiCSchottkys marry powerand performance. p18

Turning JapaneseGiorgio Anania says thatBookham must learnlessons from chip makers in Japan. p12

INTERVIEW

How’s that for progress?Jagdeep Singh chartsInfinera’s meteoric rise

BEHIND THE HEADLINES TECHNOLOGY OPINION

Page 2: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

SiC SUBSTR ATES • SiC EPITA X Y • GaN EPITA X Y

©2006 INTRINSIC Semiconductor Corporation

At INTRINSIC, we provide you with the highest quality WBG materials

and epitaxy — and something more. Because you operate in a dynamic,

constantly moving marketplace, we work hard to adapt to your changing

needs and requirements. As a result, we function much more like a strategic

partner than a mere supplier — helping you simplify design, increase

performance, and lower costs. Just as importantly, we’re ready to spring into

action in order to provide you with unmatched service and responsiveness.

Call us today at 1.703.437.4000, or e-mail us at [email protected].

We want to serve as your materials and solutions partner.

Visit www.intrinsicsemi.com to learn more.

The Measure of Quality.

INTRINSIC offers wafers in 2 , 3 , and 4 sizes.

Whatever your substrate and epitaxy needs,INTRINSIC is completely flexible.

Page 3: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

M A Y 2 0 0 6 V O L U M E 1 2 N U M B E R 4

C O N N E C T I N G T H E

C O M P O U N D

S E M I C O N D U C T O R

C O M M U N I T Y

Compound Semiconductor May 2006 compoundsemiconductor.net 1

TECHNOLOGY

18 Hybrid design improves diode robustness and boostsefficiency: Infineon’s new second-generation SiC diodescombine the established advantages of the Schottkystructure with the better high-voltage characteristics andsurge-current handling of a p-n junction. The result is amore rugged product that is better suited to medium- andhigh-power applications, say Kerstin Hubel and MarkusHallenberger.

23 Complementary growth technique promises improvedLED performance: LED performance could be improvedby switching production to GaN substrates, which reducesdislocation densities. However, a better solution is tocombine HVPE and MOVPE into a single process, saysresearcher Glenn Solomon.

26 nLight expands wavelength range in order to attack newmarkets: nLight is targeting medical applications,displays, eye-safe communication and atmosphericsensing by extending the wavelength range of its high-power diode bars. Richard Stevenson reports on 660 nmand 1.9 µm emitters that feature record output powers.

28 Research Review: Photonic-crystal LED achieves lift-off…Quantum wire promises highly sensitive array…GaNLEDs make leap to silicon (001) substrates.

Setting output recordsIncreasing the wavelength range unlocksnew markets for nLight. p26

The best of both worldsUsing HVPE and MOVPE promises toboost the performance of LEDs. p23

Compound Semiconductor’s circulation figures are audited by BPA International

INDUSTRY

5 Headline News: Demand ‘will send GaAs producers intooverdrive’…APT starts construction of SiC site in Bend,OR…OCP sells VCSEL assets to Furukawa for $1 m.

6 The Month in RFICs: Kopin buys extra kit to meet soaringHBT demand…Latest patent wins by Anadigics imitateBiCMOS technology…Endwave seeks acquisitions aftercash boost from Oak…Revenue reports confirm buoyantmood in industry.

8 The Month in HB-LEDs: Cree output hit by capacity squeeze…Nichia settlement prompts Taiwan ramp…Big hittersshare patent access.

10 The Month in Optoelectronics: Huge increase in datacomapplications fills up fabs…Mass-production kitdispatched to Russia…Fujitsu and Mitsui come togetherto join the dots.

12 Opinion: Innovation depends on consolidationDespite improving markets, massive chip vendorconsolidation is imminent – and vital to sustaininnovation, says Bookham’s Giorgio Anania.

14 Behind the Headlines: System giant to pile on the pressureThe merger between telecom system giants LucentTechnologies and Alcatel will affect the supply chain allthe way down to the III-V component level and play intothe hands of broad-based suppliers, as Michael Hatcherreports.

15 Market Report: Fiber laser boom spurs single emittersFiber laser specialist and semiconductor fab owner IPGPhotonics is building a second chip facility as demand forits high-power systems hots up.

16 Interview: Digital vision threatens incumbent telcosInfinera’s Jagdeep Singh tells Richard Stevenson how hisdigital vision has beaten the skeptics and isrevolutionizing optical networks.

Research win for AnadigicsFive US patents for advanced RFICdesigns strengthens its position. p6

Main cover image: Jagdeep Singh has founded four start-ups in his time,and his latest venture has proven the wisdom of going against the grain.

Page 4: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

compoundsemiconductor.net May 2006 Compound Semiconductor2

E D I T O R I A L

Mega-merger falloutTelecom system builders Lucent and Alcatel used to be a pair ofbig-hitters in the III-V business. Back in 2000, the US firm’shighly automated facility in Pennsylvania and the Frenchcompany’s domestic and Italian operations were regarded asbeing among the leading fabs in the world.

That’s all history now, with Avanex and CyOptics in controlof these slimmed-down operations. But the “merger” between Alcatel andLucent will still have a big effect on the compound semiconductor industry.

That’s because active III-V components –lasers, detectors and amplifiers – are at theheart of the next-generation networks thatcompanies like “Lucatel” are now poised todeliver. The big opportunity for them is toconstruct these networks, which willincorporate “triple play” and even“quadruple play” services to homes and businesses across the globe. Thatmeans voice, Internet and data traffic all traveling through fiber-opticsystems, enabling services such as television- and voice-over-IP, digitalradio, and video on demand.

The big problem network builders face is that these networks will beextremely expensive, and they are already under financial pressure fromtheir own customers, the service providers. To finance the build-out, theyhave been compelled to join forces.

Now, there’s no doubt that this development will ultimately mean thatmore optoelectronic components are required, and that total chip volumeswill need to increase. But the combined might of Lucent and Alcatel willalso be able to apply massive price pressure to its suppliers, and that’swhere things start to look a bit scary for today’s optoelectronic componentand module vendors.

For the 25 or so suppliers still fighting to stay afloat in this market, it’sgoing to get interesting. The likelihood is that “Lucatel”, as well as rivalsthat are expected to follow from similar mergers, will also simplify itssupply lines. Ideally, it will want to deal with one company to serve all of itsoptoelectronic component needs. This will play into the hands of thebroader-based vendors like JDSU – at the expense of the smaller companiesthat currently serve a niche. It will be survival of the biggest.

Michael Hatcher Editor

“Ideally, ‘Lucatel’ willwant one company forall its optoelectroniccomponent needs.”

Aixtron AG 4AR Marketing Inc 22AXT 21Bandwidth Semiconductor 6Blue Photonics Inc 11BOC Edwards (USA) 25IEEE/LEOS 17Indium Corporation of America 11INTRINSIC Semiconductor IFC

KLATencor Instruments 9LayTec GmbH 8Proton Energy Systems 13Raboutet 7Riber IBCSeoul Semiconductor Co Ltd 17Shiva Technologies 17Veeco Turbo Disc OBC

Advertisers’ Index

Editor Michael [email protected]: +44 117 930 1013. Fax: +44 117 925 1942

Features editor Richard [email protected]: +44 117 930 1192

Consulting editor Tim [email protected]: +44 117 930 1233

Senior sales executive David [email protected]: +44 117 930 1032. Fax: +44 117 920 0977

Business development manager Rosemarie [email protected]: +1 215 627 0880. Fax: +1 215 627 0879

Circulation manager Jackie [email protected]: +44 117 930 1218. Fax +44 117 930 1178

Publisher Sarah [email protected]: +44 117 930 1020

Senior production editor Ruth LeopoldAd production Joanne Derrick, Mark TrimnellArt director Andrew GiaquintoTechnical illustrator Alison ToveyPublishing director Richard Roe

SubscriptionsAvailable free of charge to qualifying individualsworking at compound semiconductor fabs andfoundries. For further information visitcompoundsemiconductor.net/subscribe. Subscriptionsfor individuals not meeting qualifying criteria:individual £86/$155 US/7125; library £193/$348US/7280. Orders to Compound Semiconductor, WDIS, Units 12 & 13, Cranleigh Gardens IndustrialEstate, Southall, Middlesex UB1 2DB, UK. Tel: +44 208 606 7518; Fax: +44 208 606 7303. General enquiries: [email protected].

9173 average total qualified circulation**December 2005 BPA audit statement

Editorial boardMayank Bulsara Atlas Technology (USA); Andrew Carter Bookham Technology (UK); Jacob TarnEpistar/Gigacomm (Taiwan); Ian Ferguson GeorgiaInstitute of Technology (USA); Toby Strite JDSU(USA); Mark Wilson Motorola (USA); Dwight StreitNorthrop Grumman (USA); Joseph Smart Crystal IS(USA); Colombo Bolognesi Simon Fraser University(Canada); Shuji Nakamura University of California atSanta Barbara (USA)

©2006 IOP Publishing Ltd. All rights reserved.

US mailing information: Compound Semiconductor(ISSN 1096-598X) is published 11 times a year for $148 by Institute of Physics Publishing, Dirac House,Temple Back, Bristol BS1 6BE, UK. Periodicalspostage paid at Middlesex, NJ 08846. POSTMASTER: send address corrections toCompound Semiconductor, c/o PO Box 177,Middlesex, NJ 08846. US agent: Pronto MailersAssociation Inc, 200 Wood Avenue, PO Box 177,Middlesex, NJ 08846.

Page 5: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

COMPOUND SEMICONDUCTORWEEK 2006

November 12–15, 2006San Antonio,Texas, USA

THE CONFERENCES

IEEE Compound Semiconductor ICSymposium 2006 provides the idealforum to present your latest results in high-speed digital, analog,microwave/millimetre wave, mixedmode and optoelectronic integratedcircuits.

The Key Conference 2006 will feature senior-level experts fromthe industry examining the trends and strategies in compoundsemiconductor markets, technologies and applications, withsessions on GaAs/SiGe/strained Si microelectronics, SiC/powerdevices, alternative III-nitride opportunities, solar cells andlasers/detectors. You can sign up for regular program informationon our website www.compoundsemiconductor.net/csweek

THE EXHIBITION

The Compound Semiconductor Week Technology Exhibitioncombines visitors from both the IEEE CSIC Symposium and theKey Conference and will give exhibitors access to buyers that areinterested in the entire array of compound semiconductorproducts and services, including materials, manufacturing,device technology, integrated circuits and commercial andmilitary applications.

Early-bird discountBook your booth by June 15, 2006 for just $1800, saving$200!

Visit www.compoundsemiconductor.net/csweektoday to book your space.

November 12–15, 2006 San Antonio, Texas, USA

COMPOUND SEMICONDUCTORWEEK 2006Conferences and Exhibition

Page 6: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

Our leading

technology.

For highest

quality in

your products.

AIXTRON AG · Kackertstraße 15–17 · 52072 Aachen, Germany · www.aixtron.comSI G

rou

p G

mb

H, W

etzl

ar, G

erm

any

Innovators in production of highly complex

materials use cutting edge technology!

Our CVD systems with highest performance

and best economy.

push yourPERFORMANCE

Page 7: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

Compound Semiconductor May 2006 compoundsemiconductor.net 5

I NDUSTRY H E A D L I N E N E W S

A sudden upsurge in sales of mobile phoneswill see total shipments break the 1 billion bar-rier this year, claims a new report from marketanalyst Strategy Analytics.

The predicted growth – largely fueled bystrong sales from Nokia and Motorola meet-ing robust demand in emerging markets likeIndia – would represent a 22% jump on lastyear’s figure of 817 million shipments.

According to the analyst company, GaAsfabs will need to go into overdrive to meet thevastly increased demand for HBT andPHEMT die in front-end modules, particu-larly as uptake of GaAs-heavy advancedphones is now increasing strongly.

“We are seeing the move to PA-switchmodules, increasing the overall GaAs con-tent in products being sold,” said Asif Anwarat Strategy Analytics. “The increasing num-ber and size of HBT and PHEMT die goinginto front-end modules will lead to a signifi-cant increase in the overall GaAs real estate.As the handset moves to accommodate mul-tiple bands, then the switches also need tobecome more complex, which increases thesize of the switch die.”

The analyst predicts a huge knock-on effecton GaAs substrate vendors. “Volume demandfor substrates will grow by over 150% from2005 to 2010,” he said. Anwar’s colleagueStephen Entwistle added that fab utilizationwill approach 100% in the next two to threeyears, and warned: “Substrate suppliers willneed to increase material output and make anynecessary investments to ensure that they cancontinue to meet demand. Otherwise, thesesuppliers risk losing market share.”

This investment in new manufacturingequipment is already happening. Germany-based Freiberger Compound Materials (FCM),one of the top three suppliers of semi-insu-lating GaAs substrates, has added new fur-naces to meet the increased demand. Thecompany says that it is able to meet all cus-tomer requirements, and that it anticipated theramp to some degree. Other GaAs materialsuppliers have been able to utilize existingcapacity that has been idle since the downturnthat followed the boom back in 2000.

Anwar reckons that the rapid increase indemand already being felt by the likes of RFMicro Devices, TriQuint Semiconductor andAnadigics is set to continue. “When youmodel these trends in terms of number of die,

wafers or substrates, then you see a signifi-cant increase in demand over the next two tothree years,” he said. “We believe companieslike RFMD, Skyworks, TriQuint, and Ana-digics are running fabs with high utilizationrates right now.”

While RFMD has already initiated its$80 million investment in extra fab capacityto meet that demand, commercial foundrymanufacture remains slack– although Anwarbelieves that this may soon change as compa-nies like Skyworks, which has used foundriespreviously, reach maximum capacity.

However, California-based Global Com-munication Semiconductors (GCS), a pure-play foundry, is already witnessing strongdemand for cell-phone components. GCS saysthat more than half of its revenue comes fromGaAs-based PAs and switches, and it has avery healthy order backlog. Wireless infra-structure chip company WJ Communicationsrecently signed a second-sourcing deal withGCS for GaAs and InGaP HBT wafers.

Anwar also says that the increase in demandcould benefit substrate supplier AXT. “I thinkthat AXT can focus on re-establishing its rep-utation. Trying to go head-to-head with eitherSEI or FCM at a RFMD or Kopin accountwould be difficult for them right now, but in12 months’ time it could be a different story.”

AXT posted total revenue of $8.5 millionfor the first three months of 2006, up from$6.6 million in the same period last year.Despite registering a loss of $2.2 million, theCalifornia-based company with operations inChina is expecting a big increase in demandduring the coming months.

Demand ‘will send GaAsproducers into overdrive’ High-power semiconductor specialist Advanced

Power Technology (APT) has begun buildingits SiC manufacturing facility in Bend, OR. Thecompany, which will soon be acquired byCalifornia-based Microsemi, recently signed anexclusive foundry-supplier licensing agreementover SiC technology with defense contractinggiant Northrop Grumman.

Microsemi CEO James Peterson and localsenator Gordon Smith attended the ground-breaking ceremony at ATP’s Oregon site.

Military applications of SiC include radar,hybrid power systems, electric power controland distribution, electronic jamming, andwideband communications systems.

The licensing agreement also allows APTto develop commercial applications of SiCmicroelectronics, such as more efficient elec-tric power transmission, PC and server powersupplies, and hybrid electric vehicles.

However, APT says that it will not be man-ufacturing components for the advanced trans-formers that Northrop Grumman’s electronicsystems division is due to develop for the USNavy under an $8.9million Defense AdvancedResearch Projects Agency effort.

These transformers will be based on SiCMOSFETs, insulated-gate bipolar transistorsand PIN diodes. Because of SiC’s excellenthigh-temperature performance, the trans-formers will need much less cooling.

APT starts constructionof SiC site in Bend, OR

M A N U F A C T U R I N G N E W F A B

OCP sells VCSEL assetsto Furukawa for $1 m

O P T O E L E C T R O N I C C O M P O N E N T S

Optical Communication Products (OCP) hascompleted the closure of its Colorado semi-conductor operation, where it used to makelong-wavelength VCSELs based on dilute-nitride structures.

It had acquired the business from CieloCommunications for $5 million back in Oct-ober 2002. OCPhas sold the remaining assetsof the advanced VCSELbusiness to Furukawa,its major shareholder, for $1 million – alongwith a license to manufacture the devices.

Despite a profitable recent quarter for theWoodland Hills, CA, firm, CEO Muoi VanTran is predicting a revenue downturn. He alsosays that OCPis seriously considering a moveto sell products into the fast-emerging fiber-to-the-home sector. Currently, more than 90%of OCP’s products are deployed in metropol-itan-area networks, with the remainder foundin storage- and local-area networks.

The entire GaAs industry, from substrate vendors tochip manufacturers, is having to meet rapidly increasingdemand from booming cell-phone sales.

UN

AXIS

Page 8: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

compoundsemiconductor.net May 2006 Compound Semiconductor6

I NDUSTRY T H E M O N T H I N R F I C S

Kopin buys extra kit tomeet soaring HBT demand

G A A S R A M P

HBT epiwafer specialist Kopin has signed amulti-year supply agreement with Aixtron,under which it will increase its GaAs HBTmanufacturing capacity by 50% over the nexttwo years. Booming sales of wireless hand-sets, in particular the latest phones that requiremore sophisticated power amplifier compo-nents, are behind the capacity ramp.

“Our customers have been rapidly increas-ing their forecasted demand for HBT tran-sistor wafers,” said Kopin CEO John Fan.“These new systems will enable us to increaseboth 4 and 6 inch wafer capacity, throughputand efficiency.”

Fan added that Kopin needs to add the extra

capacity quickly. Not only is demand for wire-less handsets increasing faster than predicted,but the latest multimode phones require moreGaAs HBTs per handset.

The first two new MOCVD systems will beinstalled at Kopin’s Taunton, MA, facility, andare scheduled for commercial epiwafer runslater this year. Kopin will also ramp up utili-zation of existing systems at both its Tauntonfacility and at KTC in Taiwan, a licensed man-ufacturer of the transistors.

The new equipment from Aixtron will be the German supplier’s latest high-volume“Integrated Concept Platform” tool, which sup-ports both 12 × 4 and 7 × 6 inch manufacturing.

Anadigics has significantly expanded its intel-lectual property portfolio with the award of fiveUS patents for advanced RFIC designs. Threeof the patents relate to ICs that combine a bi-polar structure with a field-effect transistor.

The company has enjoyed success with itsBiFET structures that feature on-die integ-ration of bipolar and field-effect transistors,particularly in advanced cellular applicationsand the wireless LAN market through keychipset partners such as Intel and Qualcomm.

The five new patents should help Anadigicsto maintain that position as it concentrates onits high-end product offerings and WiMAXservices begin to get a commercial roll-out.

They should also enable the production ofadvanced devices with a smaller die size, in asimilar fashion to the way that the siliconindustry has combined the two types of struc-ture in BiCMOS. “There has been no viableway to realize this concept in GaAs-basedtechnologies for large-volume commercialapplications,” said Anadigics in the mostrecently-awarded patent.

That patent, number 7,015,519, details howAnadigics makes the BiFETs that feature in itsso-called “InGaP-plus” technology. The man-ufacturing method shows how to fabricate anintegrated pair of GaAs-based HBTs and FETson a semi-insulating GaAs substrate.

The first epitaxial layer features the FET

structure. Next, a highly doped, thick layer ofGaAs is deposited. This second layer serves asthe cap layer for the FETand the sub-collectorlayer for the HBT. The HBT is then fabricatedvia a second set of epitaxial layers.

Anadigics was also granted one patentdescribing a new method for improving theefficiency of ICs used in power amplifiers, andanother disclosing a method to optimize anamplifier over a wide range of power levels.

Latest patent wins by Anadigicsimitate BiCMOS technology

B I F E T S

Anadigics’ BiFET structures feature in many of its newpower amplifier products, and the company increasinglysees this integrated approach as a key differentiatorbetween itself and its rivals in the GaAs business.

ANAD

IGIC

S

Page 9: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

INDUSTRY T H E M O N T H I N R F I C S

Compound Semiconductor May 2006 compoundsemiconductor.net 7

High-frequency RF module supplier Endwavesays that it is looking to make acquisitionsfollowing a $45 million cash injection fromOak Investment Partners.

The Sunnyvale, CA, company sells micro-wave modules to the likes of Nokia andSiemens for wireless infrastructure applica-tions, as well as millimeter-wave modules fordefense and security use. Because of the highfrequencies used, GaAs-based chips lie at theheart of many of its products.

Oak, which originally backed Endwavewith venture funds prior to its initial publicoffering of stock, has now purchased 300,000shares at $150 each. The cash significantlystrengthens Endwave’s balance sheet, althoughthe Californian firm says that it was not neededfor ongoing business operations.

Endwave has just enjoyed a record firstquarter, in terms of revenue, posting sales of$13.7 million, compared with $9.1 million oneyear ago. Much of that business came from itsthree key customers in the wireless arena –Siemens, Nokia and Nera Networks.

While Nokia and Endwave have a long-standing supply relationship, until recently Neraand Siemens sourced RF modules internally.Siemens and Endwave have now entered intoa multi-year contract and the German companyaccounted for 30% of Endwave sales in therecent quarter. “Sales growth was led by strongdemand from Siemens and Nera, and drivenprimarily by 3G network expansion in WesternEurope,” said Endwave CEO Ed Keible.

Keible added that defense and securityapplications were growing fast and accountedfor $3.2 million sales in the recent quarter.Industrial giant L3 Communications’acquisi-tion of fellow Californian firm SafeView,which makes imaging scanner systems basedon millimeter-wave technology using End-wave modules, should help that figure toexpand in the future.

Despite the record opening quarter to theyear, Endwave still posted a net loss of$1.2 million. The company will therefore bekeen to ensure that any acquisitions it doesmake will boost its profitability.

Endwave seeks acquisitionsafter cash boost from Oak

I N V E S T M E N T

Financial results from the industry’s leadingGaAs chip manufacturers confirmed the senseof optimism currently surrounding the RFICbusiness, with many companies announcingrecord-breaking quarterly revenues.

Greensboro-based RFIC specialist RFMicro Devices turned in a $16.3 million netprofit for fiscal 2006, which ended on March31. The figure shows a massive improvementon RFMD’s 2005 fiscal performance, duringwhich it recorded a net loss of $66 million, andreflects both market-share gains and anupsurge in demand for mobile phones.

TriQuint Semiconductor also reported asharp upturn in its financial results. TheHillsboro, OR, company posted total sales of$87.9 million in its latest quarter, which alsoended on March 31. That represented a 4%sequential increase despite the traditionallyslow start to the calendar year, and a 31% hikefrom the equivalent period in 2005.

While other leading GaAs companies arereporting a similarly improving picture,TriQuint CEO Ralph Quinsey attributed therecent boost to some successful product

launches. “The favorable results validate ourprogress towards our long-term goals ofinnovation, profitable growth and market sharegains,” he said.

Quinsey added that TriQuint’s revenueoutlook for 2006 was improving as a result,and the company now predicts an increase ofbetween 34% and 37% over the 2005 salesfigure of $294.8 million. TriQuint is also look-ing stronger on its bottom line, registering aprofit of $2.2 million in the latest quarter, com-pared with a loss of $7.7 million during thesame period last year.

Based on its current number of dilutedshares, TriQuint expects to make a total netprofit of somewhere between $11.3 millionand $18.4million during the current fiscal year.

Anadigics also posted much-improvedfinances thanks largely to healthy demand forhigh-end cell phones that require morecomplex RF architectures. The Warren, NJ,firm reported sales of $35.7 million, up 7%sequentially despite the traditionally down-ward seasonality of the cell-phone market, andup 64% on the equivalent period last year.

“Growth was driven by strong demand forGSM/EDGE/W-CDMA power amplifiers,wireless LAN and tuner ICs,” said AnadigicsCEO Bami Bastani. “Our market share expan-sion and increased content in these key growthmarkets represent a powerful combination.”

Revenue reports confirmbuoyant mood in industry

F I N A N C I A L R E S U LT S

Page 10: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

compoundsemiconductor.net May 2006 Compound Semiconductor8

I NDUSTRY T H E M O N T H I N H B - L E D S

Cree output hit by capacity squeezeF I N A N C I A L R E S U LT S

LED chip and SiC wafer supplier Cree hit arevenue high of $107.7 million in the quarterthat ended on March 26, but was limited bycapacity constraints across the production ofhigh-brightness LEDs, XLamp products andSchottky diodes.

Ramping LED demand for mobile appli-cations caught the Durham, NC, company bysurprise, said CEO Chuck Swoboda in aninvestor call to discuss the latest results. “Itcaught us a little off guard and we weren’t wellpositioned for the spike,” he explained.

Cree is still transitioning from 2 to 3 inchwafer production, and Swoboda said that83% of its LED chips were now produced inthe larger format, along with 65% of its SiCwafers. Some relief to the current constraintsshould soon be felt as Cree switches prod-uction from its existing fab in Durham to its

new facility in Research Triangle Park,although this transition will impact volumesin the short term.

Swoboda indicated that the ramp in demandhad been sudden, and had appeared only in thelast four to six weeks. Asimilar squeeze causedby mobile demand is affecting some suppliersof GaAs-based RF components.

The CEO also said that the development ofColorwave, Cree’s LED backlighting productfor large-scale TV and monitor screens, wasprogressing nicely and that the companyshould meet its target of seeing a commercialdeployment within the current calendar year.

Cree made a net profit of $24 million in thequarter, compared with $20.7 million duringthe equivalent period last year. It expects topost sales of between $106 million and$110 million for the current period.

Ongoing consolidation of the LED chip man-ufacturing industry in Taiwan and a thaw inrelations between Nichia and Epistar appearto be driving a sharp upturn in output volumesfrom the region.

Nichia and Epistar settled their intellectualproperty dispute amicably, reportedly follow-ing Epistar’s promise to respect Nichia’spatents. Nichia added that the settlement hadbeen aided by the fact that it had alwaysmaintained a good relationship with UnitedEpitaxy Company (UEC), whose operationswere taken over by Epistar in a deal that closedlate last year.

The addition of UEC has strengthened

Epistar’s capacity for GaN chip manufactureconsiderably. According to a report fromDigitimes.com, Epistar will more than doubleits blue LED capacity from 150 million chipsper month to 350 million this year. Epistarrecently purchased five new reactors fromAixtron for GaN production, while Veecoreported that Huga Optotech, Highlink Tech-nology and Epitech Technology had all placed“multi-unit” orders in the first quarter of 2006.

South Epitaxy, whose acquisition of Epitechwill result in a doubling of AlGaAs capacityto at least 300 million chips per month, and asimilar number of blue LEDs, has alsoreportedly signed a supply deal with GaNspecialist Toyoda Gosei, again a result ofNichia relaxing its intellectual property posi-tion. Yet more capacity increases are expectedat Arima Opto, which has acquired a majoritystake in Advanced Epitaxy Technology andFormosa Epitaxy.

Nichia settlementprompts Taiwan ramp

M A N U F A C T U R I N G

LayTec GmbHHelmholtzstr. 13-14D-10587 Berlin · GermanyTel.: +49 (30) 39 800 80-0Fax: +49 (30) 31 80 82 37Email: [email protected] www.laytec.de

The new EpiCurve TT measures real-time wafer curvature.As the demand for larger compound wafers rises, bowing measurements become indispensable. LayTec´s new in situ monitor EpiCurve TTmeasures wafer curvature online during growth. Optimize your growth and maximise your yield with EpiCurve TT’s unique combina-tion of bowing, true temperatureand growth rate measurement!

Get the bows out

true temperature

bowing

“Big five” HB-LED manufacturers ToyodaGosei and Lumileds Lighting have signed adeal allowing the two companies to havemutual access to some of their key chip tech-nologies. Under the terms of the deal, Japan-based Toyoda will be able to use Lumileds’AlGaInP technology for high-brightness redLEDs, while both firms will share valuableintellectual property relating to GaN-basedblue emitters.

According to a joint statement issued byToyoda and “Philips Lumileds”, as Lumiledsis now known, the agreement will allow the

companies more freedom in their devel-opment efforts. “By facilitating research atboth companies, new developments in LEDtechnology are anticipated, including anacceleration of research to improve the lumi-nosity of LEDs.”

According to a report in the Japanesenewspaper Nihon Keizai Shimbun, Toyoda hasseen a significant drop in sales of LEDs overthe past couple of years, and the developmentof brighter chips for general lighting applica-tions is seen as a key part of its plan to turnaround the business.

Big hitters share patent accessI N T E L L E C T U A L P R O P E R T Y

Page 11: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

Differentiating between subtle optical characteristics can provide critical information on defects. A particle

under the epi layer is a very different problem than a particle on the surface. Our Optical Surface Analyzers

(OSA) are unique surface inspection systems that employ a combination of measurement technologies

to automatically detect and classify a variety of defects. Defects are binned by size into user-defined

categories, and displayed on a defect map. The OSA images remain linked to the report, for quick and

effective review.

➤ Automatically classifies particles and scratches as “on” versus “in or under” the epi layer

➤ User-defined defect classifications allow automated detection and reporting of unusual defect types

➤ Crystal defects such as dislocations and polytype changes are automatically detected and counted

➤ Manual or automated cassette-to-cassette operation

➤ Accommodates wafer sizes from 50 to 300 mm

➤ For more product information, go to:

Accelerating Yield®

Particle in-epi:➤ bright scatter➤ dark reflected➤ smaller scatter signature

(film thicker over particle)

Particle on-epi:➤ bright scatter➤ dark reflected➤ same optical size

Do you know the three W’s of epi-layerinspection? Only Candela™ finds where it is, what it is, and when it occurred.

©20

05 K

LA-T

enco

r Cor

pora

tion.

www.kla-tencor.com/candela

Page 12: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

compoundsemiconductor.net May 2006 Compound Semiconductor10

I NDUSTRY T H E M O N T H I N O P T O E L E C T R O N I C S

Increases in the speed of Fibre Channel andEthernet datacom applications will drive salesof optical components for this market to$1.34billion in 2011. That’s according to DarylInniss at the research company Ovum-RHK.He expects average annual market growth of11% from its current value of $728 million asEthernet transceivers increasingly transitionfrom 1 Gbit/s to 10 Gbit/s for local-area net-work (LAN) deployment.

At the same time, Fibre Channel applica-tions for storage-area networks (SANs) areexpected to increase in speed to 4 Gbit/s and,from 2009 onwards, 8 Gbit/s. Such fast datarates will be required to handle bandwidth-heavy applications, such as video deliveryover IP networks.

Developments higher up the telecommuni-cations food chain, such as the planned mergerbetween Lucent and Alcatel, are being drivenlargely by the expected switch to IP deliveryof all voice, data, text and video applications.

Companies such as Finisar are already wit-nessing strong demand for transceivers thatare based on III-V optoelectronics, and the useof wafer fabs is increasing accordingly. In thepast couple of years, Finisar has jumped fromseventh place in a list of the top-ten optical-component suppliers for datacom applicationsto second.

Inniss says that while fab utilization ratesgenerally remain at 30–40% on average, therehas even been recent talk of new fabs for somespecific product lines. “However, there are stilltoo many fabs on the whole,” he was quick topoint out.

The growing datacom market should at leastgive fab-owning companies a chance to

increase their utilization rates while demandfor their in-house products remains compara-tively weak.

A veteran of Bell Laboratories and JDSU,Inniss adds that the transition to 10 GigabitEthernet will begin to take off in 2008. That’swhen he expects to see deployment of mod-ules and ports to cover 300 m links over legacymultimode fiber.

But with many active players in the marketjostling to supply the millions of transceiversthat are required every year by customers suchas Cisco Systems, component vendors mustprovide cost-effective solutions. “While themarket demand for higher-data-rate trans-ceivers presents excellent revenue opportuni-ties for component suppliers,” warned theanalyst, “I am worried that the challenging costrequirements create unhealthy financial posi-tions for them.”

“Furthermore, the market needs to define aform-factor that gives vendors the opportunityto recoup the research and developmentinvested to produce the high-data-rate trans-ceivers,” he added.

In another market forecast, the Irish analystcompany Research and Markets said that itexpected the worldwide revenue from sales ofoptical components (including III-Vs) used incommunications and computing to growrapidly. It predicts that the convergence ofvoice, data and video transport will see themarket rocket from its current size of $2.9 bil-lion to $7.6 billion in 2012.● Full details of these component forecasts canbe found at the companies’websites at www.ovum.com and www.researchandmarkets.comrespectively.

Huge increase in datacomapplications fills up fabs

Fujitsu and Mitsui have set up a joint venturecalled QD Laser (QDL) that will develop andmanufacture semiconductor lasers based onquantum-dot structures.

The two industrial giants are providing start-up funds of ¥290million ($2.5million) throughtheir corporate venture-capital units, withFujitsu owning 61% of QDLand Mitsui 39%.

The quantum-dot technology has beendeveloped by Fujitsu Laboratories in collab-oration with Yasuhiko Arakawa’s research

group at the University of Tokyo.Fujitsu says that commercializing quantum-

dot lasers will let it increase its already sizableshare of the market for optical transmissiondevices in the US and Japan.

“Fujitsu will also be able to enhance its com-petitiveness in the optical-access market, forwhich fully fledged global expansion is antic-ipated,” added the company.

Quantum-dot laser structures have longbeen seen as the ultimate in semiconductor

laser technology. Because of the quantumeffects inherent to what are effectively zero-dimensional active regions, they potentiallyoffer temperature-independent operation andtherefore no need for cooling, low power con-sumption and very-high-speed operation.

QDL will be headed up by president andCEO Mitsuru Sugawara from Fujitsu Labor-atories. Fujitsu’s corporate lab has also workedon semiconductor optical amplifiers for opti-cal communication applications.

Fujitsu and Mitsui come together to join the dots

M A R K E T R E P O R T

J O I N T V E N T U R E

Saturn JSC, a Russian company that suppliesphotovoltaic systems for satellite applications,is to mass-produce GaAs-based solar cells forthe first time.

The Krasnodor-based company, which hasjust ordered an AIX 2600G3 MOCVD reactorfrom German equipment-vendor Aixtron, saysthat its new solar-cell manufacturing programrequires a production system that can bequickly established.

Although Aixtron has supplied manyMOCVD reactors to Russian customers pre-viously, the sale of this 8 × 4 inch system is thefirst for volume solar-cell manufacture.

Aixtron’s Bernd Schulte, the company’sexecutive VPand COO of its compound semi-conductor unit, said, “Other institutes haveinvested in our systems for research and devel-opment of materials for satellite solar cells.[But] the Saturn JSC order is the first volumecommercial MOCVD equipment in Russiawith the capability for solar-cell production.”

According to Aixtron, Saturn JSC is wellestablished as a major supplier to both theRussian space program and international pro-jects, having already equipped more than 1000spacecraft with solar-power and storage-battery systems.

After a long downturn, the market for triple-junction solar cells appears to be picking upsteam. Boeing recently won a deal to supplythree huge communications satellites, all ofwhich will be powered by GaAs-based tech-nology (Compound Semiconductor January/February 2006 p10). The Chinese governmentis also driving demand for germanium sub-strates and epitaxial equipment with its ownaggressive satellite program.

And while terrestrial deployment of III-Vconcentrator cells is still in its infancy, Emcoreis gearing up to supply these products for fiveseparate commercial programs this year.

Mass-production kitdispatched to Russia

S O L A R C E L L S

Page 13: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

INDUSTRY T H E M O N T H I N O P T O E L E C T R O N I C S

Compound Semiconductor May 2006 compoundsemiconductor.net 11

We supply the state-of-the-art GaN epiwafers

– GaN/InGaN on sapphire (2” to 4”)for blue LED, LD and UV detectors

– GaN/AlGaN HEMT on Si (2” to 6”)– Special Custom GaN epi wafers

Blue Photonics, Inc.679 Brea Canyon Road,Walnut, CA 91789Tel: (909) 839-2678Fax: (909) 839-2677Email: [email protected]://www.bluephotonics.com

Total GaN Solutions

Blue Photonics, Inc.a dedicated GaN epi supplier

Blue Photonics, Inc.a dedicated GaN epi supplier

From our Web pages...visit compoundsemiconductor.net for daily news updates and the latest industry vacancies

…Underutilization hits BookhamBookham says that underutilized productioncapacity at its Caswell III-V facility is largely toblame as it forecasts a loss before tax and otherdeductions of $10–12 million for its latestquarter. The chip, module and subsystemmaker, based in San Jose, CA, originallyexpected the figure to be close to break-even.

…Osram claims 808 nm milestoneOsram Opto Semiconductors says that it hasdeveloped laser bars with an electro-opticalconversion efficiency of 64%. The bars areprototype versions of a new generation of diodelasers that the German company expects tocommercialize in two years.

…Alfalight targets 50 W with DARPAAlfalight of the US has won $4.7 million from theUS Defense Advanced Research Projects Agency(DARPA) to develop and commercialize a 50 Wsingle-emitter laser diode. The 18 month project,part of DARPA’s Architecture for Diode HighEnergy Laser Systems (ADHELS) program, is

intended to produce the means for a multi-kilowatt diode-laser system.

…UK consortium sets up research centerIII-V groups at Cambridge University in the UKare founding a research center that is to focuson strategic, “pre-competitive” technologies foroptoelectronics, nanoelectronics and displays.The facility is sponsored by SiC material supplierDow Corning and involves research teams led byIan White and Michael Pepper.

…Sanyo plans chip spin-offConsumer electronics firm Sanyo is planning toturn its semiconductor business into a separateentity. According to Reuters, the Japanesecompany will spin off the loss-making division inJuly, and eventually plans to raise capitalthrough a share issue in the new business.

Although Sanyo’s semiconductor productsare primarily silicon-based, the company alsomanufactures laser diodes, mainly for DVDapplications. It was a pioneer of AlGaInPdevices emitting at 635 nm and more recently it

had been working on the development of GaN-based blue lasers. It also makes AlGaAs lasersthat emit in the 780–830 nm range.

…European firms plead for research cashIII-V companies in Europe are demanding morefunding for photonics research. The Photonics21 group, which includes Aixtron, Bookham andJenoptik, says a lack of investment in R&D couldhamper Europe’s competitive edge in photonicsand risk job losses.

…InGaAs and QWIP cameras make debutFrance-based infrared-detector specialistSofradir is sampling Sirius, a detector based onquantum-well infrared photodetectortechnology. Sirius is one of a line of productsSofradir is introducing which it claims areaffordable, high-performance, large-formatinfrared detectors. Meanwhile, SensorsUnlimited, now owned by US aerospace giantGoodrich, has released an InGaAs-based high-frame-rate, high-resolution, shortwave infrared(SWIR) camera operating at 900–1700 nm.

Page 14: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

compoundsemiconductor.net May 2006 Compound Semiconductor12

I NDUSTRY O P I N I O N

After several years of huge and painful industry retrench-ments and restructurings, there are increasing signs thatcommunications investment is returning, and that mar-kets are growing again. Has the communications indus-try finally turned the corner?

Unfortunately for the optical-component sector, theanswer has to be “only partly”. While any recovery iswelcome, little has really been done to address the fun-damental problem facing vendors: crippling price com-petition as the result of a hugely overcrowded industry.

About 25 component vendors with sales of more than$10 million per quarter, plus numerous start-ups, arecompeting in a $3 billion annual market. Though themarket has probably entered a five-year cycle of low-double-digit growth, the revenue pressures at the telcoswill continue to be passed down to the equipment OEMs,which will seek to consolidate to maintain margins. Allthis adds up to more pricing pressure on optical-com-ponents companies, unless they too start consolidating.

At equipment vendors and telcos, this consolidationis clearly beginning to accelerate. It all starts at the tel-cos. The erosion of revenue from their voice traffic isspeeding up and is no longer hidden by growth in wire-less services. Their response is to deploy new technolo-gies that can significantly reduce operating expensesand bring in new revenues, such as reconfigurable net-works based on packet-switched traffic, convergedbroadband services, and fiber-to-the-whatever (FTTX).This has increased the need for investment at a momentwhen telcos’finances are already under pressure. So theyresort to mergers to generate economies of scale and toput continuing pressure on their suppliers, the opticalequipment OEMs.

Recent telco mergers, such as Verizon–MCI andSBC–AT&T, will probably act as a trigger for furtherrestructuring. Pressure has moved down to the equip-ment OEMs, and the Lucent–Alcatel merger is likely tostimulate similar consolidation. The result – fewer butmore powerful downstream customers – will furtherweaken the hand of the leading optical-component play-ers if they do not respond. Put simply, this industry is notgrabbing the opportunity to consolidate ahead of its cus-tomers and move decisively to recreate pricing advan-tage and economy of scale.

Three ways to surviveThere are two to three times more optical-componentvendors than a stable, profitable, innovative market canreasonably support. The number needs to come downto about half-a-dozen or so leading players. Contractmanufacturers aside, there are three types of playersthat would plausibly participate in such a drasticallyreduced population.

The first would be product-focused players that arestrong in narrow, well-defined niches. Over time, theyare likely to lose contact with the major OEMs, whichincreasingly prefer to deal with a small number of broad-

based strategic suppliers. But they can generate a prof-itable business supplying the “horizontal” players offer-ing a broader supply of optical components. They arethe “sub-suppliers”.

A second type would specialize in component andmodule design and outsource almost everything else,but remain broad-based suppliers to the OEMs. Theywould buy chips from, say, Japanese suppliers, assem-bly from contract houses, and specific components fromsub-suppliers which they then incorporate into modules.This leaves a lot of value in the hands of the outsourcersand the components-focused players, but allows flexi-bility and low capital costs, and has obvious appeal tosmaller vendors that lack the resources to engage inlarge-scale fabrication.

Near-term, they could cut costs very quickly. Longer-term, however, the lack of added value would likelymake them uncompetitive in attacking the larger com-moditizing sections of the marketplace. Over time, these“variable-cost-structure channel players” would prob-ably focus increasingly on exploiting niches, which mayreduce their relevance to the key OEMs.

The third remaining type is the broad-based vendor,vertically integrated from semiconductor technology tofinished optical components, modules and subsystems.The major part of the value chain is kept in-house, allow-ing such companies to use low-cost production and towin business based on optical semiconductor innova-tion and leading-edge chip fabrication – the major sourceof differentiation to date. Necessarily available only tothe large players, this approach depends on having suf-ficient component volumes to cover the high fixed costsof vertical integration, and is less flexible than the vari-able-cost play. However, given scale, this business modelcan become the most profitable.

Bookham is of this third type, fully vertically inte-grated from semiconductors to subsystems. Alreadyquite profitable at the margin (i.e. incremental sales pro-vide high incremental profits), Bookham can becomevery profitable if it participates in a consolidated indus-try structure that provides it with high volumes over

Innovation depends on consolidationO P T O E L E C T R O N I C S

Despite improvingmarkets, massive chipvendor consolidationis imminent – and vitalto sustain innovation,says Bookham’sGiorgio Anania.

Giorgio Anania is presidentand chief executive officer ofBookham Inc, based in SanJose, CA.

Bookham’s Caswell fab is crucial to the company’s future.President Giorgio Anania says that Bookham can become veryprofitable – providing that a consolidated industry structurepresents the company with the large manufacturing volumes that itneeds to accommodate the high fixed cost of the fabrication plant.

Page 15: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

INDUSTRY O P I N I O N

which to spread the huge fixed costs.Japanese vendors have always taken this approach.

They have a long-term view and continued to investaggressively in semiconductor technology even duringthe downturn. Most western players (Bookham is oneof the exceptions) haven’t done this. The result is thatthe Japanese vendors are bringing out new devices justas the market is beginning to revive – and vendors with-out fabs are increasingly beginning to buy from them.This can only strengthen the vendors in Japan by increas-ing their manufacturing scale.

Those Japanese companies have a further scale advan-tage. Unlike western component-makers, they are notstandalone companies but part of major conglomerates,such as Fujitsu, Mitsubishi and Sumitomo. So the costof their optical-component research and production facil-ities is offset by selling into all of the markets where themother company is present – DVD read/write lasers forconsumer electronics, industrial lasers, and more. Thisguaranteed end-user market is crucial for scale.

In the West, as a standalone industry we need to beable to do the same. As an independent company,Bookham has to recreate that captive market by invest-ing in areas that can use its fab capabilities and chipdesigns, exploit its existing assets, and win those cus-tomers on its own. We have, for example, directed ourtelecoms EDFAlaser-pump technology into industrial-laser applications – a growing and profitable market.Our thin-film technology used in telecom is being tar-geted at life sciences and consumer market displays. Our

recent acquisition of Avalon, which is strong in opticalsensing and short-reach datacoms applications, isanother step in this strategy of increasing our technol-ogy capabilities and market opportunities, all feedinginto the same fabs and generating greater effective scale.

Compelling financial logic makes restructuring inev-itable, as well as a smarter spreading of the asset andknowledge base of the optical-components playersbeyond telecom into many other markets. But it is notjust the optical-component industry that needs thischange – so do the OEM customers.

Differentiation allows profitability, whether it is opti-cal-components companies or their customers – the net-work OEMs. OEMs will have to be increasingly awareof optical-component technology coming down the pipein two or three years, so they can back the right horse.With fewer, more powerful component vendors, theinterdependence between them and OEMs will increasesharply. This will make it much easier to marry com-ponent-development roadmaps to network-productroadmaps, and bring innovation to market quickly, cost-effectively and with less risk. Early adoption and two-way commitment are prerequisites.

Innovation will be crucial to the realization of next-generation networks and the new-era communicationsthey promise. But this will be maximized only if play-ers from both the component and equipment sides of thebusiness know that the other is still going to be there sev-eral years down the line – and they both need to makesure it happens.

“This industry isnot grabbing theopportunity toconsolidateahead of itscustomers.”

Page 16: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

compoundsemiconductor.net May 2006 Compound Semiconductor14

I NDUSTRY B E H I N D T H E H E A D L I N E S

Billed as a merger of equals, the hook-up between UStelecommunications giant Lucent Technologies and its French counterpart Alcatel has been five years in the making.

Back in 2001, merger of equals may have been anaccurate description. But Alcatel has outperformedLucent since then and has effectively taken over the UScompany. Alcatel will have a 60% shareholding oncethe merger has cleared regulatory and shareholderapprovals, and although Lucent CEO Patricia Russowill be at the helm, she will be moving across theAtlantic and becoming a Parisienne.

Broadly speaking, the two companies have a rangeof complementary systems that, coupled with the idealgeographic breakdown of sales that the combined entitywill have, makes the merger look good on paper. Andwhile both companies have long since moved awayfrom the vertically-integrated corporate structures thatsaw them build III-V wafer fabrication facilities inthe 1990s, the deal will still have a profound effect atthe chip level.

Lucent and Alcatel have massive strength in bothwireless and optical networks that rely on III-V tech-nologies, but it is in the optical components sector thatthe effects will be most noticeable.

Product overlap appears minimal in the big-pictureview, but that isn’t quite the case with the optical offer-ings. According to Ovum-RHK analyst Daryl Inniss,both Lucent and Alcatel have long-haul (over 1000 km)solutions, both are active at what he calls the “opticaledge”, where technologies such as FibreChannel andGigabit Ethernet dominate, and both supply metropol-itan systems. Some of those systems will inevitablybecome redundant.

Nevertheless, Inniss says that the solid growth seenin the fiber-optic components sector for the past twoyears will continue, despite some initial negative impactcaused by system redundancy. A much bigger effect islikely to be noticed in component and module sellingprices. “The merger is going to strengthen the customerbase, and a bigger system vendor will be able to applymore price pressure,” he said. If, as seems likely, thereare more mergers among systems companies, then thatpressure will only be compounded.

Inniss reckons that this will effectively mean survivalof the biggest for the remaining component vendors, asthe merged Lucent and Alcatel would want to minimizethe number of vendors it deals with and ideally use onesupplier for all of its optical needs: “To better controlits supply chain and decrease its costs, [the mergedcompany] would want fewer, and more stable, sup-pliers,” he argued. “JDSU is the only supplier that youcould call a ‘one-stop shop’for optical solutions and it

stands to benefit.”With Alcatel in control it might seem that Avanex,

the Fremont, CA, company that acquired Alcatel’s waferfabs in 2003, could also stand to benefit from the merger.But although Avanex inherited strong technologies inthe long-haul DWDM sector, Inniss says that the firmhas been hampered by a weakness in its transceiver port-folio for the datacoms and access parts of the networks,and applications such as FibreChannel. “Avanex is onethat could be in trouble,” said the analyst.

That does not mean to say that Avanex will go out ofbusiness. Alcatel still has a major stake in the company,a supply contract that does not expire until late 2007,and its long-haul systems rely on Avanex expertise.Much more likely is a merger or acquisition that seesAvanex become part of a larger business of the kind thatAlcatel and Lucent would want to deal with.

But who would buy Avanex? JDSU has a highlyacquisitive history, but probably does not need to addthe Avanex technologies to its portfolio. A more likelycandidate, at least when considering product lines alone,would be Avago Technologies, the Agilent spin-off.Whether or not that is a likely deal will largely dependon the financial details and cash positions involved, butthe mix of VCSEL-based datacom solutions from Avagoand long-haul DWDM products from Avanex wouldmake a good fit in theory, says Inniss.

Alcatel CEO Serge Tchuruk and Russo both say thatthe ability to spend more on research and developmentis the leading reason behind their deal. Since neithercompany now runs a components business, that won’tmean more money for chip development directly, butthe lasers, amplifiers and detectors that underpin theoptical network remain critical.

Much of that optical innovation originated at Lucent-owned Bell Labs, which will now be divided in two. III-V research is likely to continue at the portionof Bell Labs dedicated to US government research,which is considered to be too sensitive to fall into Frenchhands. It will become a separate entity in its own right,while the remaining portion will surely focus onnetwork-level innovation.

Whatever happens, the era of Bell Labs as a drivingforce in the commercial semiconductor world looks tobe over. The birthplace of the laser and transistor mustnow look forward to new challenges and serving newmasters. “This is a defining moment in the industry,”said Russo. “It is on the cusp of a switch to all-IPnetworks – for voice, data, video and text.”

That switch will ultimately drive production of III-V fiber-optic components to much higher volumesthan today. In the short term it will put intense pressureon the weakest of the remaining component vendors.

System giant to pile on the pressureThe merger between telecom system giants Lucent Technologies and Alcatel will affect the supply chain all the way downto the III-V component level and play into the hands of broad-based suppliers, as Michael Hatcher reports.

T E L E C O M M U N I C A T I O N S

In for the long haul: Alcatel’soptical networks rely on III-V chip technology manufacturedby US company Avanex.

ALC

ATEL

“Datacomsolutions fromAvago and long-haulproducts fromAvanex wouldmake a good fit.”

Page 17: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

Compound Semiconductor May 2006 compoundsemiconductor.net 15

I NDUSTRY M A R K E T R E P O R T

Manufacturers of high-power single-emitter laser diodesare set to benefit from the fast-growing demand for so-called fiber lasers that are finding increasing use forindustrial machining applications such as welding,bending and cutting.

According to a new report from Strategies Unlimited,fiber lasers are rapidly penetrating the $2 billion annualmarket for industrial lasers, threatening to displace moreconventional high-power laser systems and increasediode consumption.

Unlike conventional lasers, which rely on crystal rodsor gas tubes, coupled with excitation sources such asflash lamps or diode laser bars and finely tuned optics,a fiber laser system is very simple. The excitationmedium is the fiber itself, which is doped with rare-earthelements like erbium and ytterbium. This is pumpedusing a single laser-diode emitter similar to those usedin telecommunications applications.

Report author Tom Hausken expects the market forfiber lasers to grow at a compound rate of 35%, to reachnearly $700 million by the end of the decade. The over-all industrial laser sector is expected to grow at only 9%during the same period, to reach around $3 billion.

“[This] will expand diode consumption, through thesubstitution of both lamp-pumped solid-state lasers andcarbon dioxide lasers, as well as organic growth,”Hausken said, pointing out that fiber lasers are enablingsome completely new applications in the medical andsensing markets that conventional systems have beenunable to penetrate.

Fiber lasers are very efficient, compact and cheaperto own in the long run, but the customer base for indus-trial materials processing is a relatively conservativeone and the technology has been regarded as potentiallyunreliable as it relies on a single excitation source. Thatview now appears to have changed. Currently worth alittle over $100 million in annual sales, the fiber lasersector is dominated by one company – IPG Photonics.

Headquartered in Oxford, MA, the privately ownedfirm was founded by Valentin Gapontsev in 1991. It hasa semiconductor wafer fab in Oxford, where it manu-factures 6–10 W single-emitter diodes using MBE.

IPG claims that it is now the world’s biggest makerof diode lasers, when measured in terms of megawattsof power deployed per year. It estimates total shipmentsequivalent to 1 MW (equivalent to 100,000 individual10 W diodes) in 2005.

The company is now planning a big ramp in diodeproduction, and is building a second wafer fab to accom-modate the increase. IPG’s director of industrial marketdevelopment Bill Shiner told Compound Semiconductorthat it expects to deploy 2 MW cumulative diode powerthis year, rising to 6 MWin 2008 as applications in metalcutting in particular begin to take off.

Revenue figures show a similar story, with a com-pound growth rate of 60% over the past three years toreach just under $100 million in 2005, making it one of

the top 10 laser manufacturers worldwide according toHausken. He reckons that the spectacular growth couldsee it make a top-five ranking in 2006.

Making just one basic type of laser has allowed IPGto keep its costs relatively low. Shiner stated that IPG’smounted chips cost just $2 per W, a figure that rises to$6 when they are fiber-coupled. He added that IPG isnow qualifying 20 W sources to further reduce this.

A certain mystery shrouds the exact nature of IPG’sdiodes, especially given that the company has kept itscards close to its chest by not patenting its III-V tech-nology. IPG has been involved in a patent battle withJDSU, settled some years back. In late 2000 it signed atwo-year diode supply deal worth $66.7 million withSDL, prior to the latter’s acquisition by JDSU and thedecision by IPG to make its own chips.

JDSU also makes fiber lasers, but the Californiancompany only has a small market presence. “JDSU andIPG are really the only ones with this vertically-integrated structure in the fiber laser market today,although that may change,” Hausken said. “One wouldexpect the usual long-term trend towards stratificationof the supply chain [and] outsourcing the supply ofdiodes. However, there is no hint that this is imminent.”

Most major-league conventional industrial lasercompanies, for example Trumpf and Rofin-Sinar inGermany, also take a vertical approach, since an inter-nal supply of diodes is regarded as critical. While IPGfaces the challenge of coping with a big expansion inmanufacturing volume and sales, Hausken believes thatone of the big players could complicate the picture withan acquisition.

The analyst adds that although JDSU could still mounta challenge, he is impressed with IPG’s efforts thus far:“IPG has made itself into perhaps the leading manufac-turer of high-power laser diodes, in units. It has done thisusing MBE machines, concentrating on a minimum num-ber of designs, and by being very smart about it all.”

Fiber laser boom spurs single emittersFiber laser specialistand semiconductor fabowner IPG Photonicsis building a secondchip facility as demandfor its high-powersystems hots up.

L A S E R D I O D E S

The Strategies Unlimited fiberlaser market review and forecastis available now via thecompany’s website, atwww.strategies-u.com.

600

400

200

02005

reve

nues

($ m

illio

ns)

2006 2007 2008 2009 2010

100

300

500

700

800

Fiber laser sales currently represent only 6% or so of the industriallaser sector. According to analyst Tom Hausken’s forecast, thisshare will increase to more than 20% by the end of the decade,providing a big growth market for high-power single emitters.

Page 18: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

compoundsemiconductor.net May 2006 Compound Semiconductor16

I NDUSTRY I N T E R V I E W

Infinera only started shipping systems featuring its100 Gbit/s receivers and transmitters 15 months ago, butit has already brushed aside the likes of Alcatel andNortel and grabbed the top spot for 10 Gbit/s long-haulmarket wavelength deployments.

It’s a meteoric rise that company founder and CEOJagdeep Singh puts down to a focus on digital networksand a skepticism for the all-optical networks that wereseen as the holy grail when the firm was founded in 2001.According to Singh, the all-optical vision had a majorflaw – it meant that the carriers no longer had access tothe bits that they were carrying. To Singh that approachmade as much sense as selling airplanes that could fly allday but couldn’t land. “It doesn’t help the airlines to flyplanes – it helps them to pick up and drop off passengers.”

It’s the same with network operators who make theirmoney by adding, dropping and manipulating traffic,says Singh. With all-optical networks it is only possibleto add and drop at the endpoints, but this restriction canbe overcome by turning to digital networks featuringcomponents that deliver optical–electrical–optical (OEO)conversions. “We took on a blasphemous position of say-ing that OEOs are good, and we’ve been vindicated bythe all-optical network never taking off,” remarked Singh.

After several years of development, Infinera had builtan InPwafer fab in Sunnyvale, CA, along with the prod-ucts it needed for its digital networks, but it still had toconvince potential customers to go with a start-up com-pany offering a new technology. “The way we overcamethis was pure economics,” said Singh. Customers could

immediately see the cost savings that our technology madepossible, and were frightened of being priced out of themarket if they stood still while their rivals went digital.

According to Singh, the company’s system costs arelower than those of the incumbent analog networksbecause they use fewer components and are easier toupgrade and maintain. With Infinera’s approach, its InP-based OEO chips are inserted in the network at pointsup to 100 km apart, and information is transferreddigitally, which aids fault-finding because the bits canbe seen directly.

Infinera’s vertical integration has also been instru-mental in converting the company’s vision for digitalnetworks into system sales. “It all comes down to thespecifications of the performance of each device,”explained Singh. “What is the right specification forthose hundreds of parameters? It has to simultaneouslymeet two needs. One is that it is manufacturable, and the second is that you canbuild a real network withit.” Part of the solution wasto get the chip engineers towork side-by-side with thesystem specialists.

Infinera’s disruptivetechnology has shaken upthe pecking order in thelong-haul market, but noother firm has respondedby launching it own pho-tonic integrated technology. This does not surprise Singh,who argues that many of the telecom chip makers suchas Bookham have been focused on cost cutting, andcannot afford to pursue a technology that requires$200 million of investment.

Infinera’s growth has actually had a mixed effect onoptical component manufacturers. It buys some opticalcomponents such as filters to put into its systems, butthe deployment of its own receiver and transmitter chipseats into sales of lasers and detectors. “In the long termwe’re killing their business model, but in the short termwe’re their customers,” quipped Singh, who added thatInfinera’s low system costs are now having a knock-oneffect of pushing down component prices.

It looks like Infinera will have a rosy future as it winsan increasing market share in long-haul, regional andmetro networks. Its current excess fab capacity will beable to accommodate this growth, and Singh predictsthat an upgrade will not be needed for several years. Thesuccess could even drive Infinera’s annual sales towardsthe $1 billion mark, which would fuel the case for alaunch onto the stock market.

In fact, Singh believes that an IPO over the next coupleof years is a distinct possibility, with the timing depen-dent on market conditions. And if that does take place,it would represent both a massive pay back for Singhand a vindication of his digital vision.

Digital vision threatens incumbent telcosInfinera’s Jagdeep Singh tells Richard Stevenson how his digitalvision has beaten the skeptics and is revolutionizing optical networks.

I N F I N E R A

Infinera CEO Jagdeep Singh puts his finger on the company’s success: 100 Gbit/s receivers andtransmitters based on the photonic integrated circuits that are manufactured at its InP wafer fab.

Jagdeep Singh has foundedfour communications start-up companies. His entrepreneurialhighlights include:1993: Airsoft, a firm thatwas subsequently boughtby Shiva Corporation for$65 million in 1996.1998: optical switchingfirm Lightera Networks,which was sold a year laterto Ciena for $550 million.2000: local telecomservice provider OnFiberCommunications.2001: Infinera.

Jagdeep Singh: start-up king

“In the long termwe’re killing theirbusiness model,but in the shortterm we’re theircustomers.”

INFI

NER

A

Page 19: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

29 October – 2 November 2006Hilton Montreal Bonaventure, Montreal, Canada

The 19th Annual Meeting of the IEEE Lasers & Electro-Optics Society

www.i-leos.org Call for Papers: 6 July 2006

Page 20: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

compoundsemiconductor.net May 2006 Compound Semiconductor18

T ECHNOLOGY S i C D I O D E S

Hybrid design improves dioderobustness and boosts efficiency

Infineon launched the first commercial SiC Schottkydiode in 2001, and since then these devices havebecome a benchmark for virtually lossless operation.They can deliver highly efficient switching at fre-quencies up to several hundred kilohertz, and have beendeployed as the boost diodes in power factor correc-tion units of switched-mode power supplies operatingin continuous-current mode.

However, SiC diodes remain more expensive thantheir silicon equivalents due to higher material costs.In addition, their resistance increases greatly with tem-perature – known as a positive temperature coefficientfor resistance – a typical effect in a majority carrierdevice. This behavior has significant consequencesbecause current surges cause thermal runaway, a con-dition that ultimately leads to device failure.

As a result, designers have investigated the surge-current requirements for equipment start-up cycles andfluctuations in the mains supply to set the diode’scurrent rating. So in practice, SiC diodes with currenthandling capabilities well beyond those required arechosen for regular operation, adding to their cost andhampering deployment in cost-sensitive applications.

In addition, SiC diodes also lack stability at voltagesabove the diode’s specified operating voltage. Ideally,a wide safety margin is needed between its rated voltageand the actual breakdown voltage (the reverse voltagethat causes the device to start conducting).

An improved designAddressing all of these issues, Infineon has recentlylaunched its second-generation (2G) “thinQ!” 600 VSiC diodes. These devices can operate at voltages wellabove their specified rating, have a very high surge-current capability, and also deliver the advantages offirst-generation diodes, such as zero reverse recoverycharge at high breakdown voltages.

The thinQ! 2G design, which we refer to as a“Merged pn Schottky (MPS)”, is a combination of a

p-n diode and a Schottky diode. This mix of the twotechnologies allows the device to combine the high-efficiency switching behavior of a Schottky diode withthe strong surge-current capabilities of a p-n junction.The idea for unifying the two types of device is not new,and was first discussed by researchers from KTHUniversity in Stockholm, Sweden, at the first inter-national conference on SiC and related materials in1999. However, up until now this hybrid approach hadonly been used for reducing the field stress at theSchottky interface. This enabled the combination ofhigher blocking voltages, low thermionic field emis-sion and small tunneling leakage currents.

The structure of our MPS diodes and our first-generation SiC devices are compared in figure 1. TheMPS diodes feature a Schottky interface for low-

Al wire-bond

epi layer

field stop layer

SiC substrate

backside metalization

termination

polyimide

Al wire-bond

epi layer

field stop layer

SiC substrate

backside metalization

termination

polyimide

p+ p+ p+ p+ p+ p+

current flow innormal operation

surge currentflow

Fig. 1. Infineon launched its first-generation SiC Schottky diodesin 2001 (top). These devices could be used for applicationsrequiring efficient switching due to the diode’s zero reverserecovery charge behavior, but they were unable to cope with highsurge currents and higher voltages. The second-generation thinQ!diodes (bottom) address both of these concerns through theaddition of p-doped islands that cause the device to combine theadvantages of a p-n junction with those of a Schottky diode.

Infineon’s new second-generation SiC diodes combine theestablished advantages of the Schottky structure with thebetter high-voltage characteristics and surge-currenthandling of a p-n junction. The result is a more ruggedproduct that is better suited to medium- and high-powerapplications, say Kerstin Hubel and Markus Hallenberger.

Page 21: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

Compound Semiconductor May 2006 compoundsemiconductor.net 19

TECHNOLOGY S i C D I O D E S

current operation, a p-n interface for higher currentoperation and floating p-doped islands. The idea toincorporate islands within the structure originated inlow-voltage silicon Schottky diodes, where theapproach reduced leakage current through shieldingof the Schottky interface. When the technology isapplied to SiC, the merged p-doped areas form emitter structures through a low ohmic connection tothe p-doped regions and deliver two benefits –improved surge-current handling and breakdownvoltages well above the rated value of 600 V. Highercurrents can be handled because the device switchesfrom a unipolar to a bipolar current path during surges.This happens when the p-n junction’s threshold forward voltage of about 4 V is reached (see figure 2).The p-doped islands pin the breakdown location and

lead to homogenous avalanche current distributionover the chip’s entire active area, which increases thebreakdown voltage.

Hidden benefitsThe improved current handling of the thinQ! 2G diodesis not noticeable under regular operation. This isbecause the device behaves like a conventionalSchottky diode (such as our first-generation IFX SiCSchottky diode) with zero reverse recovery charge anda positive temperature coefficient. However, at highforward currents the thinQ! 2G diodes are essentiallytemperature-independent, in terms of their I-Vcharacteristics, which prevents the thermal runawaythat causes device failure.

Our current-voltage measurements on a 6 A-rated

Infineon is now manufacturing second-generation diodes, which have a blocking voltage of 600 V and a current rating of 4–16 A, at its fab in Villach, Austria.

0

30

40

50

60

VF (V)

I F (A

)

20

10

02 4 6 8 10 12 14

nominaloperation

surge-currentoperation

thinQ! 2G 4A diode

thinQ! 4A diode

Schottkycharacteristic

bipolarcharacteristic

Fig. 2. Infineon’s thinQ! 2G device marries a Schottky diode witha p-n junction. The result is a device offering Schottky behavior upto about 4 V and bipolar characteristics at higher voltages.

Continuous forward current, IF, for a case temperature 6 A 4 A*TC = 100°C; *TC < 140°CSurge non-repetitive forward current, IF, SM (sine half-wave) 21.5 A 32 Afor a pulse width, tp, of 10 µs (TC = 25°C)Non-repetitive peak forward current, IF, max 60 A 132 A(TC = 25°C, tp = 10 µs)

“i2t” value, ∫i2dt (TC = 25°C, tp = 10 ms) 2.3 A2s 5.1 A2sTotal capacitive charge, QC (VR = 400 V,TC = 150 °C, 21 nC 8 nCdIF/dt = 200 A/µs

Parameter thinQ! thinQ! 2G6 A 4 A

Second-generation benefits

Infineon’s second-generation (2G) 4 A-rated diodes have a higher performance specification thantheir 6 A-rated first-generation counterparts, which makes them ideal replacements for thesecomponents. For example, the 2G diode is able to handle more than twice the surge current of itsforerunner and its “i2t” value indicates that the device offers greater reliability.

Page 22: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

compoundsemiconductor.net May 2006 Compound Semiconductor20

TECHNOLOGY S i C D I O D E S

thinQ! 2G MPS diode, using 400 µs pulse widths andinitial case temperatures from 55 to 175 °C, are shownin figure 3. At all temperatures the devices switch fromunipolar to bipolar behavior at higher voltages, whichprotects against thermal runaway, thereby reducingdevice failure and improving equipment reliability.Figure 3 shows that at a case temperature of 25 °C theunipolar to bipolar switch occurs at less than 6 V, butat 175 °C this transition falls to below 4 V.

These measurements also show that our latest diodesdeliver a threefold improvement in the maximum valuefor the surge non-repetitive (isolated) forward current.This means that they can handle higher peak currents,which improves reliability. Product testing also revealsthat the “i2t” value, which is a guide to the diodes’robustness to pulse currents, is two-and-a-half timeshigher for the new devices.

The MPS approach also allows thinQ! 2G diodes towithstand substantial avalanche current at breakdownconditions – something that is not possible with ourfirst-generation diode or any other SiC diode on the

market (see figure 4). The p-doped islands producetwo separate benefits, as they shield the Schottkycontact from excessive field peaks, while localizingthe breakdown away from the surface. This means that the thinQ! 2G diodes can even deliver highlyrepeatable avalanche characteristics and a stableperformance during voltage spikes that exceed thegiven operation voltage.

The positive temperature coefficient of the thinQ!2G diodes that produce these characteristics offers an increase in the reliability, immunity and ruggednessof high-power electrical equipment. Power factorcorrection (PFC) devices that are normally connectedto the mains mostly use bulk capacitors in their PFCstages. However, during transient voltage spikes theperformance of these capacitors at voltages above thedevice’s rating is very poor. These applications wouldbe better served by our thinQ! 2G devices, which areless affected by the spikes because of their high actualbreakdown voltage.

For most PFC power supplies the diode currentrating is determined by the peak current through thePFC diode under non-standard operating conditions,such as start-up or power drop-out. The thinQ! 2Gdevices provide a surge-current capability that is morethan double the regular current, which allows design-ers to select SiC diodes based on the nominal currentin the circuit. This means that in many situations a 6 ASiC first-generation Schottky diode can be replacedby a lower-rated device, such as a 4 AthinQ! 2G diode(see “Second-generation benefits” table, p19). Thiswill drive a shift in the entry point for SiC diodestowards lower power levels, and subsequently increasethe number of markets that can be addressed by ourthinQ! 2G products. ●

Further readingF Dahlquist et al. 2000 Mat. Sci. Forum 338–342 1179.H Kapels et al. 2001 Proceedings of PCIM 2001,Nuremberg, Germany.R Rupp et al. 2000 Mat. Sci. Forum 338–342 1167.

–100

780

temperature (°C)

brea

kdow

n vo

ltage

5m

A (V

)

775

770

765

760

755–50 0 50 100 150 200

0 200 400 600 800

2

4

0

Vr (V)

Ir (m

A)

150°C

1

3

5

Fig. 4. The thinQ! second-generation 600 V diodes have an avalanche onset at 750 V, shielding theSchottky contact from excessive field peaks. The inset graph shows the high level of uniformity of thebreakdown characteristics of a set of Infineon devices that are operating at a reverse current of 5 mA.

About the authorsKerstin Hubel (left) ([email protected]) isresponsible for silicon carbideschottky diodes productmarketing at Infineon, andMarkus Hallenberger (right)([email protected]) is the company’stechnical marketing engineer for high-voltage discretes andsilicon carbide diodes.

Infineon’s second-generation products, which were launched thisMarch, are available with current ratings of 4, 5, 6, 8 and 16 A.

0

30

40

50

70

VF (V)

I F (A

)

20

10

01 2 3 5

unipolar to bipolarswitch at 25°C

4 6 7 8

60

unipolar to bipolarswitch at 175°C

25°C100°C150°C175°C

Fig. 3. The 6 A thinQ! second-generation diodes can tolerate current surges of more than 70 A, whichhelps prevent device failure due to current spikes. The result should be more reliable equipment.

Page 23: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

V G F

S T R E N G T H .

P E R F O R M A N C E .

I N N O VAT I O N .

AXT proudly announces the launch of its new corporate look.The change reflects our continuing commitment to valued customers, ensuring them superior

technology, products and customer service.

Please visit axt.com to see why we are the premier source for VGF technology.

AXT GaAs S I S U B S T R AT E S

Enabling the production of HBTs for

Power Amplifiers and pHEMTs for

Microwave Switches for wireless and

cell-phone applications

USA Headquarters4281 Technology DriveFremont, CA, USA 94538Tel: 510-438-4700Fax: 510-683-5901Email: [email protected]

ChinaBeijing Tongmei Xtal Technology4 Eastern Second StreetIndustrial Development ZoneTongzhou District, Beijing, China 101113Tel: 86-10-6156-2241Fax: 86-10-6156-2245Email: [email protected]

Japan EastMBK Microtek, Inc.Shuwa Shiba Park Bldg A-10F4-1 Shibakoen 2-chomeMinato-ku, Tokyo, Japan 105-0011 Tel: 81-3-5733-0701Fax: 81-3-5733-0702Email: [email protected]

Japan WestMo Sangyo Co, LTD.201 Ashiya-Farfalla, 6-16 Narihira-choAshiya-Hyogo, Japan 659-0068Tel: 81-797-32-0046Fax: 81-797-32-0304Email: [email protected]

TaiwanConary Enterprise Co., Ltd.10F No. 28, Sec. 3, Nan-King East RoadTaipei, Taiwan, R.O.C.Tel: 886-2-2509-1399Fax: 886-2-2501-6279Email: [email protected]

KoreaIantek CorporationCheongMyung Towntel 6071021-4 YeongTong-Dong, PalDal-GuSuwon-Si, KyungGi-Do, 442-813, KoreaTel: 82-31-204-4221Fax: 82-31-204-4220Email: [email protected]

EuropeGeo Semiconductor Ltd., POB 6262CH 1211 Geneve 6, SwitzerlandTel: 33-1-45316284Fax: 33-1-45333943Mobile: 33-680-134-895Email: [email protected]

United KingdomGeo Semiconductor (UK) LtdNewton GrangeKingsley Green, Kingsley RoadFrodsham, Cheshire WA6 6YAUnited KingdomTel/Fax: 44-(0)-1928-735389Mobile: 44-(0)-779-543-8189Email: [email protected]

(NASDAQ: AXTI )

Page 24: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

© 2006 Semiconductor Equipment and Materials International (SEMI®). All rights reserved.

REGISTER TODAYwww.semi.org/semiconwest

EXHIBITING OPPORTUNITIES AVAILABLEMoscone Center

San Francisco, CaliforniaJuly 10–14 2006

Event Dates: July 10–14Exhibit Dates: July 11–13

Small Things are Big Business.

Explore the commercial possibilities of MEMS at SEMICON® West 2006. Identify partners,

validate requirements, and understand the technology and trends driving MEMS applica-

tions and manufacturing. Network with your peers and see innovative MEMS applications

in the Emerging Technologies “TechXPOT.” Come see what’s big about everything small.

This is SEMICON West.

West_CS_May06

Page 25: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

Compound Semiconductor May 2006 compoundsemiconductor.net 23

T ECHNOLOGY E P I T A X Y

Complementary growth techniquepromises improved LED performance

Epitaxial crystal growth is a critical element in manysemiconductor device technologies. And, while suc-cesses in fabrication and device design are equally impor-tant, epitaxial crystal growth is the foundation uponwhich everything is built. Or, is it? Inherent in the suc-cess of nearly all epitaxial growth is an underlying nativesubstrate, but because bulk crystal growth has reachedsuch a level of refinement in terms of quality and price,this platform for growth is often taken for granted.

In fact, the success of many devices can be traced tothe wide availability of high-quality native substrates,with silicon, GaAs and InP obvious examples. Thereare exceptions, such as HgCdTe for mid-infrared detec-tors, but by and large without a native substrate oneshould think twice – and then a few more times – beforelaunching a new material system into the marketplace.

GaN, though, is at least at first glance perhaps adifferent beast. Its emergence as an electronic andoptoelectronic material has all the ingredients of a goodmyth. It begins with a researcher toiling away in an area of little interest to our community in the confinesof a privately owned company with an unrelated corebusiness, and has led to the creation of emergent GaN-based markets such as solid-state lighting, blue andnear-ultra-violet lasers and detectors, and high-temperature electronics. GaN is remarkably resilientto defects and is a good optical emitter, despite havingdislocation levels of 109 cm–2 that would kill lumines-cence in GaAs. However, despite this success, reduc-tions in dislocation density can help to make highlyreliable GaN products, which brings us back again tothe importance of a good substrate.

GaN-based devices suffer from various problemssuch as poor contacts and difficult processing, but thesubstrate issue dominates even though it has been withus from the beginning. The reason why it is so difficultto grow a bulk crystal comes down to the incrediblebond strength of the nitrogen molecule, which leads toa very strong gallium-nitrogen bond and a high melt-ing point for GaN. For circumstances anywhere closeto normal conditions, GaN dissociates into liquid

gallium and nitrogen gas before it melts. Only by goingto extremely high pressures of 6 GPa at 2220 °C canmolten GaN be formed, because at these conditions themelting temperature drops below the dissociationtemperature and a nitrogen overpressure allows GaNcrystals to form from the melt.

This approach has been used by several groups,beginning with Polish consortium Unipress in the mid-1990s. The crystals are good and have dislocation den-sities of 102 cm–2, but scaling has been extremelydifficult and even today the technique is limited to theproduction of 10 mm substrates.

Consequently, several companies in Asia, Europeand North America are exploring an alternativeapproach to GaN substrates production using the well-established hydride vapor-phase epitaxy (HVPE)process. Although this is much more costly than thebulk-crystal substrate process, it is inexpensive for aVPE process and can deliver growth rates of at least100 µm/h on multi-wafer platforms. It is also better

Combining the strengths of HVPE and MOVPE allows high-quality GaN films to be grown on sapphiresubstrates in a single process. This horizontal gas-flow reactor features a raised platform for the substrate,and carrier and process gases that are introduced from the right-hand side. Independent heating of thesubstrate holder and reactor walls provides suitable conditions for both types of growth process.

Fig. 1. HVPE growth requires the reactor walls to be hotter than the substrate, but MOCVD demands theopposite profile. Both of these needs can be met with independent heaters for the walls and substrate,which allows the more suitable technique to be used for each part of the GaN-on-sapphire growth.

LED performance could be improved byswitching production to GaN substrates,which reduces dislocation densities.However, a better solution is to combineHVPE and MOVPE into a single process,says researcher Glenn Solomon.

Page 26: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

compoundsemiconductor.net May 2006 Compound Semiconductor24

TECHNOLOGY E P I T A X Y

suited to the growth of low-impurity III-V films thanMOVPE, but is rarely used for device fabrication. Thisis primarily because the technique cannot offer the pre-cise thickness control and sharp interfaces demandedby many structures. In addition, the extremely high epi-taxial growth rates that are possible with this approachare not in demand, and very low impurity levels are lessimportant in p-n junctions.

However, the HVPE process is well-suited to mak-ing relatively large free-standing GaN substrates bydepositing a several hundred micron-thick GaN filmon a sacrificial substrate such as sapphire and thenremoving the substrate. Currently, though, this is a dif-ficult and low-yield process. This is partly because ofcrystal growth and processing issues such as surfaceroughness and etching. But the main issues are filmstresses that result from the thermal and lattice mis-match between the GaN and sapphire that can causethick layers of GaN to bow or even crack, and make thefinal separation of GaN from the sapphire substrate dif-ficult. Progress is being made, but substrates producedin this way are expensive, and in the near future willonly be suitable for high-cost devices requiring lowdefect densities, such as GaN lasers. Consequently, analternative approach is needed for successful commer-cialization of a range of lower-cost GaN devices.

Our approach addresses the issues associated withsubstrates and growth as a single entity – as opposed toisolated substrate and epitaxy problems – and focusesaway from the laser market that is already best servedby expensive GaN substrates. Our aim is to affordablyreduce the defect density in a broad spectrum of GaN-related devices grown on foreign substrates, and webelieve this can be achieved by combining HVPE andMOVPE in a single process. This allows HVPE to

provide an inexpensive high-growth-rate process forthe low dislocation-density thick buffer layer, andMOVPE to contribute a very thin, initial starting layerand the final device layers (see figure 1, p23).

MOVPE, not HVPE, is used for buffer-layer growthfor several reasons. Firstly, HVPE’s high growth ratehinders accurate thickness control of the low-temper-ature buffer layer (~25 nm). It also tends to lead to par-asitic depositions that can re-enter the gas stream afterthe reactor is heated for subsequent growth, due to therelatively low substrate temperature compared with thegrowth chamber and gallium source. Excess chlorineatoms can also cause etching during HVPE growth fortemperatures below 800°C that favor GaCl3 formation.

Although combining HVPE and MOVPE growth inone reactor has many advantages, it has previously beenavoided because the two growth processes have differ-ent requirements. The MOVPE chemical reaction isstrongly exothermic and produces a positive net entropychange with temperature. Higher temperatures increasethe driving force and deposition is most favorable in thehottest region of the growth system, which should bethe substrate area. In contrast, the HVPE reaction isweakly exothermic, with a negative change in entropy– as temperature increases the driving force decreases.Deposition is greatest in the coolest part of the growthsystem, within decomposition limits. The HVPE reac-tion is also a near-equilibrium deposition method andat ~1000 °C, a typical growth temperature, the drivingforce for HVPE deposition is just 3% of that forMOVPE. This means that the HVPE process producesfewer point defects than MOVPE, but also creates inter-faces that are less sharp, which is why the latter tech-nique is preferred for heterostructure growth.

Combining the growth methodsWe have unified the two methods by combining a cold-wall approach for MOVPE growth with a hot-wallapproach for the HVPE process. During the MOVPEprocess a resistive heater under the substrate raises thewafer’s temperature above that of the reactor walls,which are unheated. For HVPE growth the situation isreversed, and the multi-zone external tube furnace heatsthe surroundings more than the substrate.

Using this combined process we have grown a 12µmthick GaN layer onto a 2 inch diameter (0001) sapphiresubstrate. After the substrate was cleaned, etched andloaded into the reactor, it was heated to 1000°C for ther-mal cleaning. The reactor’s temperature was then low-ered to 500 °C using the cold-walled resistive heatingconfiguration for MOVPE growth of the 25 nm thickbuffer layer. The external heater then raised the tem-perature of the wafer to 1025°C for recrystallization andthe growth of 12 µm of GaN by HVPE.

AFM measurements over 10 × 10 µm areas show aroot-mean-square surface roughness of less than 1 nm,while cross-sectional TEM reveals the dislocation net-works (see figure 2). These images identify a dense net-work of threading dislocations that appear as dark linesconcentrated at the GaN–sapphire interface. Most dis-locations are curved and some are even bent into the

Fig. 2. TEM cross-sectionalimages, taken by AchimTrampert at the Paul DrudeInstitute in Berlin, reveal thethreading dislocations in the12 µm thick GaN-on-sapphireepilayer grown by the combinedHVPE–MOVPE approach. Thethreading dislocations, whichappear as dark lines, areconcentrated at theGaN–sapphire interface anddecrease as the film’s thicknessincreases. The dislocationdensity of GaN material within1 µm of the surface is 107 cm–2.

“Our approachcomes fromaddressing the issuesassociated withsubstrates andgrowth as asingle entity.”

PDI

Page 27: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

Compound Semiconductor May 2006 compoundsemiconductor.net 25

TECHNOLOGY E P I T A X Y

basal plane, suggesting that they can interact, annihi-late, or tie themselves up and limit propagation. Theupshot is that this epitaxial process can efficiently reducedislocations during the growth. Most of the actionoccurs within a few microns of the GaN–sapphire inter-face, where the dense tangle of dislocations diminishesdramatically, and after 12 µm of growth the surface’sdislocation density drops to the 107 cm2 range.

Although the reduction in GaN dislocation densityby two orders of magnitude to 107 cm2 is significant, itis still too high for the GaN substrate market. However,for a major reduction in dislocation density it is neithertime-consuming nor expensive, and could benefit lower-cost commodity markets where GaN substrates wouldbe too costly, such as LED-based general lighting.

If so much is possible with just 12µm of growth, whyshouldn’t we turn to even thicker buffer layers to cut thedislocation density even more? Firstly, as can be seenin figure 2, the greatest reductions in dislocation den-sity occur close to the GaN–sapphire interface, andadditional growth brings diminishing returns. Moreimportantly, further growth has a downside, as greaterthicknesses increase the accumulated thermal and lat-tice mismatch strain, which can reduce device perfor-mance and reliability and can cause the material to bow.

The improvements in crystal-growth quality pro-duced by growing very thick layers are seen by the con-tinued reductions in the full-width at half-maximum

(FWHM) values from our high-resolution X-ray dif-fraction rocking-curve measurements. Measurementson the 12 and 50 µm thick films show that the FWHMof the X-ray peaks from the symmetric (0002) and asym-metric (202

–1) reflections reduce from 460 and 450 arc-

sec to 300 and 280 arcsec, respectively. This shows thatthe thicker films do produce an improvement in crystalquality, but bowing becomes significant in these films,which prevents them making good large-area substrates.

Free-standing GaN substrates will have an impor-tant role in the continued improvement of GaN-baseddevices, especially in situations where performancecan justify the significant substrate costs. However,lower-cost products are currently better served with asimple hybrid MOVPE–HVPE crystal growth process.This approach allows the most suitable process to beused for the low-temperature starting layer, the thickbuffer layer and the heterostructure, and can form high-quality devices in a single reactor using onecontinuous growth process. ●

AcknowledgmentsDavid Miller at CBL Technologies has played a sig-nificant role in all phases of this work. Thanks to OliverBrandt, Klaus Ploog, Manfred Ramsteiner and AchimTrampert of the Paul Drude Institute (PDI) in Berlin,Germany, for film characterization and discussion, andthe PDI for their support.

Glenn Solomon has just startednanostructures and quantumoptics research at the PhysicsLaboratory at NIST,Gaithersburg, after leaving hisacting professorship at StanfordUniversity’s ElectricalEngineering Department. Hefounded CBL Technologies withDavid Miller in 1996 to developfree-standing GaN substrates.Recently, the company hasswitched to developing itspatented thick-film approach toGaN growth, and thedevelopment of VPE equipment,which is described in this article.

www.bocedwards.com/compsemi

Compound SemiconductorGases . Vacuum . Exhaust ManagementChemical Management . Support ServicesTemescal Deposition Systems

BOC Edwards offers a broad range of equipment, materialsand expertise for compound semiconductor manufacture.An impressive install base in compound semiconductor applications as well as a presence in every major silicon semiconductor fab in the world, puts us a head above the rest in supporting the production of devices such as LEDs, LDs, HEMTs, HBTs, MEMs and compound substrates.

Don’t bury your head in the sand, contact BOC Edwards ...for better process results.

BOC

Edw

ards

and

the

str

ipe

sym

bol a

re t

rade

mar

ks o

f The

BO

C G

roup

plc

.© B

OC

Edw

ards

,Inc

.200

6.A

ll ri

ghts

res

erve

d.

Page 28: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

compoundsemiconductor.net May 2006 Compound Semiconductor26

T ECHNOLOGY L A S E R S

nLight expands wavelength rangein order to attack new markets

Manufacturers of infrared laser-diode bars are contin-ually increasing their emitters’efficiency, with progressspurred on by the US Department of Defense’s (DoD’s)three-year super-high-efficiency diode-sources pro-gram. This initiative, which runs until September, hasalready seen companies such as nLight, Alfalight andJDSU all approach the 80% efficiency target. Theimprovements will aid the country’s battlefield laserweapons, because increased efficiency cuts heat gen-eration and allows refrigeration units to be smaller andeasier to maneuver.

The processes that are used to fabricate these efficientinfrared emitters are also applicable to the productionof efficient lasers operating at other wavelengths. Thishas not escaped nLight, which has been developing laserdiodes at both longer and shorter wavelengths than theDoD’s focus on 880–980 nm. The US-based companyis now offering cooled 12Wdiode-bar packages at 665,680 and 690 nm, and has just started development of1900 nm lasers.

nLight’s director of device technology, Paul Crump,says that the increased wavelength range is opening up

its addressable markets. According to Crump, the mar-ket for medical applications of diode lasers was worthmore than $100 million in 2005 and is growing at a fre-netic pace. Applications enabled by new wavelengthsinclude fast-growing sectors such as photodynamictherapy, where a laser activates drugs within the body.Red lasers are also in great demand for illumination anddisplay applications, says Crump, and nLight is ship-ping volume products in all of these fields. Meanwhile,bars operating at around 1900 nm can be used to pumpholmium-doped crystal lasers, for eye-safe communi-cation and for atmospheric sensing.

Two factors restrict the peak power output of red-emitting lasers – thermal rollover and facet failure dueto catastrophic optical mirror damage (COMD).

Thermal rollover occurs when the device tempera-ture rises and causes the carriers to jump straight outof the quantum wells and into the contacts. This can beaddressed by cryogenic cooling, says Crump, but italso increases system complexity and cost.

An alternative approach to combating the effects ofthermal rollover involves designing a laser with adeeper quantum well, which improves carrier con-finement. However, these red-emitting lasers have tobe built on GaAs substrates and employ the AlInGaPmaterial system that has a relatively small bandgaprange. Crump explains that the greatest degree of con-finement could be produced with an AlP claddingregion, which has a 2.45 eV bandgap at room temper-ature. However, this layer is extremely strained whengrown on a GaAs substrate, which limits its thickness.As a result, alloys with even smaller bandgaps are oftenused to form the cladding region. So in practice themaximum possible confinement voltage is only 0.5 eV,and for many commercial devices it is just 0.25eV. Thisis much less than the 0.6 eV confinement energy for980 nm commercial diodes.

Cooling without cryogenicsAlthough nLight avoids cryogenics, it does employwater cooling to limit thermal rollover effects andimprove diode-bar output power. This may not suitapplications demanding high portability, but is fine forcar production plants and doctor’s surgeries. The firmis also improving the bar’s output power by balancingthe trade-off between the number of emitters and thethermal performance, because having more emittersincreases device output and operating temperature.

The second factor that restricts reliable high-powerdiode emission is COMD – a failure mechanism initi-

0

effic

ienc

y (%

)

wavelength (nm)500 750 1000 1250 1500 1750 2000

75

100

50

25

peak

bar

pow

er (W

)

10

1

100

1000

peak efficiencypeak bar power

nLight’s diodes that span the wavelength range from 660 nm to 1.9 µm can address a range ofapplications as diverse as photodynamic therapy, illumination, gas sensing and eye-safe sensing.

nLight is aggressively targeting medical applications,displays, eye-safe communication and atmospheric sensingby extending the wavelength range of its high-power diodebars. Richard Stevenson reports on 660 nm and 1.9 µmemitters that feature record output powers.

“It’s harder [touse aluminum tobuild diodelasers], but if thetechnology is upto it, it’s not afundamentalbarrier.”Paul CrumpnLight

Page 29: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

Compound Semiconductor May 2006 compoundsemiconductor.net 27

TECHNOLOGY L A S E R S

ated by photoabsorption at the laser’s facets that pro-duces a rapid rise in local temperature. Absorptionoccurs because the free bonds that are formed duringthe cleaving process to define the laser’s cavity oxi-dize to form mid-bandgap traps. Many diode manu-facturers, including nLight, have developed variouspassivation techniques to reduce COMD by coatingthe facets with a wider bandgap material that does notabsorb the laser’s light.

COMD is particularly important for 660 nm lasersbecause the photon’s energy is relatively high and thediode needs to incorporate aluminum-containing mat-erials that are very reactive. However, Crump pointsout that highly reliable telecom lasers have been builtwith aluminum-based compounds, adding, “It’s harder[using aluminum], but if the technology is up to it, it’snot a fundamental barrier.” The problems can also bepartially avoided by using an InGaP quantum well, asaluminum then only features in the barrier layers thatare subjected to a much lower optical-power density.

By addressing the problems associated with ther-mal rollover and COMD, nLight’s engineers have fab-ricated 660 nm laser bars that deliver a peak opticaloutput power of 90 W. “I don’t believe anyone else haspublished results anywhere near us in terms of power,”claimed Crump.

The emitters, which were grown on 3 inch GaAs sub-strates by low-pressure MOCVD and are based on theAlInGaAsPmaterial system, have a width of 1 cm anda 1.5 mm cavity length, and feature standard dielectrichigh- and low-reflection coatings on the rear and frontfacets. The bars have 30% fill factor, defined as theratio of the individual emitter widths to the spacingsbetween the emitters, and were cooled by a coppermicrochannel heat sink that is fed with 0.5 l of waterper minute at a temperature of 10 °C.

The approach indicates that output powers inexcess of 200 W are possible with higher fill factors,with device degradation due to COMD limited bynLight’s passivation technique. Crump reckons thatit will be possible to produce commercially reliable30 W diode bars.

Beyond telecom wavelengthsnLight has also been developing 1.9 µm diode lasersthat are an extension of the company’s 1 cm-wide1.5µm diode bars that produce more than 100W. TheseInP-based sources contain InGaAs quantum wells andInGaAsP barriers and are less affected by COMDbecause the photon’s energy is only one-third of thatof a red-emitting laser.

However, they do have strain-related issues thatresult from the additional indium content needed toreach the longer wavelengths. Although low levels ofcrystal strain can benefit laser performance, higher lev-els lead to material deformation and a higher defectdensity, or phase-segregation of the alloys into regionsof differing composition. Both effects reduce deviceperformance, but can be avoided by careful control ofthe growth conditions, says Crump. According to him,various well-established methods are available, includ-

ing optimization of the ratio of group III to group Vgases, the growth rate and temperature, and by bal-ancing the compressively strained wells with oppos-ing tensile-strained barriers.

The other issue restricting the output power of long-wavelength laser performance is carrier loss due toAuger recombination. This effect – which reducesthreshold current and temperature performance, andis 10 times stronger at 2 than at 1.5µm – is caused whenthe electron-hole pair transfers its energy to a third car-rier instead of combining to emit light. Auger recom-bination is very temperature-sensitive and limits themaximum output power in these devices. Because thisloss mechanism is a three-carrier process, its rate isproportional to the cube of the carrier density, so reduc-ing the threshold current improves laser performance.Alower threshold means fewer carriers and less Augerrecombination, improving high-temperature perfor-mance and increasing output power.

By using the low-threshold-current designs to min-imize Auger recombination and heat sinks to controlthe device’s temperature, nLight’s engineers extracted23.5 W at 1916 nm, from 1 cm-wide diode bars featur-ing a 1 mm cavity length and a 20% fill factor. Crumpbelieves that nLight has again produced the most pow-erful bars ever at this wavelength, giving the companya head start at both ends of its range. This puts nLightin a strong position to capture the emerging marketsfor these wavelengths and drive up manufacturing vol-umes of its wide range of laser diodes. ●

nLight, which is based in Vancouver, WA,and was founded in 2000, now employsmore than 100 staff. The company has itsown manufacturing facility that allows allmanufacturing processes to be carried outin-house. The 56,000 ft2 fab features a23,000 ft2 Class-1000 cleanroomequipped for high-volume epitaxial growth,facet coating and passivation, packagingand final device testing.

Integration, integration, integration

NLIG

HT

nLight targets many markets with a range of laser-diode products, including single-emitter packages,conduction-cooled and actively cooled diode bars, and vertically and horizontally stacked arrays.

NLI

GH

T

Page 30: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

compoundsemiconductor.net May 2006 Compound Semiconductor28

T ECHNOLOGY R E S E A R C H R E V I E W

Shuji Nakamura, Steven DenBaars and co-workers at the University of California, SantaBarbara, in partnership with Henri Bensty fromthe Charles Fabry Institute of Optics in Orsay,France, claim to have fabricated the first LEDsthat feature photonic-crystal-assisted lightextraction and are made using laser lift-off.

The team believes that its approach canimprove the performance of GaN LEDs bycombining the increased extraction efficiencyof photonic structures with the efficient thermaldissipation and broad injection area producedby laser bonding onto a different substrate.

The LEDs were fabricated by growing a2 µm-thick GaN buffer, a 2 µm-thick n-dopedGaN layer, five InGaN quantum wells and a300 nm p-doped GaN layer on a sapphire sub-strate. After adding a RuO2/Ni/Ag electrode, thewafer was covered with gold, flipped and bondedto an AlN ceramic substrate. Sapphire wasremoved by laser lift-off, before the GaN layerwas thinned by chlorine-based reactive ion etch-ing and an electron beam defined the photonic-

crystal structure (see figure).Unfortunately, the LED’s ability to dissipate

heat was ruined during the photonic-crystal for-mation process, which prevented any reliablecomparison between the light-extraction effi-ciencies of patterned and unpatterned devices.

Team-member Auréline David told Com-pound Semiconductor that the damage wasprobably caused during a gold etch step thatremoved too much material and led to the melt-

ing of the substrate during current injection.“To solve this we had to deposit more metalonto the substrate to decrease its thermal resis-tance. However, the LEDs were partiallyshorted during this metal deposition becauseof a minute misalignment of the lithographicmask,” she added.

David believes that the accident could beavoided by fabricating the photonic crystalsearlier in the process and cannot foresee anyfundamental limit to the fabrication of pho-tonic-crystal LEDs using laser lift-off.

The team was able to measure angle-resolved spectra from the photonic-crystalLEDs and did observe “photonic bands” butthe emission was relatively weak due toabsorption by the metallic layers. Device mod-eling showed that this loss could be signifi-cantly reduced by coating the structure withsilver instead of gold.

Photonic-crystal LED achieves lift-off

Journal referenceA David et al. 2006 Appl. Phys. Lett. 88 133514.

Researchers from Japan’s National Institute ofAdvanced Industrial Science and Technologyhave built an InGaAs/InAlAs quantum-wirephototransistor with a room-temperature sen-sitivity of up to 350 kA/W.

The visible-wavelength detector is claimedto combine the sensitivity of an avalanche pho-todiode (APD) or photomultiplier tube (PMT)with a pindiode’s suitability for detector arrays.

The researchers say that APDs and PMTsare not ideal for arrays because their high biasvoltage has the potential to damage the sili-con charge amplifiers that are used alongsidethem, while pin diodes have sensitivities ofjust 1 A/W.

The detectors were produced by growing

an InGaAs/InAlAs quantum-wire structureby MBE on a semi-insulating InP V-groove-patterned substrate before forming recess,source and drain contacts.

Measurements with light from a tungstenlamp determined that the device’s sensitivitywas greater than 300kA/W from 500 to750 nm, and highest from 600 to 700 nm.

Quantum wire promiseshighly sensitive array

G A N O P T O E L E C T R O N I C S

P H O T O D E T E C T O R S

Journal referenceM Ogura et al. 2006 Electron Lett. 42 413.

Researchers at the Otto-von-Guericke Uni-versity in Magdeburg, Germany, have takenan important step towards the integration ofoptoelectronics with CMOS silicon technol-ogy by fabricating the first GaN LEDs on sil-icon (001) substrates.

GaN LEDs have previously been producedon silicon (111) substrates, but depositing thedevice on silicon (001) is much more chal-lenging because the substrate and epilayershave different lattice symmetry.

The team’s GaN LEDs were grown usingan Aixtron single-wafer MOCVD reactor ona silicon (001) substrate that had been etchedto produce an oxide-free, hydrogen-terminatedsurface. A 20 nm-thick AlN seed layer was

deposited first, followed by a 200 nm-thickAlGaN layer, a 2 µm-thick GaN layer con-taining four thin AlN interlayers to preventcrack formation, a multiple-quantum-wellactive region and a p-doped capping layer.

An in situ curvature and reflectance tool wasused to monitor the growth. “The curvature

monitoring allows easier adjusting of the AlNinterlayer growth temperature and thickness,”said team-member Fabian Schulze, “and thereflected intensity is useful for estimating theinterface roughness.”

Photoluminescence measurements showedthat the LED had a peak emission at 455 nmand weaker yellow luminescence. Blue elec-troluminescence was produced by electricalinjection through a metallic probe onto a Ni-Au contact, with the substrate’s backside form-ing a second contact (see figure).

The team has not yet progressed to inte-grating its devices with CMOS circuits, butSchulze says that there are promising resultsfrom AlGaN/GaN FETs grown on silicon (001)substrates and fabricated in standard industrialproduction lines.

GaN LEDs make leap tosilicon (001) substrates

G A N - S I L I C O N I N T E G R A T I O N

Journal referenceF Schulze et al. 2006 Appl. Phys. Lett. 88121114.

The photonic crystal has a periodicity of 215 nm thatcan be identified with atomic force microscopy.

The GaN LEDs grown on silicon (001) substrates canproduce electrically stimulated emission and could aidthe integration of optoelectronics with CMOS technology.

1 µm

Page 32: COMPOUND SEMICONDUCTOR - Fileburstiopp.fileburst.com/cs/cs_12_04.pdf · The Compound Semiconductor Week Technology Exhibition combines visitors from both the IEEE CSIC Symposium and

Solutions for a nanoscale world.™

For high-volume production of GaN-based HB LEDs, GaNzilla™ II sets the standard. GaNzilla II, Veeco’s next generation TurboDisc® reactor, improves LED yield and brightness. Plus, its unique loadlock design enables continuous operation for the highest throughput available today. Visit www.veeco.com/gan or call 1.888.24.VEECO to learn how GaNzilla II can improve your premium LED output.

Want more premium LEDsfrom your MOCVD system?

Introducing GaNzilla II: higher throughput,superior uniformity, brighter LEDs.

GaNzilla™ II MOCVD System

Compound Semiconductor SolutionsMBE, MOCVD, PVD, IBD, IBE,AFM and Optical MetrologyProcess Integration Center