79
THESE – Their Ibrahim Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

Contribution au développement de modèles pour l ...docinsa.insa-lyon.fr/these/2009/ibrahim_t/12_annexes.pdf · Contribution au développement de modèles pour l’électronique

  • Upload
    vuhuong

  • View
    213

  • Download
    0

Embed Size (px)

Citation preview

THESE – Their Ibrahim Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

ANNEXE 1

1.1. Eléments essentiels du langage VHDL-AMS…………………………………………170 1.1.1. Structure d’un modèle VHDL-AMS……………………………………………..170

1.1.1.1. Entité………………………………………………………………………170 1.1.1.2. Architecture ……………………………………………………………….171

1.1.2. Classes d’objets…………………………………………………………………..172 1.1.2.1. Terminaux et Natures ..................................................................................172 1.1.2.2. Quantités…………………………………………………………………..173

1.1.3. Sémantique de connexion………………………………………………………...174 1.1.4. Les instructions en VHDL-AMS…………………………………………………174

1.1.4.1. Instructions séquentielles et concurrentes…………………………………174 1.1.4.2. Instructions simultanées ……………………………..……………………175

1.1.5. Types d’analyses………………………………………………………………….176 1.1.6. Simulation mixte..………………………………………………………...………176 1.1.7. Critère de solvabilité……………………………………………………………...177

1.2. Simulation d’une balle bondissante soumise à la friction de l’air et à la gravité……...179

1.3. Tableau comparatif des simulateurs VHDL-AMS …………………...………………180 1.4. La bibliothèque (AMS_Power_Lib)…………………………………………………..181

1.4.1. La structure de la bibliothèque ……………………………………..……………181 1.4.2. La fenêtre principale d’un modèle ................................................................….....181

THESE – Their Ibrahim -169-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

1.1. Eléments essentiels du langage VHDL-AMS

1.1.1. Structure d’un modèle VHDL-AMS [Std1076.1], [Herv02]

Un modèle VHDL-AMS est constitué de deux parties principales : la spécification d’entité (mot clef : ENTITY) qui correspond à la vue externe du modèle et l’architecture de l’entité (mot clé : ARCHITECTURE) qui correspond à la vue interne du modèle. La figure A.1 montre la structure d’un modèle VHDL-AMS.

Figure A.1 : Structure d’un modèle VHDL-AMS. 1.1.1.1. Entité

Nous pouvons comparer l’entité à une boîte noire où seuls les nœuds d’interconnexion sont visibles. Elle permet, après appel de bibliothèques utiles (mot clef : LIBRARY) et spécification du contenu à exporter (mot clef : USE), de communiquer entre le monde extérieur et le modèle au moyen de deux objets : GENERIC et PORT. Les « génériques » sont des constantes à valeurs différées (les paramètres) qui peuvent être modifiés par la suite et servent à rendre le modèle plus général. Les ports sont les nœuds dynamiques par lesquels l’entité pourra recevoir et envoyer des informations à et vers son environnement (figure A.2). Les ports peuvent être de plusieurs classes :

• Les ports de classe « signal » (mot clef : SIGNAL) définissent des canaux de communication directionnels (entrées « mode IN », sorties « mode OUT ») ou bidirectionnels « mode INOUT » modélisant des signaux logiques.

THESE – Their Ibrahim -170-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

• Les ports de classe « quantité » (mot clef : QUANTITY) définissent des points de connexions analogiques directionnels d’entrée « mode IN », de sorties « mode OUT » et bidirectionnels d’entée/sortie « mode INOUT » pour les informations analogiques orientées.

• Les ports de classe « terminal » (mot clef : TERMINAL) définissent des points de

connexions analogiques pour lesquels les lois de conservation de l’énergie (lemmes de Kirchhoff pour les circuits électriques ou lemmes équivalents pour les systèmes non électriques) sont satisfaits.

Figure A.2 : Vue général d’un modèle VHDL-AMS. Une entité qui ne définit aucune déclaration est un banc de test, souvent appelée «TestBench» (code A.1). Le modèle interne ne pouvant être excité de l’extérieur doit être autonome pour son fonctionnement. La plupart des environnements de simulation ne peuvent prendre en charge que des modèles dont le point d’entrée est un TestBench. Code A.1 : Déclaration d’entité de TestBench.

ENTITY TestBench IS END ENTITY TestBench;

L’entité peut être écrite, compilée et mise à disposition avant de connaître l’architecture associée. Une fois l’analyse des besoins effectuée, on sait quels sont les ports d’entrée-sorties nécessaires. Cette souplesse peut servir de support au choix du boîtier du modèle alors que l’architecture n’est pas encore définie. 1.1.1.2. Architecture

Une architecture définit le comportement et/ou la structure du système modélisé. Elle est reliée à une unique entité et hérite ainsi de toutes les déclarations faites à ce niveau (les constantes déclarées en GENERIC, les nœuds déclarés en PORT, …etc.).

THESE – Their Ibrahim -171-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

L’architecture de l’entité permet, après une zone de déclaration locale, de définir le fonctionnement du modèle par l’intermédiaire d’instructions concurrentes permettant de manipuler l’information numérique et d’instructions simultanées mettant en jeu les valeurs analogiques du modèle. L’intérêt de VHDL-AMS est que toutes ces instructions peuvent cohabiter, offrant ainsi un support à la multi-abstraction et à la conception mixte. Pour une entité donnée, il peut y avoir plusieurs architectures qui lui font appel avec différents types de description et pour une architecture donnée, il y a une seule entité (figure A.2). L’intérêt de disposer de plusieurs architectures pour le même modèle est extrêmement important. Une architecture comportementale a été validée. Il sert alors de référence (de cahier des charges) pour le reste de la conception. Le travail est plus avancé, donc on peut comparer en co-simulation l’adéquation des architectures. 1.1.2. Classes d’objets [Frev05], [Std1076.1], [Herv05a]

1.1.2.1. Terminaux et Natures

Le terminal est un objet VHDL-AMS utile pour la spécification de points de connexion pour lesquels les lois de conservation d’énergie sont satisfaites (lois de Kirchhoff pour l’électricité). Les terminaux peuvent être déclarés dans les interfaces des entités ou dans les zones de déclarations des architectures. Dans ce dernier cas, le terminal est local à l’architecture qui contient sa déclaration. Les terminaux ne définissent explicitement aucune valeur mais sont associés à des quantités pour former les DAE (équations différentielles algébriques). Leur rôle donc est de faciliter la description des systèmes conservatifs. Les quantités associées sont appelées les quantités de branche (branch quantity). Un terminal est associé à une « nature », qui représente un domaine d’énergie particulier (électrique, mécanique, thermique, …etc.), permettant de faire les vérifications de cohérence d’associations. Chaque domaine d’énergie est caractérisé par deux classes de grandeurs liées à des effets physiques. Les grandeurs « ACROSS » représentent un effort et les grandeurs « THROUGH » représentent un flux (par exemple la tension et le courant, respectivement, pour les systèmes électriques). Ces deux classes de grandeurs permettent de définir la notion d’énergie et de puissance d’un système physique (figure A.3).

Figure A.3 : Les terminaux de l’entité.

THESE – Their Ibrahim -172-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

Une nature est l’association de deux types réels et d’un identificateur. Les natures sont associées aux mots clés effort "across" et flux "through", l’identificateur est associé au mot clé "reference" (tableau A.1). Tableau A.1 : Flux et efforts relatifs à divers domaines physique.

Nature (domaine) Effort (across) Flux (through)

Electrique Tension Courant

Thermique Température Puissance (Heat_flow)

Mécanique de rotation Angle de vélocité Torsion

Mécanique de translation vélocité Force

Magnétique Force magnétique (mmf) Flux magnétique

Hydraulique Pression Flux (débit)

Radiatif Dose Photocourant

Finances Dette Flux monétaire 1.1.2.2. Quantités

Les quantités sont les objets qui transportent les signaux à temps continu. Une quantité peut être libre, de branche, de source ou implicite. Une quantité libre (free_quantity) peut être déclarée localement dans une architecture. Dans ce cas elle n’est disponible que localement. Elle peut être initialisée (sa valeur initiale par défaut est zéro), affectée et participée aux équations simultanées. Le code A.2 illustre la déclaration de quantité libre « Q ». Code A.2 : Déclaration de quantité libre.

QUANTITY Q : real := 1.0; --Valeur initiale de Q est 1.0 Les quantités de branche (branch_quantity) permettent d’associer des quantités à des terminaux (nœuds de connexion). Dans la déclaration de code A.3, (v) est la différence des efforts liés aux terminaux t1 et t2 qui est nommée quantité d’effort entre t1 et t2, décoré du mot clé ACROSS. Le point chaud de (v) est sur t1. La quantité (i) est la quantité de flux qui s’écoule de t1 vers t2, décoré du mot clé THROUGH. Le type de (v) et (i) est défini par le type de la nature de terminaux t1 et t2. Si les terminaux t1 et t2 sont de nature electrical, alors (v) représente une tension et (i) un courant. Code A.3 : Déclaration des quantités de branches. QUANTITY v ACROSS i THROUGH t1 TO t2; Les quantités de sources (source_quantities) représentent des sources (stimuli) appliqués à certains terminaux du modèle. Elles sont utilisées pour les analyses spectrales et en bruit. L’analyse spectrale (analyse AC, ou petits signaux, dans les simulateurs de type Spice) se fait avec les sources spectrum après analyse du point de repos alors que l’analyse en bruit se fait avec les sources noise. Le code A.4 illustre la déclaration des quantités de sources. THESE – Their Ibrahim -173-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

Code A.4 : Déclaration des quantités de sources

QUANTITY v ACROSS i THROUGH p to m; QUANTITY ac : real SPECTRUM 1.0, 0.0; --Magnitude ,Phase QUANTITY nois_src : real NOISE 4.0 * amb_temp * K/R; ... i == v/R + ac + nois_src ; ...

La dernière ligne du code précédent sera remplacée par :

i = = v/R ; pour l’analyse TR (transitoire temporelle). i = = ac ; pour l’analyse AC (harmonique). i = = nois_src ; pour l’analyse noise.

Le langage VHDL-AMS définit aussi des quantités implicites (implicit_quantity) : c’est-à-dire des quantités qui n’ont pas besoin d’être déclarées, mais qui sont liées à d’autres quantités explicitement déclarées. La valeur initiale de quantité implicite est nulle, sauf en cas d’initialisation explicite. Une première liste de quantités implicites est donnée ci-dessous. Soit Q une quantité explicitement déclarée :

- Q’dot représente la dérivée temporelle première de la quantité Q. - Q’Integ représente l’intégrale de la quantité Q sur un intervalle de temps allant de zéro

au temps courant. La notation par attribut (Q’dot) est cumulative pour les dérivées des ordres supérieurs, par exemple: Q’dot’dot (resp. Q’dot’dot’dot) représente la dérivée seconde (resp. troisième) de la quantité Q. Il en est de même pour les intégrales avec Q’Integ’Integ représente l’intégrale seconde de la quantité Q. Il existe d’autres quantités implicites ('DELAYED, 'LTF, 'RAMP, 'SLEW, …etc.). 1.1.3. Sémantique de connexion [Herv05a]

Les modèles compilés peuvent être instanciés dans des modèles de plus haut niveau. Ils sont alors interconnectés selon les besoins. Le comportement de ces associations sera déduit de la sémantique associée à ces connexions. Seules les quantités de mode OUT peuvent être branchées sur des quantités de mode IN. Dans ce cas, la valeur de la quantité sortante est recopiée sans modification sur la quantité entrante. En cas de connexion de terminaux, les équations de Kirchhoff généralisées sont implicites. À chaque nœud (ou conjonction de terminaux) le simulateur s’arrange pour qu’en chaque point de simulation (ou ASP11) il y ait une égalité des efforts et somme nulle des flux. 1.1.4. Les instructions en VHDL-AMS [Vach03], [Std1076.1]

1.1.4.1. Instructions séquentielles et concurrentes

La base d’un comportement dirigé par les événements est la notion de processus concurrents. Un processus (mot clef : PROCESS) en VHDL-AMS définit une portion de code dont les instructions sont exécutées en séquence dans l’ordre donné.

1 ASP sont les points temporels appelés points de solution analogique (Analog Solution Point).

THESE – Their Ibrahim -174-

Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

Un processus (code A.5) peut posséder sa propre zone de déclarations (subprogram, constant, attribute, … etc.) qui ne sont visibles qu’à l’intérieur du processus. Les instructions possibles dans un processus sont des instructions de contrôle (condition (IF), sélection (CASE), boucle (LOOP, WHILE, FOR)), d’affectation de variables et de signaux, de synchronisation (WAIT) et d’appel de procédure. Code A.5 : Déclaration d‘instruction de processus.

[POSTPONED] PROCESS [( sensitivity_list )] [IS] Declarations_locales

BEGIN process_statement_part

END [POSTPONED] PROCESS; Il existe d’autres instructions concurrentes en plus du processus dont l’instruction concurrente conditionnelle et l’instruction concurrente sélective. Chacune d’elle possède une formulation équivalente utilisant un processus et une instruction séquentielle conditionnelle ou de sélection. 1.1.4.2. Instructions simultanées

Les instructions simultanées (simultaneous_statement) constituent une classe particulière d’instructions permettant de décrire des équations différentielles algébriques linéaires ou non linéaires mettant en jeu des quantités, des constantes, des signaux, des valeurs littérales et des appels de fonction. Les instructions simultanées peuvent apparaître partout. La forme la plus simple est « l’instruction simultanée simple » :

expression1 == expression2 ; Où (expression1) et (expression2) dénotent n’importe quelle expression VHDL-AMS légale dont l’évaluation produit une valeur réelle. Le code A.6 donne trois instructions simultanées simples équivalentes représentant l’équation constitutive de la résistance. Code A.6 : Instructions simultanées simples équivalentes.

v == R*i; --v et i sont des quantités i - v/R == 0.0; --R est une constante 0.0 == v - R*i;

Plusieurs formes d’instructions simultanées sont disponibles en plus de la forme simple présentée ci-dessus. Il s’agit :

• Instruction simultanée conditionnelle, elle se construit à partir des mots clés « IF, USE »,

• Instruction simultanée sélective, elle se construit à partir des mots clés « CASE, USE »,

• Instruction simultanée procédurale « PROCEDURAL », permet de construire une équation simultanée en fonction des résultats d’un algorithme séquentiel.

THESE – Their Ibrahim -175-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

1.1.5. Types d’analyses [Frev05]

VHDL-AMS supporte différents types d’analyses, le simulateur utilisé doit fournir une indication explicite nommé DOMAIN. Les types possibles d’analyses sont les suivants :

• Calcul du point de fonctionnement (DC) : Pour déterminer le point de fonctionnement, on utilise l’état « quiescent » (QUIESCENT_DOMAIN), code A.7. Ceci permet de contourner une limitation des simulateurs, qui ne prennent en compte la construction BREAK pour les conditions initiales, comme le simulateur ADVance-MS par exemple.

Code A.7 : Déclaration de (QUIESCENT_DOMAIN).

IF (domain = quiescent_domain) USE --DC analysis V == 0.0; ELSE --TR analysis I == C * V'dot; END USE; --End Domain

• Analyse temporelle (TRANSITOIRE) :

On utilise l’analyse temporelle (TIME_DOMAIN) pour déterminer le comportement transitoire temporel d’un système.

• Analyse fréquentielle (AC, NOISE) :

L’analyse fréquentielle en petits-signaux (FREQUENCY_DOMAIN) permet l’étude du régime permanent d’un circuit en ayant recours à des techniques de simulation simples et rapides. Le but est d’obtenir les caractéristiques en fréquence d’un circuit lorsqu’il est stimulé par des signaux sinusoïdaux de faibles amplitudes. L’analyse fréquentielle peut être l’analyse de bruit ou l’analyse harmonique.

1.1.6. Simulation mixte [Pour06], [Std1076.1]

Un modèle mixte inclut des parties ayant un comportement dirigé par événements (parties logiques) et des parties ayant un comportement continu (parties analogiques) qui interagissent. La simulation mixte est un élément incontournable de conception des systèmes de puissance, la figure A.4 montre le principe de la simulation mixte pour les systèmes de puissance en utilisant le langage VHDL-AMS. Les modèles des éléments de puissance (source, convertisseur statique, charge), de capteurs et d’éléments analogiques sont décrits en VHDL-AMS. VHDL est utilisé pour la description de la commande numérique. Le langage VHDL-AMS offre la possibilité de faire l’interface logique-analogique (L/A) et aussi l’interface analogique-logique (A/L) (figure A.5) :

• L’interface (L/A) : est disponible par l’intermédiaire des quantités implicites S’RAMP et S’SLEW et de l’instruction BREAK on.

• L’interface (A/L) : est disponible par l’intermédiaire du signal implicite Q’ABOVE.

THESE – Their Ibrahim -176-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

Figure A.4 : Principe de la simulation mixte pour les systèmes de puissance.

Figure A.5 : les interfaces L/A et A/L du langage VHDL-AMS.

1.1.7. Critère de solvabilité

La simulation analogique revient à résoudre un système d’équations à chaque pas de temps. Il faut s’assurer qu’à tout moment le modèle, au niveau de l’unité de conception, contient autant d’équations que d’inconnues. Le concepteur doit alors respecter certainement le critère de solvabilité suivant :

Critère de solvabilité : NE = NQ

Où : • NE = Le nombre d’équations simultanées.

THESE – Their Ibrahim -177-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

• NQ = Nombre de quantités libres déclarées dans l’architecture + Nombre de quantité d’interface de mode OUT + Nombre de quantités de flux (THROUGH) - Nombre de quantités en mode OUT dans les instances de composants.

L’intérêt de ce critère est qu’il peut être assuré localement, chaque unité de conception pourra être vérifiée par le concepteur et par le simulateur indépendamment du système dans lequel elle sera utilisée. ATTENTION : ce critère n’assure pas la CONVERGENCE qui est dépend de valeurs dynamiques du système. Ce critère est obligatoirement nécessaire mais pas suffisant, un modèle qui n’assure pas ce critère ne passe pas la compilation et n’est donc pas simulé. Le code A.8 illustre un cas typique de non solvabilité, selon le critère ci-dessus. Pour l’architecture « wrong » : on a NQ = 0 et NE = 1, par contre l’architecture « correct » assure la solvabilité : NQ = 1 et NE = 1. Code A.8 : Modèle incorrect et modèle correct d’une source de tension parfaiet.

ENTITY vdc IS GENERIC (DC : real := 100.0); PORT (TERMINAL tp,tm : electrical); END ENTITY vdc; ---------------------------------------- --NE COMPILE PAS ARCHITECTURE wrong OF vdc IS QUANTITY v ACROSS tp TO tm; BEGIN V == DC; END ARCHITECTURE wrong; ----------------------------------------- --COMPILE ET simule ARCHITECTURE correct OF vdc IS QUANTITY v ACROSS i THROUGH tp TO tm; --i ne sert qu’au critêre BEGIN V == DC; END ARCHITECTURE correct;

THESE – Their Ibrahim -178-

Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

1.2. Simulation d’une balle bondissante soumise à la friction de l’air et à la gravité

La figure A.6 présente les graphes de la vitesse (v) et du déplacement (s), d’une balle bondissante, en fonction du temps par simulation de (code 1.3, chapitre1).

S [m

]

-20.00m

5.00

1.00

2.00

3.00

4.00

0 9.002.00 4.00 6.00 8.00t [s]

Déplacement [m]

(a)

V [m

/s]

-7.70

7.70

-6.00

-4.00

-2.00

0

2.00

4.00

6.00

0 9.002.00 4.00 6.00 8.00t [s]

Vitesse [m/s]

(b)

Figure A.6 : Les simulations d’une balle bondissante,

a) le déplacement, b) la vitesse. THESE – Their Ibrahim -179-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

1.3. Tableau comparatif des simulateurs VHDL-AMS

Le tableau A.2 compare les plus importants simulateurs disponibles actuellement sur le marché pouvant supporter le langage VHDL-AMS. Tableau A.2 : Comparaison des simulateurs VHDL-AMS.

ADVance-MS

SystemVision

Saber HDL

SMASH

Simplorer

Editeur Mentor Graphics Mentor GraphicsSynopsys

(Avant-Analogy)

Dolphin Integration

ANSOFT

Plate-forme

UNIX Linux

PC Windows 2000 et XP

PC & UNIX -Sun Solaris 2.6.8 -Windows NT4.0/2000 -Redhat Linux7.2

PC & UNIX -Sun Solaris 7 -Linux RHL 7

to RHEL3 -Windows

XP/vista

PC Windows 2000 et XP

Simulateur

Eldo

Eldo

Newton

Calaveras

Single kernel

Simec

Interface graphique NON OUI OUI OUI OUI

Bibliothèque VHDL-AMS Eléments de base

Eléments de

base

Eléments de base

NON

Eléments de

base

Bibliothèques composants

Bibliothèques des modèles C/C++

Bibliothèques des modèles Spice

Bibliothèques des modèles MAST

Support des Modèles Spice

Bibliothèques des modèles SML

THESE – Their Ibrahim -180-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

1.4. La bibliothèque (AMS_Power_Lib)

1.4.1. La structure de la bibliothèque

Le tableau A.3 présente la structure de la version actuelle de la bibliothèque : Tableau A.3 : La structure de la bibliothèque (AMS_Power_Lib).

Répertoire Sous-répertoire Doc Index, Symbole

Libs vhdl_file_liste.txt

Power_Sources Current_Source, Square_Pulse_Voltage_Source, Trapezoidal_Pulse_Voltage_Source, Voltage_source

Power_Devices Power_MOSFET, Power_Diode, Power_JFET, Power_IGBT

Electro_Thermal _Models Electro-Thermal _IGBT, Electro-Thermal_JFET, Thermal_Model

Systems Iinterconnection_Commutation_Cell

Passive_Devices Capacitor, Inductor, Resistor

Switch Ideal_Switch

Control Double_pulse_digital_signal

1.4.2. La fenêtre principale d’un modèle

La figure A.7 présente une partie de la fenêtre principale d’un modèle de composant dans la bibliothèque (AMS_Power_Lib), montrant quelques informations de sa déclaration.

Figure A.7 : La fenêtre principale de modèle de transistor MOSFET.

THESE – Their Ibrahim -181-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

ANNEXE 2

2.1. Liste des paramètres du modèle VHDL-AMS des transistors VDMOS………………183 2.2. Validation du modèle VHDL-AMS de transistor MOSFET (MTP20N20E)………….184

2.2.1. Les caractéristiques statiques……………………………………………………..184 2.2.2. Les courbes de commutation …………………………………………………......185

2.3. Les inductances des interconnexions de la cellule de commutation ………………….187 2.4. Les paramètres du modèle VHDL-AMS de la diode PiN de puissance……………….188 2.5. Les formes d’ondes aux bornes de la diode (STTA81200)……………………………189

THESE – Their Ibrahim -182-

2.6. Les formes d’ondes aux bornes de la diode (BYT12PI600)…………………………..191

Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

2.1. Liste des paramètres du modèle VHDL-AMS des transistors VDMOS

Le tableau B.1 montre la liste des paramètres du modèle VHDL-AMS des transistors VDMOS pour les dispositifs :

- IRF740 10Amps, 400Volts, - MTP20N20E 20Amps, 200Volts.

Tableau B.1 : Les paramètres des transistors VDMOS.

Paramètre Unité IRF740 MTP20N20E

NB m-3 4.35×1020 4.4875×1020

Agd m2 6.6×10-6 7.71×10-6

Pb V 1 1

Mj - 0.5 0.41

Cds0 nF 1.88 3.22

Coxd nF 2.82 1.77

Cgs nF 0.73 2.74

Isat A 1×10-12 1×10-12

Rg Ω 1 1

Rs Ω 0.001 0.001

Rd Ω 0.001 0.001

VT V 3.76 3.56

θ V-1 1.67 1.34

Kplin A/V2 4.219 10

Kpsat A/V2 16.95 12.43

THESE – Their Ibrahim -183-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

2.2. Validation du modèle VHDL-AMS de transistor MOSFET (MTP20N20E)

2.2.1. Les caractéristiques statiques

Le figure B.1 montre les caractéristiques statiques simulées (lignes solides) et mesurées (lignes tirées) du transistor MTP20N20E à température ambiante.

Figure B.1 : Les caractéristiques statiques du MOSFET (MTP20N20E).

THESE – Their Ibrahim -184-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

2.2.2. Les courbes de commutation

a) Commutation à l’ouverture

Mesures et simulations de courant et de tension de drain du MOSFET (MTP20N20E) en commutation à l’ouverture. (Vds = 150V, R = 15.3Ω, L = 0.66µH, Rg = 100Ω).

Figure B.2 : Mesures et simulations de courant et de tension de drain du MOSFET (MTP20N20E)

en commutation à l’ouverture.

THESE – Their Ibrahim -185-

Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

b) Commutation à la fermeture

Mesures et simulations de courant et de tension de drain du MOSFET (MTP20N20E) en commutation à la fermeture. (Vds = 150V, R = 15.3Ω, L = 0.66µH, Rg = 100Ω).

Figure B.3 : Mesures et simulations de courant et de tension de drain du MOSFET (MTP20N20E) en commutation à la fermeture.

THESE – Their Ibrahim -186-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

2.3. Les inductances des interconnexions de la cellule de commutation

Sur le schéma de la modélisation des interconnexions (figure 2.22a), plusieurs aspects sont pris en considération [Garr03]:

• L’inductance LD, représente l’inductance de piste limitée par la borne positive du générateur et l’extrémité de la sonde de tension du coté cathode de la diode,

• Les inductances du circuit de grille (LG et lg), représentant respectivement l’inductance

du câble de commande de la grille du MOSFET et l’inductance de la patte et du boîtier du MOSFET du côté grille,

• L’inductance commune de source Ls, responsable des phénomènes d’interaction

puissance-commande, représente les conducteurs entre la puce du semi-conducteur du transistor MOSFET du côté source et la borne négative du générateur,

• Les inductances Ld et ldio, représentent les conducteurs entre les puces des

semiconducteurs et les points d’observation des signaux en tension,

• Les effets mutuels entre les différents éléments d’inductances du circuit de test sont pris en compte.

Le tableau B.2 montre la matrice d’inductance du circuit équivalent complet avec le support de longueur L = 100mm pour la diode. La diode sous test est fixée dans un support (figure B.4) qui permet de réduire au minimum la valeur de l’inductance due aux pattes du composant, car ce système assure le contact au ras du boîtier de la diode.

Figure B.4 : Cellule de commutation avec support de la diode.

THESE – Their Ibrahim -187-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

Tableau B.2 : La matrice d’inductance dans le circuit équivalent de la cellule de commutation [Alla03]∗.

[nH] LD LG lg ld ls ldio

LD 70 -1.5 0.24 0.94 -1.06 0.093

LG 20 0.043 -0.67 4.24 0.14

Lg 2 0.53 -3.58 0.27

Ld 14 -8.28 -0.4

Ls 10 0.2

ldio 10 2.4. Les paramètres du modèle VHDL-AMS de la diode PiN de puissance.

Le tableau B.3 montre la liste des paramètres du modèle VHDL-AMS de la diode PiN de puissance pour les dispositifs :

- Diodes PiN en silicium : STTA81200 (IF = 8A, VRM = 1200V) et BYT12PI600 (IF = 12A, VRM = 600V). - La diode PiN en Carbure de Silicium (composant JFET cascode SiC 2A/1300V).

Tableau B.3 : Les paramètres des dispositifs diodes PiN.

Paramètres Unité STTA81200 BYT12PI600 Diode SiC 2A/1300V

A m2 6 ×10-6 6.98 ×10-6 1.15×10-6

ND m-3 4.88 ×1020 5.2 ×1020 12 ×1021

WB m 89 ×10-6 38.6 ×10-6 53×10-6

τ s 171×10-9 107.4 ×10-9 17×10-9

α - 0.031 0.0364 0.0224

τD s 19.4 ×10-9 16.4 ×10-9 16.1×10-9

∗ [Alla03] B. Allard, H. Garrab, W. Mi, K. Ammous, H. Morel, « Switching Parameter Maps - a new

approach to the validity domain of power device models », in Proc. IEEE, pp : 1220-1225, 2003.

THESE – Their Ibrahim -188-

Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

2.5. Les formes d’ondes aux bornes de la diode (STTA81200)

a) Les formes d’ondes du courant et de la tension aux bornes de diode « STTA81200» en commutation l’ouverture pour les conditions de fonctionnement (courant direct égal à 2A et une tension appliquée inverse égale à 100V).

Figure B.5 : Les formes d’ondes expérimentales et simulées du courant et de la tension aux bornes de

la diode STTA81200, en commutation à l’ouverture (MOSFET : IRF740, Vg = 15V, Rg = 10Ω, VR = 100V et IF = 2A).

THESE – Their Ibrahim -189-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

b) Les formes d’ondes du courant et de la tension aux bornes de diode « STTA81200» en commutation l’ouverture pour les conditions de fonctionnement (courant direct égal à 2A et une tension appliquée inverse égale à 150V).

Figure B.6 : Les formes d’ondes expérimentales et simulées du courant et de la tension aux bornes de

la diode STTA81200, en commutation à l’ouverture (MOSFET : IRF740, Vg = 15V, Rg = 10Ω, VR = 150V et IF = 2A).

THESE – Their Ibrahim -190-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

2.6. Les formes d’ondes aux bornes de la diode (BYT12PI600)

Les formes d’ondes du courant et de la tension aux bornes de diode « BYT12PI600» en commutation l’ouverture pour les conditions de fonctionnement (courant direct égal à 2A et une tension appliquée inverse égale à 150V).

Figure B.7 : Les formes d’ondes expérimentales et simulées du courant et de la tension aux bornes de

la diode BYT12PI600, en commutation à l’ouverture (MOSFET : IRF740, Vg = 15V, Rg = 10Ω, VR = 150V et IF = 2A).

THESE – Their Ibrahim -191-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

ANNEXE 3

3.1. Liste des paramètres du modèle VHDL-AMS des transistors IGBT de puissance…….193 3.2. Les caractéristiques statiques des IGBTs à température ambiante……………………..194 3.3. Les courbes de commutations des IGBTs (SGP02N60 et une puce IGBT 600V/50A), à

température ambiante……………………………………………………………………196 3.4. Les Commutation de l’IGBT (SGP30N60) pour différentes tensions d’alimentation…200

3.4.1. La commutation à la fermeture …………………………………………………...200 3.4.2. La commutation à l’ouverture……………………………………………………..203

THESE – Their Ibrahim -192-

Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

3.1. Liste des paramètres du modèle VHDL-AMS des transistors IGBT de puissance

Le tableau C.1 montre la liste des paramètres du modèle VHDL-AMS de l’IGBT pour les dispositifs :

- SGP30N60 30A/600V (NPT-Technologies) chez Infineon, - SGP02N60 2A/600V (NPT-Technologies) chez Infineon, - Une puce IGBT 50A/600V sur un substrat DBC, - HVIGBT CM1200HA-34H 1200A/1700V chez MITSUBISHI.

Tableau C.1 : Les paramètres du modèle des transistors IGBT.

Symbole Puce IGBT 600V/50A SGP02N60 SGP30N60 HVIGBT

CM1200HA-34H Unité

A 0.5×10-4 0.12×10-4 0.45×10-4 24×10-4 m2

Agd 0.4×10-4 0.098×10-4 0.36×10-4 16.4×10-4 m2

BVn 1 1010 1010 5 -

BVf 4 100 100 1 -

Cgs 11 0.14 3 250 nF

Cox 25.8 0.6 6.6 90 nF

Isne0 6×10-14 10×10-14 6×10-14 3.8×10-12 A

Kplin0 4.2 0.278 3.38 57.5 A/V2

Kpsat0 6 0.295 6.47 58.6 A/V2

NB 5.2×10+21 4.2×10+20 4.7×10+20 12.4×10+19 m-3

τHL0 0.5×10-6 1.2×10-6 0.3×10-6 14.2×10-6 s

θ1 50 150 34 330 V

Vbi 0.6 0.7 0.6 0.6 V

Vt0 6.25 3.73 4.5 6.75 V

Vtd -2.1 -0.2 -0.8 -02 V

WB 88×10-6 188×10-6 200×10-6 97.46×10-6 m

THESE – Their Ibrahim -193-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

3.2. Les caractéristiques statiques des IGBTs à température ambiante

Les figures C.1, C.2, et C.3 montrent, respectivement, les caractéristiques statiques des dispositifs SGP02N60, Puce IGBT 600V/50A et CM1200HA-34H. Sur les figures précédentes, nous avons reporté la comparaison entre les tracés expérimentaux des caractéristiques statiques de sortie (lignes tirées) et ceux simulés (lignes solides) à température ambiante. Les simulations ont été réalisées à partir des jeux de paramètres qui sont donnés dans le tableau précédent.

Figure C.1 : Comparaison de la caractéristique statique mesurée (lignes tirées) et simulée (lignes

solides) de l’IGBT SGP02N60.

THESE – Their Ibrahim -194-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

Figure C.2 : Comparaison de la caractéristique statique mesurée (lignes tirées) et simulée (lignes

solides) d’une puce IGBT 600V/50A.

Figure C.3 : Comparaison de la caractéristique statique simulée (lignes solides) et celles trouvées dans

la Datasheet (lignes tirées) du HVIGBT CM1200HA-34H.

THESE – Their Ibrahim -195-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

3.3. Les courbes de commutations de l’IGBT (SGP02N60) et d’une puce IGBT (600V/50A) à température ambiante

• IGBT (SGP02N60)

- La figure C.4 montre les courbes de commutation, simulés et ceux relevées expérimentalement, à la fermeture pour l’IGBT (SGP02N60).

Figure C.4 : Les courbes de commutation à la fermeture de l’IGBT (SGP02N60) (RL = 29.5Ω, LL = 0.7µH, VCE = 100V, Rg = 10Ω).

THESE – Their Ibrahim -196-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

- La figure C.5 montre les courbes de commutation, simulés et ceux relevées expérimentalement, à ouverture pour l’IGBT (SGP02N60).

Figure C.5 : Les courbes de commutation à l’ouverture de l’IGBT (SGP02N60) (RL = 29.5Ω, LL = 0.7µH, VCE = 100V, Rg = 10Ω).

THESE – Their Ibrahim -197-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

• Une puce IGBT (600V/50A)

- La figure C.6 montre les courbes de commutation, simulés et ceux relevées expérimentalement, à la fermeture pour une puce IGBT (600V/50A) sur un substrat DBC.

Figure C.6 : Les courbes de commutation à la fermeture d’une puce IGBT (600V/50A) (RL =27 Ω, LL =4.53 µH, VCE = 41V, Rg =10 Ω).

THESE – Their Ibrahim -198-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

- La figure C.7 montre les courbes de commutation, simulés et ceux relevées expérimentalement, à l’ouverture pour une puce IGBT (600V/50A) sur un substrat DBC.

Figure C.7 : Les courbes de commutation à l’ouverture d’une puce IGBT (600V/50A) (RL =27 Ω, LL =4.53 µH, VCE = 41V, Rg =10 Ω).

THESE – Their Ibrahim -199-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

3.4. Les Commutation de l’IGBT (SGP30N60) pour différentes tensions d’alimentation

3.4.1. La commutation à la fermeture

Figure C.8 : Les simulations et les mesures de tension de grille pendant la commutation à la fermeture pour différentes tensions d’alimentation, (IGBT : SGP30N60, RL = 29Ω, LL = 3µH, Rg = 10Ω).

THESE – Their Ibrahim -200-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

Figure C.9 : les simulations et les mesures de tension d’anode pendant la commutation à la fermeture

pour différentes tensions d’alimentation, (IGBT : SGP30N60, RL = 29Ω, LL = 3µH, Rg = 10Ω).

THESE – Their Ibrahim -201-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

Figure C.10 : les simulations et les mesures de courant d’anode pendant la commutation à la fermeture pour différentes tensions d’alimentation, (IGBT : SGP30N60, RL = 29Ω, LL = 3µH, Rg = 10Ω).

THESE – Their Ibrahim -202-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

3.4.2. La commutation à l’ouverture

Figure C.11 : les simulations et les mesures de tension de grille pendant la commutation à l’ouverture pour différentes tensions d’alimentation, (IGBT : SGP30N60, RL = 29Ω, LL = 3µH, Rg = 10Ω).

THESE – Their Ibrahim -203-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

Figure C.12 : les simulations et les mesures de tension d’anode pendant la commutation à l’ouverture

pour différentes tensions d’alimentation, (IGBT : SGP30N60, RL = 29Ω, LL = 3µH, Rg = 10Ω).

THESE – Their Ibrahim -204-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

Figure C.13 : les simulations et les mesures de courant d’anode pendant la commutation à l’ouverture

pour différentes tensions d’alimentation, (IGBT : SGP30N60, RL = 29Ω, LL = 3µH, Rg = 10Ω).

THESE – Their Ibrahim -205-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

ANNEXE 4

4.1. L’équivalence entre les grandeurs thermiques et électriques…………………………..207 4.2. Les caractéristiques statiques de l’IGBT (SGP30N60) pour (T = 100C°)……………..208

THESE – Their Ibrahim -206-

4.3. Les courbes de commutation simulées et mesurées à la fermeture de l’IGBT (SGP30N60) pour T = 25C° et T = 125C°……………………………………………………………..209

Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

4.1. L’équivalence entre les grandeurs thermiques et électriques

Tableau D.1 : Définition des grandeurs physiques (électriques et thermiques)∗.

Tableau D.2 : Relations principales entre les grandeurs électriques et thermiques∗.

∗ M. Correvon, « Electronique de puissance, modélisation thermique des composants de puissance », Rapport

technique, Institut d’Automatisation Industrielle, 2000.

THESE – Their Ibrahim -207-

Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

4.2. Les caractéristiques statiques de l’IGBT (SGP30N60) pour (T = 100C°) Les caractéristiques statiques mesurées et simulées de l’IGBT (SGP30N60) pour la température (T = 100C°), en utilisant le traceur Tektronix 371A et le four à air pulsé.

Figure D.1 : Caractéristiques statiques mesurées et simulées de l’IGBT(SGP30N60), T = 100C°.

THESE – Their Ibrahim -208-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

4.3. Les courbes de commutation simulées et mesurées à la fermeture de l’IGBT (SGP30N60), pour T = 25C° et T = 125C°.

(a)

(b)

Figure D.2 : Les courbes de tension d’anode en commutation à la fermeture de l’IGBT SGP30N60,

(E = 100V, RL = 27.6Ω, LL= 2µH, Rg = 10Ω, Vg = 15.3V), a) T = 25C°, b) T = 125C°.

THESE – Their Ibrahim -209-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

(a)

(b)

Figure D.3 : Les courbes de courant d’anode en commutation à la fermeture de l’IGBT SGP30N60,

(E = 100V, RL = 27.6Ω, LL= 2µH, Rg = 10Ω, Vg = 15.3V), a) T = 25C°, b) T = 125C°.

THESE – Their Ibrahim -210-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

(a)

(b)

Figure D.4 : Les courbes de tension de grille en commutation à la fermeture de l’IGBT SGP30N60, (E = 100V, RL = 27.6Ω, LL= 2µH, Rg = 10Ω, Vg = 15.3V),

a) T = 25C°, b) T = 125C°.

THESE – Their Ibrahim -211-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

ANNEXE 5

5.1. Code du modèle de la diode PiN de puissance .…………………………...…………..213 5.2. Code du modèle du transistor VDMOS ……………...……………………………….217 5.3. Code du modèle de l’interconnexion de la cellule de commutation (VDMOS, Diode) ..………………………………..………...………………..………..222

5.4. Code du modèle électro-thermique du transistor IGBT de puissance …………...……226

5.5. Code du modèle thermique diffusif pour la simulation électrothermique d’une puce IGBT

dans un module de puissance……………………………………………………………236 5.6. Code du modèle thermique « différences finies »……………………………………...239

THESE – Their Ibrahim -212-

5.7. Code du modèle thermique « éléments finis »………………………………….......….243

Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

5.1) Code du modèle de la diode PiN de puissance

-- *************************************************************** -- Systems' virtual Prototyping -- (header v1.1) -- *************************************************************** -- File: Power_Diode.vhd Owned by: Ampère-INSA, CNRS UMR5005 -- -- Purpose: Circuit model of the PiN diode including high-level injection effects -- -- Generics: Aeff, real, diode effective area -- Nd, real, diode base doping level -- Wb, real, diode base effective width -- tau, real, carrier lifetime in diode base -- alpha, real, first empirical parameter -- tauD, real, second empirical parameter -- -- Ports: a, electrical, anode of diode -- c, electrical, cathode of diode -- *************************************************************** -- Author(s): B. Allard, T. Ibrahim Organisation: Ampère-INSA -- Date of this release: 20-03-2008 Project: Power Devices -- Current Version: v0.9 Verified by: H. Morel -- Final Validation by: -- Systems'ViP number: -- *************************************************************** -- History of the model version: -- Version: Date: Modification: By: -- v0.x 15-07-2005 first draft T. Ibrahim -- v0.9 23-07-2005 modification of B. Allard -- test description -- v0.9.1 21-10-2007 verified and B.Allard -- correction -- v1.0 -- **************************************************************** -- Associated files/Dependencies: -- Original paper, no dependencies -- HERVE MOREL, S. H. GAMAL et JEAN-PIERRE CHANTE, «State Variable Modeling -- of the Power PIN Diode using an Explicit Approximation of Semiconductor -- Device Equations: A Novel Approach», IEEE Transactions on Power Electronics, -- tome 9, no 1, p. 112–120, janvier 1994. -- -- -- Notes: High-level injection phenomenon is represented by an economic -- state-variable model. Interest is related to the efficient trade-off -- between accuracy and complexity. -- -- Limitations / Field of use: -- Diode model restricted to switching analysis. Static characteristics have been -- improved with original paper, but remains unsufficient for conduction power losses -- estimation for example. -- -- Known errors: -- *************************************************************** -- Golden simulator (company/tool/version): -- Ansoft, Simplorer, v7_pack_5 -- Simulator settings: -- testbench "tp_Cell.vhd" -- Simulation End Time[S]-Tend = 240.0 ns -- Minimum Time Step[S]-Hmin = 10.0 ps

THESE – Their Ibrahim -213-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

-- Maximum Time step[S]-Hmax = 20.0 ns -- Integration Formula: Adaptive Trapezoid-Euler -- Maximum Number of lteration-lteratmax = 40.0 -- Relative tolerance [%] = 0.1 -- -- Other simulator and settings: -- -- *************************************************************** -- The values of the test circuit: -- testbench "tp_Cell.vhd" -- Switch_MOSFET: -- MOSFET: IRF740. -- Inductance_Gate Lg = 5.0 nH. -- Resistance_Gate Rg = 10.0 Ohm. -- Voltage_Gate Vg = 15 volts -- -- Voltage_Source VR = 200.0 volts. -- Currebt_Source IF = 2.0 amps. -- Inductance_Load LD = 70.0 nH -- Resistance_Load RD = 5.4 Ohm. -- -- Power Diode: STTA81200 -- *************************************************************** -- *************************************************************** -- Special naming convention: -- *************************************************************** -- Version number management: 0.1xx under development -- 0.5xx compilation succeeds -- 0.9xx simulation runs -- 1.xx available (validated) -- *************************************************************** -- List of files for validation and documentation: -- *************************************************************** -- MD5 w/o header: -- (The MD5 is computed without header & any blank line at the top) -- *************************************************************** -- -- ---------------------------------------------------------------- -- Logical Library Design unit -- ---------------------------------------------------------------- -- IEEE thermal_systems -- IEEE math_real -- -- *************************************************************** Library ieee; Use ieee.math_real.all ; Use ieee.electrical_systems.all; --------- ENTITY DECLARATION pinsv ------------------ ENTITY PiN_Diode IS GENERIC ( tau : real := 103.5e-009; --Carrier lifetime in diode base alpha : real := 0.0224; --Empirical parameter tauD : real := 16.1e-09; --Empirical parameter Wb : real := 37.6e-006; --Diode base effective width Nd : real := 3.12e+020; --Diode base doping level Aeff : real := 4.7e-006 --Diode effective area );

THESE – Their Ibrahim -214-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

PORT ( TERMINAL a : electrical; --Diode anode node TERMINAL c : electrical --Diode cathode node ); END ENTITY PiN_Diode ; ---------- ARCHITECTURE DECLARATION Morel94 ---------- ARCHITECTURE Morel94 OF PiN_Diode IS ------Physical constants ----------------------------------------------- CONSTANT q : real := 1.602e-019; --Electron charge CONSTANT k : real := 1.38e-023; --Boltzmann constant CONSTANT T : real := 300.0; --Room temperature [k] CONSTANT uT : real := k*t/q; --Thermodynamic voltage CONSTANT mu_n : real := 0.12; --Electron mobility in Silicon CONSTANT mu_p : real := 0.065; --Hole mobility in Silicon CONSTANT m : real :=mu_n/mu_p; CONSTANT ni : real := 1.45e+016; --Intrinsic concentration CONSTANT eps : real := 1.05e-010; --Dielectric constant CONSTANT D : real := (2.0*mu_n*mu_p/(mu_n+mu_p))*uT; --Diffusion constant CONSTANT vbi : real := 0.63; --Building potential in junction CONSTANT vn0 : real := 28.0e-3; --Empirical factor in static voltage equation ---------Model constants ----------------------------------- CONSTANT i0 : real :=1.0; --Reference current CONSTANT Na : real := 5.0e+025; --Reference concentraion for a neutral region CONSTANT phid : real := log(Nd*Na/ni/ni); CONSTANT lNd : real := sqrt(eps*uT/q/Nd); CONSTANT Ld : real :=sqrt(D*tau); CONSTANT Qd : real := q*Nd*Aeff*lNd; CONSTANT Cd : real := math_pi*math_pi*D*tau/Wb/Wb; CONSTANT Nd0 : real := i0/(q*D*Aeff*Nd/Ld); CONSTANT ro0 : real := 1.0; CONSTANT rd0 : real := Wb*Wb/(q*(mu_n+mu_p)*Nd*Ld*Aeff); CONSTANT roNd : real := Wb/lNd; CONSTANT RNd0 : real := Wb/(q*mu_n*Nd*Aeff); CONSTANT tau0 : real := 1.0e-007; --Lifetime in space charge region CONSTANT taut : real := 50.0e-12; CONSTANT ig0 : real := q*ni*Aeff*lNd/tau0; CONSTANT tau1 : real := tau/(1.0+Cd); --Concentration coeff in state variable model--------------- CONSTANT a1_0 : real := Nd0*(1.0+m*cosh(Wb/Ld))/(m*(m+1.0)*sinh(Wb/Ld)); CONSTANT a2_0 : real := Nd0*(1.0+m*cosh(2.0*Wb/Ld))/(2.0*m*(m+1.0)*sinh(Wb/Ld)); --Matrix coeff for computation of concentration p(0)------ CONSTANT n11 : real := 4.0*(1.0+cd)/(3.0*cd); CONSTANT n12 : real := -(4.0+Cd)*(1.0+Cd)/(3.0*Cd); CONSTANT n21 : real := -(4.0+Cd)*4.0/(3.0*Cd); CONSTANT n22 : real := (4.0+Cd)*(1.0+Cd)*4.0/(3.0*Cd); CONSTANT rr : real := tau1/tau; CONSTANT r2x : real := a1_0*(n11+rr*n12)+a2_0*(n21+rr*n22); ------------------------------------------------------ QUANTITY u ACROSS i THROUGH a TO c; QUANTITY omega: real :=0.1; -- Barrier normalized height QUANTITY x1 : real :=i/i0; --State variable #1 QUANTITY x2 : real:=tau1/tau*x1; --State variable #2

THESE – Their Ibrahim -215-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

QUANTITY w : real:=sqrt(2.0*omega); --State variable, normalized width of plasma QUANTITY ro, dep1, recvr, rd, w1, RNd: real; QUANTITY ipbc ,xi1 ,xi2 , w0: real; QUANTITY r2 : real ; BEGIN --initialization, valid for reverse or forward bias BREAK omega => 0.1, x1 => i/i0 , x2 => tau1/tau*x1 , rd => rd0, w => sqrt(2.0*omega) ; --------------------------------- omega == 0.5*w*w; ro == exp(100.0*(w-1.0)); dep1*(ro0+ro) == ro; recvr *(ro0+ro)== ro0; x1'above(0.0); IF x1'above(0.0) USE rd == rd0/(1.0+x1); u == vbi -ut*w*w/2.0+RNd*i+vn0*log(1.0+x1); ELSE rd == rd0; u == vbi -ut*w*w/2.0+RNd*i; ENd USE; w1== 1.0-w/roNd; w1'above(0.0); IF w1'above(0.0) use RNd == dep1*(RNd0*w1); ELSE RNd == 0.0; ENd USE; ipbc == -i0*x1*tau/tauD*(1.0+alpha*w); xi1 == n11*x1+n12*x2; xi2 == n21*x1+n22*x2; r2 == a1_0*xi1+a2_0*xi2; r2'above(0.0); IF r2'above(0.0) USE w0 == sqrt(2.0/(1.0+r2)); ELSE w0 ==sqrt(2.0); ENd USE; -- State variables w'dot == (ipbc-i)/Qd*dep1-(w-w0)/taut*recvr; x1'dot == (ipbc*dep1+i*recvr)/tau/i0-x1/tau; x2'dot == (ipbc*dep1+i*recvr)/tau/i0-x2/tau1; END ARCHITECTURE Morel94; -- ***************************************************************

THESE – Their Ibrahim -216-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

5.2) Code du modèle du transistor VDMOS

-- ************************************************************************************ -- Systems' virtual Prototyping -- (header v1.1) -- ************************************************************************************ -- File: power_MOSFET Owned by: Ampère-INSA, CNRS UMR5005 -- -- Purpose: Circuit model of the Power MOSFET (MOSFET-2Kp) -- The model uses two transconductances Kplin and Kpsat for -- better representatoin of channel current. -- Kplin corresponds to the linear area and -- Kpsat corresponds to the saturation area. -- -- Generics: Nb, real, Bulk drain concentration [m-3]. -- agd, real, Gate drain area [m]. -- pb, voltage, Bulk p-n potential [V]. -- mj, real, Bulk p-n bottom granding coefficient [-]. -- Cds0, capacitance, Drain-source transition capacitance [F]. -- Coxd, capacitance, Gate-drain overlap oxide capacitance [F]. -- Cgs, capacitance, Gate-source capacitance [F]. -- Isat, current, Drain-source p-n saturation current [A]. -- Rds, resistance, Drain-source shunt resistance [ohm]. -- Rg, resistance, Gate ohmic resistance [ohm]. -- Rs, resistance, Source ohmic resistance [ohm]. -- Vt, voltage, Threshold voltage [V]. -- teta, real, Transverse field dependance current [1/V]. -- Rd, resistance, Drain ohmic resistance [ohm]. -- kplin, real, Linear transconductance [A/V2]. -- kpsat, real,Saturartion transconductance [A/V2]. -- -- Ports: d, electrical, Drain of MOSFET -- s, electrical, Source of MOSFET -- g, electrical, Gate of MOSFET -- -- ************************************************************************************ -- Author(s) T. Ibrahim, B.Allard Organisation: Ampère-INSA -- Date of this release: 23-07-2005 Project: Power Devices -- Current Version: v0.9.2 Verified by: H. El Omari -- Final Validation by: -- Systems'ViP number: -- ************************************************************************************ -- History of the model version: -- Version: Date: Modification: By: -- v0.x 15-07-2005 first draft T. Ibrahim -- v0.9 29-09-2005 modification of T. Ibrahim -- test description -- v0.9.1 08-03-2006 verified and B.Allard -- correction -- v0.9.2 11-02-2008 modification of T. Ibrahim -- test description -- v1.0 -- ************************************************************************************ -- Associated files/Dependencies: -- Original paper, no dependencies -- Hafsa El Omari, « Extraction des paramètres des modèles -- du VDMOS à partir des caractéristiques en commutation » -- PhD Thesis, INSA Lyon, 2003 -- -- Wei Mi, « Extraction des paramètres rt domaines de validité

THESE – Their Ibrahim -217-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

-- du modèle d'un composant de puissance » -- PhD Thesis, INSA Lyon, 2002 -- -- Notes: The vertical struture of the MOSFET-2Kp makes it possible to ensure -- a passage of strong currents. -- The MOSFET-2KP has high-speed switching capabilities due to the lack -- of charge storage effects. -- -- Limitations / Field of use: -- MOSFET-2Kp model restricted to switching analysis and parameters of simulation. -- The mos2kp model is subject to numeric problems (convergence) -- -- Known errors: -- ************************************************************************************ -- Golden simulator (company/tool/version): -- Ansoft, Simplorer, v7_pack_5 -- Ansoft, Simplorer, v7_pack_5 -- Simulator settings: -- testbench "tb_commutation.vhd" -- Simulation End Time[S]-Tend = 1800.0 ns -- Minimum Time Step[S]-Hmin = 400.0 ps -- Maximum Time step[S]-Hmax = 1.0 ns -- Integration Formula: Adaptive Trapezoid-Euler -- Maximum Number of lteration-lteratmax = 40.0 -- Relative tolerance [%]: 0.1 -- -- Other simulator and settings: -- -- ********************************************************************* -- The values of the test circuit: -- testbench "tb_commutation.vhd" -- Source_voltage Vds= 150 volts, -- Load Resistance R = 69 Ohm, -- Gate Resistance Rg = 15 Ohm, -- Load inductance L = 600 nH, -- Pulse_voltage Vg = 15.0 volts, -- MOSFET: IRF740 -- -- ************************************************************************************ -- Special naming convention: -- ************************************************************************************ -- Version number management: 0.1xx under development -- 0.5xx compilation succeeds -- 0.9xx simulation runs -- 1.xx available (validated) -- ************************************************************************************ -- List of files for validation and documentation: -- ************************************************************************************ -- MD5 w/o header: -- (The MD5 is computed without header & any blank line at the top) -- ************************************************************************************ -- -- ---------------------------------------------------------------- -- Logical Library Design unit -- ---------------------------------------------------------------- -- IEEE thermal_systems -- IEEE math_real -- -- ************************************************************************************ Library ieee;

THESE – Their Ibrahim -218-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

Use ieee.math_real.all ; Use ieee.electrical_systems.all; ---------- ENTITY DECLARATION mos2kp ---------- ENTITY MOS2kp IS GENERIC ( Nb : real := 4.35e+020; --Bulk drain concentration [m-3]. agd : real := 6.6e-006; --Gate drain area [m]. pb : voltage := 1.0; --Bulk p-n potential [V]. mj : real := 0.5; --Bulk p-n bottom granding coefficient [-]. Cds0 : capacitance := 1.88e-009; --Drain-source capacitance [F]. Coxd : capacitance := 2.82e-09; --Gate-drain capacitance [F]. Cgs : capacitance := 0.73e-009; --Gate-source capacitance [F]. Isat : current := 1.0e-012; --Drain-source p-n saturation current [A]. Rds : resistance := 300000.0; --Drain-source shunt resistance [ohm]. Rg : resistance := 1.0; --Gate ohmic resistance [ohm]. Rs : resistance := 0.001; --Source ohmic resistance [ohm]. Vt : voltage := 3.5; --Threshold voltage [V]. teta : real := 3.5; --Transverse field dependance current Rd : resistance := 0.001; --Drain ohmic resistance [ohm]. kplin : real := 9.0; --Linear transconductance [A/V2]. kpsat : real := 15.0 --Saturartion transconductance [A/V2]. ); PORT ( TERMINAL d : electrical; --Drain TERMINAL s : electrical; --Source TERMINAL g : electrical --Gate ); END ENTITY MOS2kp; ---------- ARCHITECTURE DECLARATION arch_mos2kp ---------- ARCHITECTURE arch_mos2kp OF MOS2kp IS TERMINAL dd, ss, gg : electrical; -- Electrical interne terminals -- Physical constants ------------------------------ CONSTANT q : real := 1.60218e-019; --Charge of electron CONSTANT ut : real := 0.026; --Thermal voltage (vt= K*T/q) CONSTANT eps : real := 1.05e-010; --Dielectric constant -------- Branches quantities ---------------------- QUANTITY vd_dd ACROSS idrain THROUGH d TO dd; QUANTITY vss_s ACROSS isource THROUGH ss TO s; QUANTITY vg_gg ACROSS igrille THROUGH g TO gg; QUANTITY vddss ACROSS ids THROUGH dd TO ss; QUANTITY vggdd ACROSS icgd THROUGH gg TO dd ; QUANTITY vggss ACROSS icgs THROUGH gg TO ss; QUANTITY idiode THROUGH ss TO dd; QUANTITY irds, icds THROUGH dd TO ss; QUANTITY vds ACROSS d TO s; QUANTITY vgs ACROSS g TO s; QUANTITY vgd ACROSS g TO d; ---- Free quantities ------------------- QUANTITY cds :capacitance:=0.0; QUANTITY cgd:capacitance:=0.0; QUANTITY cgdj:capacitance:=0.0; BEGIN

THESE – Their Ibrahim -219-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

-- Initialization, valid for reverse or forward bias BREAK cds => Cds0; BREAK icds => 0.0; BREAK icgd => 0.0; BREAK icgs => 0.0; ------------------------------------------------- IF (domain=quiescent_domain) use ------------- Simulation Static (DC) ------------ -- Canal current IF (vggss < vt) USE ids==0.0; ELSIF (vddss > (vggss-vt)*kpsat/kplin) USE ids==kpsat*(vggss-vt)*(vggss-vt)/(2.0*(1.0+teta*(vggss-vt))); ELSE ids==(kplin/(1.0+teta*(vggss-vt)))*((vggss-vt)*vddss-kplin*vddss*vddss/(2.0*kpsat)); END USE; -- Drain, Source and Gate current idrain==vd_dd/rd; isource==vss_s/rs; igrille==vg_gg/rg; -- Interne diode current idiode == isat*(exp(-vddss/ut)-1.0); irds==vddss/rds; -- Drain-Source capacitance cds==cds0/((1.0+vddss/pb)**mj); -- Gate-Source capacitance cgdj==agd*eps/(sqrt(2.0*eps*vggdd/q*nb)); IF (vggdd<0.0) USE cgd==coxd*cgdj/(coxd+cgdj); ELSE cgd==coxd; END USE; -- Capacitance currents icds==0.0; icgd==0.0; icgs==0.0; ELSE -- Else of ‘Domain Use’ ------------- Simulation Transient (TR) -------------- -- Canal current IF (vggss < vt) USE ids==0.0; ELSIF (vddss > (vggss-vt)*kpsat/kplin) USE ids==kpsat*(vggss-vt)*(vggss-vt)/(2.0*(1.0+teta*(vggss-vt))); ELSE ids==(kplin/(1.0+teta*(vggss-vt)))*((vggss-vt)*vddss-kplin*vddss*vddss/(2.0*kpsat)); END USE; -- Drain, Source and Gate current idrain==vd_dd/rd; isource==vss_s/rs;

THESE – Their Ibrahim -220-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

igrille==vg_gg/rg; -- Interne diode current idiode == isat*(exp(-vddss/ut)-1.0); irds==vddss/rds; -- Drain-Source capacitance cds==cds0/((1.0+vddss/pb)**mj); -- Gate-Source capacitance cgdj==agd*eps/(sqrt(2.0*eps*vggdd/q*nb)); IF (vggdd<0.0) USE cgd==coxd*cgdj/(coxd+cgdj); ELSE cgd==coxd; END USE; -- Capacitance currents icds==cds*vddss'dot; icgd ==cgd*vggdd'dot; icgs==cgs*vggss'dot; END USE; -- End of ‘Domain use’ END ARCHITECTURE arch_mos2kp; -- ************************************************************************************

THESE – Their Ibrahim -221-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

5.3) Code du modèle de l’interconnexion de la cellule de commutation (VDMOS, Diode) -- *************************************************************** -- Systems' virtual Prototyping -- (header v1.1) -- *************************************************************** -- File: Interconnection_Commutation_Cell.vhd Owned by: Ampère-INSA, CNRS UMR5005 -- -- Purpose: Circuit model of the Interconnection, Inductance and electromagnetic -- coupling in commutation_cell. -- -- Generics: m0 , inductance, Mutual inductance -- m1 , inductance, Mutual inductance -- m2 , inductance, Mutual inductance -- m3 , inductance, Mutual inductance -- m4 , inductance, Mutual inductance -- m5 , inductance, Mutual inductance -- m6 , inductance, Mutual inductance -- m7 , inductance, Mutual inductance -- m8 , inductance, Mutual inductance -- m9 , inductance, Mutual inductance -- m10, inductance, Mutual inductance -- m11, inductance, Mutual inductance -- m12, inductance, Mutual inductance -- m13, inductance, Mutual inductance -- m14, inductance, Mutual inductance -- ld , inductance, Self inductance -- ldp , inductance, Self inductance -- ls , inductance, Self inductance -- lgp , inductance, Self inductance -- lg , inductance, Self inductance -- ldio, inductance, Self inductance -- -- Ports: t1 , electrical, Output of the voltage source DC -- t3 , electrical, The cathode of the diode -- t4 , electrical, The anode of the diode -- t5 , electrical, The drain of the mosfet -- t6 , electrical, The source of the mosfet -- t7 , electrical, The gate of the mosfet -- t8 , electrical, Terminal of the resistance of the gate -- t9 , electrical, Terminal of the resistance of the gate -- t10 , electrical, Output of the pulse source of the gate -- mass, electrical, The ground -- -- *************************************************************** -- Author(s): T. Ibrahim Organisation: Ampère-INSA -- Date of this release: 23-03-2008 Project: System -- Current Version: v0.9.2 Verified by: H. Garab -- Final Validation by: -- Systems'ViP number: -- *************************************************************** -- History of the model version: -- Version: Date: Modification: By: -- v0.x 28-06-2005 first draft T. Ibrahim -- v0.9 13-10-2005 modification of T. Ibrahim -- test description -- v0.9.1 21-10-2007 verified and correction B.Allard -- v0.9.2 23-03-2008 modification of T. Ibrahim -- test description -- v1.0

THESE – Their Ibrahim -222-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

-- *************************************************************** -- Associated files/Dependencies: -- Original paper, no dependencies -- Hatem Garrab, « Contribution à la modélisation électro-thermique de -- la cellule de commutation MOSFET-Diode » -- PhD Thesis, INSA Lyon, 2003 -- -- Notes: see Readme -- -- Limitations / Field of use: -- Diode model restricted to switching analysis. Static characteristics have been -- improved with original paper, but remains unsufficient for conduction power losses -- estimation for example. -- -- Known errors: -- *************************************************************** -- Golden simulator (company/tool/version): -- Ansoft, Simplorer, v7_pack_5 -- Simulator settings: -- testbench "tp_Cell.vhd" -- Simulation End Time[S]-Tend = 240.0 ns -- Minimum Time Step[S]-Hmin = 10.0 ps -- Maximum Time step[S]-Hmax = 20.0 ns -- Integration Formula: Adaptive Trapezoid-Euler -- Maximum Number of lteration-lteratmax = 40.0 -- Relative tolerance [%] = 0.1 -- -- Other simulator and settings: -- -- *************************************************************** -- The values of the test circuit: -- testbench "tp_Cell.vhd" -- Switch_MOSFET: -- MOSFET: IRF740. -- Inductance_Gate Lg = 5.0 nH. -- Resistance_Gate Rg = 10.0 Ohm. -- Voltage_Gate Vg = 15 volts -- -- Voltage_Source VR = 200.0 volts. -- Currebt_Source IF = 2.0 amps. -- Inductance_Load LD = 70.0 nH -- Resistance_Load RD = 5.4 Ohm. -- -- Power Diode: STTA81200 -- -- *************************************************************** -- Special naming convention: -- *************************************************************** -- Version number management: 0.1xx under development -- 0.5xx compilation succeeds -- 0.9xx simulation runs -- 1.xx available (validated) -- *************************************************************** -- List of files for validation and documentation: -- *************************************************************** -- MD5 w/o header: -- (The MD5 is computed without header & any blank line at the top) -- *************************************************************** -- -- ----------------------------------------------------------------

THESE – Their Ibrahim -223-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

-- Logical Library Design unit -- ---------------------------------------------------------------- -- IEEE electrical_systems -- IEEE math_real -- -- *************************************************************** Library ieee; Use ieee.math_real.all ; Use ieee.electrical_systems.all; ---------- ENTITY DECLARATION Iinterconnection_Commutation_Cell ---------- ENTITY Interconnection_Commutation_Cell IS GENERIC ( m0 : inductance := 2.4e-010; --Mutual inductance m1 : inductance := -1.06e-009; --Mutual inductance m2 : inductance := 9.4e-010; --Mutual inductance m3 : inductance := 9.3e-011; --Mutual inductance m4 : inductance := -1.5e-009; --Mutual inductance m5 : inductance := 1.4e-010; --Mutual inductance m6 : inductance := 2.0e-010; --Mutual inductance m7 : inductance := 2.7e-010; --Mutual inductance m8 : inductance := -8.28e-009; --Mutual inductance m9 : inductance := 5.3e-010; --Mutual inductance m10 : inductance := -6.7e-010; --Mutual inductance m11 : inductance := -3.58e-009; --Mutual inductance m12 : inductance := 4.24e-009; --Mutual inductance m13 : inductance := 4.3e-011; --Mutual inductance m14 : inductance := -4.0e-010; --Mutual inductance ld : inductance := 7.0e-008; --Self inductance ldp : inductance := 14.0e-9; --Self inductance ls : inductance := 10.0e-9; --Self inductance lgp : inductance := 2.0e-9; --Self inductance lg : inductance := 20.0e-9; --Self inductance ldio: inductance := 10.0e-9 --Self inductance ); PORT ( TERMINAL t1 : electrical; --Output of the voltage source DC TERMINAL t3 : electrical; --The cathode of the diode TERMINAL t4 : electrical; --The anode of the diode TERMINAL t5 : electrical; --The drain of the mosfet TERMINAL t6 : electrical; --The source of the mosfet TERMINAL t7 : electrical; --The gate of the mosfet TERMINAL t8 : electrical; --Terminal of the gate_resistance TERMINAL t9 : electrical; --Terminal of the gate_resistance TERMINAL t10 : electrical; --Output of the pulse gate_source TERMINAL mass : electrical --The ground ); END ENTITY Interconnection_Commutation_Cell; ---------- ARCHITECTURE DECLARATION arch_Interconnection ---------- ARCHITECTURE arch_Interconnection OF Interconnection_Commutation_Cell IS TERMINAL t2 : electrical; --Electrical interne terminal -------- Branches quantities ------------------------------ QUANTITY v21 ACROSS i2 THROUGH t1 TO t2; QUANTITY v32 ACROSS i3 THROUGH t2 TO t3;

THESE – Their Ibrahim -224-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

QUANTITY v54 ACROSS i4 THROUGH t4 TO t5; QUANTITY v6 ACROSS i6 THROUGH t6 TO mass; QUANTITY v78 ACROSS i8 THROUGH t8 TO t7; QUANTITY v910 ACROSS i10 THROUGH t10 TO t9; BEGIN IF (domain=quiescent_domain) USE -- DC Domain -------------------------------- -- Interconnection equation v21 == 0.0; v32 == 0.0; v54 == 0.0; v6 == 0.0; v78 == 0.0; v910 == 0.0; ELSE --Else of ‘Domain Use’ --TR domain ---------------------------------- -- Interconnection equation v21 == ld*i2'dot + m3*i3'dot + m2*i4'dot + m1*i6'dot + m4*i10'dot + m0*i8'dot; v32 == ldio*i3'dot + m3*i2'dot + m6*i6'dot + m7*i8'dot + m5*i10'dot + m14*i4'dot; v54 == ldp*i4'dot + m2*i2'dot + m8*i6'dot + m9*i8'dot + m10*i10'dot + m14*i3'dot; v6 == ls*i6'dot + m1*i2'dot + m8*i4'dot + m6*i3'dot + m11*i8'dot + m12*i10'dot; v78 == lgp*i8'dot + m11*i6'dot + m13*i10'dot + m9*i4'dot + m7*i3'dot + m0*i2'dot; v910 ==lg*i10'dot + m4*i2'dot + m12*i6'dot + m13*i8'dot + m10*i4'dot + m5*i3'dot; END USE; --End of ‘Domain use’ END ARCHITECTURE arch_Interconnection; ---------- END ARCHITECTURE DECLARATION arch_Interconnection ----------

THESE – Their Ibrahim -225-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

5.4) Code du modèle électro-thermique du transistor IGBT de puissance

-- *************************************************************** -- Systems' virtual Prototyping -- (header v1.1) -- *************************************************************** -- File: IGBT_Heat.vhd Owned by: AMPERE-INSA, CNRS UMR5005 -- -- Purpose: A physics-based dynamic electro-thermal model is developed for the IGBT. -- The electro thermal model is connected to both the electrical and thermal networks. -- The temperature dependent electrical models are based upon temperature dependent -- IGBT model parameters and the temperature-dependent physical properties of silicon. -- The IGBT electro thermal model calculates the instantaneous power dissipation. -- -- The model tested is (SGP30N60) -- -- Generics: vbi, voltage, voltage of concentration of the junction drain-source [V]. -- A, real, Device active area [m2]. -- Agd, real, Gate-drain overlap area [m2]. -- WB, real, Base width [m]. -- vT0, voltage, MOSFET channel threshold voltage [V]. -- vtd, voltage, Gate-drain overlap depletion threshold [V]. -- kplin0, real, Linear region MOSFET transconductance [A/V2]. -- kpsat0, real, Saturation region MOSFET transconductance [A/V2]. -- Cgs, capacitance, Gate-source capacitance [F]. -- Cox, capacitance, Gate-drain overlap oxide capacitance [F]. -- Isne0, current, Emitter electron saturation current [A]. -- tau_hl0, real, Base high-level lifetime [s]. -- NB, real, Base doping concentration [m-3]. -- Bvf, real, Empirical constant [-]. -- Bvn, real, Empirical constant [-]. -- teta1, real, teta1=1/teta,teta:Transverse field transconductance factor [l/V]. -- -- Ports: cathode, electrical, Electrical Terminal -- anode, electrical, Electrical Terminal -- gate, electrical, Electrical Terminal -- tt, thermal, Thermal Terminal -- -- *************************************************************** -- Author(s) T. Ibrahim, B. Allard Organisation: AMPERE -- Date of this release: 18-10-2007 Project: Electro-Thermal Models -- Current Version: v0.9 Verified by: Allen R. Hefner -- Final Validation by: -- Systems'ViP number: -- *************************************************************** -- History of the model version: -- Version: Date: Modification: By: -- v0.x 10-03-2007 first draft T. Ibrahim -- v0.9 20-05-2007 modification of T. Ibrahim -- v0.9.1 16-10-2007 verified and B.Allard -- correction -- v0.9.2 17-09-2008 modification of T. Ibrahim -- -- v1.0 -- -- *************************************************************** -- Associated files/Dependencies: -- Original paper, no dependencies -- Allen R. Hefner, « A Dynamic Electro-Thermal Model for the IGBT »; IEEE-1994.

THESE – Their Ibrahim -226-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

-- -- J. Reichl, « Six-Pack IGBT Dynamic Electro-Thermal Model:Parameter -- Extraction and Validation1 »; IEEE-2005. -- -- Notes: -- -- Limitations / Field of use: -- Some equations of the model take into account their complexity; have been -- simplified by using empirical expressions. -- The model uses the simplifying assumption 1D with thick low-doped base. -- Our IGBT model is composed of on cell but a surface equivalent to the entire component. -- -- Known errors: -- *************************************************************** -- Golden simulator (company/tool/version): -- Ansoft, Simplorer, v7_pack_5 -- Ansoft, Simplorer, v7_pack_5 -- Simulator settings: -- testbench "tp_ commutation.vhd" -- Simulation End Time[S]-Tend = 4.0 us -- Minimum Time Step[S]-Hmin = 100.0 ps -- Maximum Time step[S]-Hmax = 500.0 ns -- Integration Formula: Adaptive Trapezoid-Euler -- Maximum Number of lteration-lteratmax = 100.0 -- Relative tolerance [%] = 0.1 -- -- Other simulator and settings: -- -- *************************************************************** -- The values of the test circuit: -- testbench "tp_ commutation.vhd" -- Switch_IGBT: -- IGBT: SGP30N60. -- Resistance_Gate Rg = 10.0 Ohm. -- Voltage_Gate Vg = 15.3 volts -- -- Voltage_Source Vc = 100.0 volts. -- Inductance_Load LL = 2.0 uH -- Resistance_Load RL = 27.6 Ohm. -- -- Temperature_Source: 25-150 C° -- -- *************************************************************** -- Special naming convention: -- *************************************************************** -- Version number management: 0.1xx under development -- 0.5xx compilation succeeds -- 0.9xx simulation runs -- 1.xx available (validated) -- *************************************************************** -- List of files for validation and documentation: -- *************************************************************** -- MD5 w/o header: -- (The MD5 is computed without header & any blank line at the top) -- *************************************************************** -- -- ---------------------------------------------------------------- -- Logical Library Design unit -- ---------------------------------------------------------------- -- IEEE thermal_systems

THESE – Their Ibrahim -227-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

-- IEEE math_real -- IEEE electrical_systems -- -- *************************************************************** LIBRARY ieee; USE ieee.thermal_systems.ALL; USE ieee.math_real.ALL; USE ieee.electrical_systems.ALL; ---------- ENTITY DECLARATION IGBT ---------- ENTITY IGBT_Heat IS GENERIC ( vbi : voltage := 0.6; --Voltage of concentration of the junction drain-source [V]. A : real := 1.55e-005; --Device active area [m2]. Agd : real := 4.0e-006; --Gate-drain overlap area [m2]. WB : real := 0.00012; --Base width [m]. vT0 : voltage := 5.925; --MOSFET channel threshold voltage [V]. vtd : voltage := -0.25; --Gate-drain overlap depletion threshold [V]. kplin0 : real := 23.32; --Linear region MOSFET transconductance [A/V2]. kpsat0 : real := 8.71; --Saturation region MOSFET transconductance [A/V2]. Cgs : capacitance := 5.0e-010; --Gate-source capacitance [F]. Cox : capacitance := 6.0e-010; --Gate-drain overlap oxide capacitance [F]. Isne0 : current := 1.61e-012; --Emitter electron saturation current [A]. tau_hl0 : real := 2.0e-6; --Base high-level lifetime [s]. NB : real := 6.0e+020; --Base doping concentration [m-3]. Bvf : real := 1.0; --Constatnte empirique [-]. Bvn : real := 5.0; --Constatnte empirique [-]. teta1 : real := 19.2 --teta1=1/teta, --teta:Transverse field transconductance factor [l/V]. ); PORT ( TERMINAL tt : thermal; --Thermal Terminal TERMINAL cathode : electrical; --Electrical Terminal TERMINAL gate : electrical; --Electrical Terminal TERMINAL anode : electrical --Electrical Terminal ); END ENTITY IGBT_Heat; ---------- ARCHITECTURE DECLARATION arch_IGBT ---------- ARCHITECTURE arch_IGBT OF IGBT_Heat IS ------- Physic constants --------------------- CONSTANT k : real := 1.38066e-023; --Boltzmann constant [J/K]. CONSTANT qe : charge := 1.60218e-019; --Electron charge [C]. CONSTANT T0 : temperature := 298.15; --Temperature ambient [K]. CONSTANT uT : real := 0.0258522; --Thermal voltage, (uT=k*T/qe). CONSTANT n0 : real := 2.01e024; --Constatnte empirique [m-3]. ------- Constants of Silicon -------------------- CONSTANT ni_T0 : real := 1.45e+016; --Intrinsic carrier concentration [m-3]. CONSTANT eps : real := 1.05e-010; --Dielectric constant of silicon [F/m]. CONSTANT mu_nT0 : real := 0.15; --Electron mobility in Silicon [cm2/v/s]. CONSTANT mu_pT0 : real := 0.045; --Hole mobility in Silicon [cm2/v/s]. CONSTANT Dp_T0 : real := uT*mu_pT0; --Hole diffusivity [m2/s]. CONSTANT mu_n0 : real := 0.0088; --Const mobility [cm2/v/s].

THESE – Their Ibrahim -228-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

CONSTANT mu_n1 : real := 74000.0; --Const mobility [cm2/v/s]. CONSTANT Nb_0 : real := 1.26e23; --Const concentration [m-3]. CONSTANT alpha1_T0 : real := 1.428e16; --Empirical constant [m2/V/s]. CONSTANT alpha2_T0 : real := 4.54e11; --Empirical constant. -- IGBT thermal Dependent Coefficients -------------- CONSTANT tau_hl1 :real := 2.365;--2.3536e-6 ; --Base high-level lifetime temp.coeff. [s]. CONSTANT Isne1 : real :=1.189; --Emitter electron saturation current temp.coeff. [A]. CONSTANT vT1 : real := -7.2e-3; --MOSFET channel threshold voltage temp.coeff. [V]. CONSTANT kplin1 : real := 1.0286; --Linear region MOSFET transconductance temp.coeff. [A/V2]. CONSTANT kpsat1 : real := 2.1032; --Saturation region MOSFET transconductance temp.coeff. [A/V2]. -- Constants of componentt ----------------------- CONSTANT Ads : real := A-Agd; --Body region area [m2]. CONSTANT acont : real := sqrt(2.0*eps*uT/qe/Nb/wb/wb); --Const area [m2]. CONSTANT wj0 : real := acont*wb; --Const length [m]. CONSTANT Qbi : real := qe*A*wb*Nb*acont*sqrt(Vbi/uT); --Emitter-base junction built-in charge [c]. CONSTANT vnsat_T0 : real := 100335.278 ; --Const speed [m/s]. CONSTANT vpsat_T0 : real := 83653.279 ; --Const speed [m/s]. CONSTANT iB0 : current := (4.0*Nb*Nb/ni_T0/ni_T0)*Isne0; --Const current [A]. CONSTANT mu_0 : real := mu_n0 +mu_n1*(300.0**(-2.23))/(1.0+(Nb/Nb_0)**0.88); --Const mobilit --[m2/v/s]. CONSTANT b :real := mu_nT0/mu_pT0; --Ambipolar mobility ratio. CONSTANT eps_w : real := 10.0e-9; --Const length [m]. CONSTANT v0 : real := 1.0e-6; --Const volume [m3]. -------- Internal terminals ------------------------ TERMINAL drain : electrical; TERMINAL emitter : electrical; -------- Branches quantities ---------------------- QUANTITY Tj ACROSS Power_dissi THROUGH tt TO thermal_ref; QUANTITY vds ACROSS imos, icds, imult THROUGH drain TO cathode; QUANTITY vAe ACROSS iA THROUGH anode TO emitter; QUANTITY vec ACROSS icss, iccer THROUGH emitter TO cathode; QUANTITY veb ACROSS ibss,iceb THROUGH emitter TO drain; QUANTITY vgs ACROSS icgs THROUGH gate TO cathode; QUANTITY vdg ACROSS icdg THROUGH drain TO gate; QUANTITY vAC ACROSS anode TO cathode; ---- Free quantities :Temperature Dependent Properties of Silicon ------ QUANTITY mu_nTj : real := mu_nT0; --Electron mobility in Silicon temp.coeff [cm2/v/s]. QUANTITY mu_pTj : real := mu_pT0; --Hole mobility in Silicon temp.coeff [cm2/v/s]. QUANTITY Dp_Tj : real := uT*mu_pT0; --Hole diffusivity temp.coeff [m2/s]. QUANTITY ni_Tj : real := ni_T0; --Intrinsic carrier concentration temp.coeff [m-3]. QUANTITY vnsat_Tj : real := vnsat_T0 ; --Const speed temp.coeff [m/s]. QUANTITY vpsat_Tj : real := vpsat_T0 ; --Const speed temp.coeff [m/s]. QUANTITY alpha1_Tj : real := alpha1_T0; --Empirical constant, temp.coeff [m2/V/s]. QUANTITY alpha2_Tj : real := alpha2_T0; --Empirical constant, temp.coeff. --------- Free quantities :IGBT Temperature Dependent Parameters ------- QUANTITY tau_hl_Tj : real :=tau_hl0 ; --Base high-level lifetime temp.coeff. [s]. QUANTITY Isne_Tj : current := Isne0; --Emitter electron saturation current temp.coeff. [A]. CONSTANT iB_Tj : current := (4.0*Nb*Nb/ni_T0/ni_T0)*Isne_Tj; --Const. current temp.coeff. [A]. QUANTITY vT_Tj : voltage := vT0; --MOSFET channel threshold voltage temp.coeff. [V] QUANTITY kplin_Tj : real := kplin0; --Linear region MOSFET transconductance temp.coeff. [A/V2]. QUANTITY kpsat_Tj : real := kpsat0; --Saturation region MOSFET transconductance temp.coeff. [A/V2]. ------- Free quantities :IGBT Electric Model ----------- QUANTITY Q : charge :=0.0; --Instantaneous excess carrier base charge [c].

THESE – Their Ibrahim -229-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

QUANTITY nu : real :=1.0; --Collector-base space charge concentration [m-3]. QUANTITY nu_sat :real :=0.0;--Velocity saturation component of nu [m-3]. QUANTITY j : real :=Vbi/uT; --None QUANTITY ii : real :=0.0; --None QUANTITY wdsj : real :=Wj0*sqrt(Vbi/uT); --Drain-source depletion width [m]. QUANTITY wgdj : real; --Gate-drain overlap depltion width [m]. QUANTITY w : real :=Wb-Wj0*sqrt(Vbi/uT); --Length of the zone not deserted from the base [m]. QUANTITY DC :real := 2.0*uT*mu_nT0*mu_pT0/(mu_nT0+mu_pT0); --Carrier-carrier scattering --diffusivity [m2/s]. QUANTITY L : real:=Wb*sqrt((2.0*uT*mu_nT0*mu_pT0/(mu_nT0+mu_pT0))*tau_HL0/Wb/Wb); -- --Ambipolar diffusion length [m]. QUANTITY Qb : real :=qe*A*(Wb-Wj0*sqrt(Vbi/uT))*Nb; --Background mobile carrier base charge [c]. QUANTITY Qds : charge :=0.0; --Drain-source capacitor charge [c]. QUANTITY Qgs : charge :=0.0; --Gate-source capacitor charge [c]. QUANTITY mu_eff : real :=mu_nT0; --Effective mobility. QUANTITY p0 : real :=5.0*qe/qe/A/L/tanh(W/2.0/L); --Carrier concentration at emitter end of base [m-3]. QUANTITY p : real :=1.0; --None QUANTITY r : real :=tanh((Wb-Wj0*sqrt(Vbi/uT))/2.0/L); --None --nu_eff : Effective base doping concentration [m-3]. QUANTITY neff : real:=(Wb-Wj0*sqrt(Vbi/uT))*Nb/4.0/L/log((1.0+tanh((Wb- Wj0*sqrt(Vbi/uT))/2.0/L))/(1.0-tanh((Wb-Wj0*sqrt(Vbi/uT))/2.0/L))) ; --Rb : Conductivity-modulated base resistance [ohm]. QUANTITY Rb : resistance := (Wb-Wj0*sqrt(Vbi/uT))/qe/A/mu_nT0/((Wb- Wj0*sqrt(Vbi/uT))*Nb/4.0/L/log((1.0+tanh((Wb-Wj0*sqrt(Vbi/uT))/2.0/L))/(1.0-tanh((Wb- Wj0*sqrt(Vbi/uT))/2.0/L)))); QUANTITY vebj : voltage := Vbi-Qbi*Qbi/2.0/qe/Nb/eps/A/A; --Emitter-base depletion voltage [v]. --vebd : Emitter-base diffusion voltage [v]. QUANTITY vebd : voltage := uT*log(((5.0*qe/qe/A/L/tanh(W/2.0/L))/ni_T0/ni_T0+1.0/Nb)*(Nb+(5.0*qe/qe/A/L/tanh(W/2.0/L))))- Dc*log(((5.0*qe/qe/A/L/tanh(W/2.0/L))+Nb)/Nb)/mu_nT0 ; QUANTITY Kpsat: real :=Kpsat0; --Saturation region MOSFET transconductance parametre [A/v2]. QUANTITY Kplin: real :=Kplin0; --Linear region MOSFET transconductance parametre [A/v2]. QUANTITY Cbcj : capacitance := 0.0 ; --Base-collector depletion capacitance [F]. QUANTITY Ccer : capacitance := 0.0 ; --Collector-emitter redistribution capacitance [F]. QUANTITY Cdsj : capacitance; --Drain-source depletion capacitance [F]. QUANTITY Cgdj : capacitance; --Gate-drain overlap depletion capacitance [F]. QUANTITY Cgd : capacitance :=cox; --Gate-drain capacitance [F]. QUANTITY delta_pr : real :=0.0; --Average carrier concentration in base [m-3]. QUANTITY delta_pr1 : real :=1.0e-18; --None QUANTITY inmu_c : real :=0.0; --(inmu_c= 1/mu_c), mu_c: Carrier-carrier scattering mobililty [m2/v/s]. QUANTITY mu_nc : real := mu_nT0; --Carrier-carrier Electron mobility in Silicon [cm2/v/s]. QUANTITY mu_pc : real := mu_pT0; --Carrier-carrier Hole mobility in Silicon [cm2/v/s]. QUANTITY BVcb0 : voltage :=BVf*((Nb/n0)**(-0.75)); --Open-base, collector-emitter breakdown voltage --[v]. QUANTITY M : real :=1.0; --Avalanche multiplication factor. QUANTITY Igen : current :=0.0; --Collector-base thermally generated current [A]. BEGIN ---------------- Initials conditions -------------------- BREAK M => 1.0, icdg => 0.0, icds => 0.0, icgs => 0.0, iA => 0.0, vAe => 0.0, veb => vec-vds, nu => 1.0,

THESE – Their Ibrahim -230-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

Q => 0.0, nu_sat => 0.0, j => Vbi/uT, wdsj =>Wj0*sqrt(Vbi/uT), w => Wb-Wj0*sqrt(Vbi/uT), mu_eff => mu_nT0, p0 =>5.0*qe/qe/A/L/tanh(W/2.0/L), r => tanh((Wb-Wj0*sqrt(Vbi/uT))/2.0/L), p => 1.0, neff =>(Wb-Wj0*sqrt(Vbi/uT))*Nb/4.0/L/log((1.0+tanh((Wb-Wj0*sqrt(Vbi/uT))/2.0/L))/(1.0-tanh((Wb-Wj0*sqrt(Vbi/uT))/2.0/L))), Rb => (Wb-Wj0*sqrt(Vbi/uT))/qe/A/mu_nT0/((Wb-Wj0*sqrt(Vbi/uT))*Nb/4.0/L/log((1.0+tanh((Wb-Wj0*sqrt(Vbi/uT))/2.0/L))/(1.0-tanh((Wb-Wj0*sqrt(Vbi/uT))/2.0/L)))), vebd => uT*log(((5.0*qe/qe/A/L/tanh(W/2.0/L))/ni_T0/ni_T0+1.0/Nb)*(Nb+(5.0*qe/qe/A/L/tanh(W/2.0/L))))-Dc*log(((5.0*qe/qe/A/L/tanh(W/2.0/L))+Nb)/Nb)/mu_nT0 , vebj => Vbi-Qbi*Qbi/2.0/qe/Nb/eps/A/A, Iceb => 0.0, ibss => 0.0, Icss => 0.0, Imos =>0.0; --------------- Temperature Dependent IGBT MODEL -------------- ----- Temperature Dependent Properties equations of Silicon ---- mu_nTj==mu_nT0*(T0/Tj)**2.5; mu_pTj==mu_pT0*(T0/Tj)**2.5; Dp_Tj== mu_pT0*(k*Tj/qe); ni_Tj==ni_T0*(Tj**1.5)/(exp(7000.0/Tj)); vnsat_Tj==vnsat_T0*((T0/Tj)**0.87); vpsat_Tj==vpsat_T0*((T0/Tj)**0.52); alpha1_Tj==alpha1_T0*((Tj/T0)**1.5); alpha2_Tj==alpha2_T0*((Tj/T0)**2); ------ Temperature Dependent Parameters equations of IGBT ----- tau_hl_Tj==tau_hl0*((Tj/T0)**tau_hl1); vT_Tj==vT0+vT1*(Tj-T0); kplin_Tj==kplin0*((T0/Tj)**kplin1); kpsat_Tj==kpsat0*((T0/Tj)**kpsat1); Isne_Tj==(Isne0*(Tj/T0)**Isne1)/(exp(14000.0*((1.0/Tj)-(1.0/T0)))); --------------------- DC Domain --------------------------- IF (domain = quiescent_domain) USE IF nu_sat <= 0.0 USE nu==1.0; ELSE nu==1.0+nu_sat; END USE; ---- Calculation of the transconductance parameters -------- Kpsat==Kpsat0*mu_nT0/mu_0; Kplin==Kplin0*mu_nT0/mu_0; ------------- Calculation lengths of depletion ----------- j==(Vbi)/uT; Wdsj==Wj0*sqrt((Vbi)/uT); ii==(vDS-vGS+vTD)/uT; IF ii <= 0.0 USE

THESE – Their Ibrahim -231-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

Wgdj==0.0; ELSE Wgdj==Wj0*sqrt(abs(ii)/nu); END USE; W==Wb-Wdsj+eps_w; --Wbcj==Wdsj ------------ Calculation of the charges ---------------- Qb==qe*A*W*nu*Nb; Qds==0.0; Qgs==0.0; ----------- Calculation of the capacities -------------- Cbcj==0.0; Ccer==0.0; Cdsj==0.0; Cgdj==0.0; Cgd==Cox; ------------------ Other variables --------------------- mu_eff==mu_nT0+mu_pT0*Q/(Q+Qb); IF (Q/Qbi) <= 0.0 USE P0==5.0*qe/qe/A/L/tanh(W/2.0/L); ELSE P0==Q/qe/A/L/tanh(W/2.0/L); END USE; delta_pr==P0*sinh(W/2.0/L)/sinh(W/L); IF delta_pr <= 0.0 USE delta_pr1==1.0e-18; ELSE delta_pr1==delta_pr; END USE; inmu_c==0.0; mu_nc==mu_nT0; mu_pc==mu_pT0; Dc==2.0*uT*mu_nT0*mu_pT0/(mu_nT0+mu_pT0); L==Wb*sqrt((2.0*uT*mu_nT0*mu_pT0/(mu_nT0+mu_pT0))*tau_HL0/Wb/Wb); p==1.0+P0*P0*cosh(W/L)*cosh(W/L)/Nb/Nb; r==sqrt(p)*tanh(W/2.0/L)/(1.0+P0*cosh(W/L)*tanh(W/2.0/L)/Nb); neff==W*Nb*sqrt(p)/4.0/L/log((1.0+r)/(1.0-r)); ------------------ Base resistance --------------------- Rb==W/qe/A/mu_eff/neff; --------------- Emitter-base Voltage (Veb --------------- Vebj==Vbi-(Q-Qbi)*(Q-Qbi)/2.0/qe/Nb/eps/A/A; Vebd==uT*log((P0/ni_T0/ni_T0+1.0/Nb)*(Nb+P0))-Dc*log((P0+Nb)/Nb)/mu_nT0; IF Q <= 0.0 USE veb== vebj; ELSE veb==vebd; END USE; ----------------- Output voltage ----------------------- vAC == vds+veb+Rb*iA;

THESE – Their Ibrahim -232-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

------------------ Clacage voltage --------------------- BVcb0==BVf*1.69*10.0e18*(Nb)**(-0.75); ---------- Multiplication factor in avalanche ---------- M==1.0; --------------- Bipolar currents ---------------------- Icss==(iA+4.0*b*Dp_T0*Q/W/W)/(1.0+b); Ibss==Q/tau_HL0+Q*Q*iB0*nu*nu/Qb/Qb; --------------- MOSFET current ------------------------ IF ((vGS-vT0)/uT) <= 0.0 USE Imos==0.0; ELSIF ((vDS-(vGS-vT0)*Kpsat/Kplin)/uT) <= 0.0 USE Imos==Kplin*((vGS-vT0)*vDS-Kplin*vDS*vDS/2.0/Kpsat)/(1.0+(vGS-vT0)/teta1); ELSE Imos==Kpsat*(vGS-vT0)*(vGS-vT0)/2.0/(1.0+(vGS-vT0)/teta1); END USE; ----- Collector-base thermally generated current ------ Igen==0.0;--qe*ni_T0*A*sqrt(2.0*eps*vds/qe/Nb)/tau_HL; --------- Avalanche multiplication current ------------ Imult==(M-1.0)*(Imos+Icss)+M*Igen; --------------- Capacitive currents ------------------------------- iccer==0.0; icds==0.0; icgs==0.0; icdg==0.0; ------------- Equations of states ---------------------------------- nu_sat==Icss/qe/A/vpsat_T0/Nb-Imos/qe/A/vnsat_T0/Nb; Iceb==0.0; ---------- Instantaneous Disspated Power [w] -------------------- power_dissi==abs(iA*vAe+imos*vds+Ibss*veb+imult*vds+Icss*vec); ---------------------------------------------------------------------------- ELSE -- Else of ‘Domain Use’ --------------------- TR Domain ------------------------ IF nu_sat <= 0.0 USE nu == 1.0; ELSE nu == 1.0+nu_sat; END USE; ---- Calculation of the transconductance parameters ---- Kpsat==Kpsat_Tj; Kplin==Kplin_Tj; ------------- Calculation lengths of depletion ---------- j==(vDS+Vbi)/uT; IF j <= 0.0 USE Wdsj==0.0; ELSE Wdsj==Wj0*sqrt(abs(j)/nu); END USE;

THESE – Their Ibrahim -233-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

ii==(vDS-vGS+vTD)/uT; IF ii <= 0.0 USE Wgdj==0.0; ELSE Wgdj==Wj0*sqrt(abs(ii)/nu); END USE; W==Wb-Wdsj+eps_w; --Wbcj==Wdsj ------------ Calculation of the charges --------------- Qb==qe*A*W*nu*Nb; Qds==q*Ads*Nb*nu*Wdsj; --selon ammous Qgs==Cgs*Vgs; ----------- Calculation of the capacities -------------- Cbcj==A*eps/(Wdsj+eps_w); --Wbcj==Wdsj Ccer==Cbcj*Q/3.0/Qb; Cdsj==Ads*eps/(Wdsj+eps_w); Cgdj==Agd*eps/(Wgdj+eps_w); IF (vds-vgs+vtd)/uT <= 0.0 USE Cgd==Cox; ELSE Cgd==Cox/(1.0+Cox*Wgdj/Agd/eps); END USE; ------------------ Other variables -------------------- mu_eff==mu_nc+mu_pc*Q/(Q+Qb); IF (Q/Qbi) <= 0.0 USE P0==5.0*qe/qe/A/L/tanh(W/2.0/L); ELSE P0==Q/qe/A/L/tanh(W/2.0/L); END USE; delta_pr==P0*sinh(W/2.0/L)/sinh(W/L); IF delta_pr <= 0.0 USE delta_pr1==1.0e-18; ELSE delta_pr1==delta_pr; END USE; inmu_c==delta_pr1*1.0e-6*log(1.0+alpha2_Tj*(delta_pr1*1.0e-6)**(-2/3))/alpha1_Tj; mu_nc==1.0/(1.0/mu_nTj+inmu_c); mu_pc==1.0/(1.0/mu_pTj+inmu_c); Dc==2.0*uT*mu_nc*mu_pc/(mu_nc+mu_pc); L==Wb*sqrt(DC*tau_HL_Tj/Wb/Wb); p==1.0+P0*P0*cosh(W/L)*cosh(W/L)/Nb/Nb; r==sqrt(p)*tanh(W/2.0/L)/(1.0+P0*cosh(W/L)*tanh(W/2.0/L)/Nb); neff==W*Nb*sqrt(p)/4.0/L/log((1.0+r)/(1.0-r)); ------------------ Base resistance --------------------- Rb==W/qe/A/mu_eff/neff; --------------- Emitter-base Voltage (Veb --------------- Vebj==Vbi-(Q-Qbi)*(Q-Qbi)/2.0/qe/Nb/eps/A/A; Vebd==uT*log((P0/ni_T0/ni_T0+1.0/Nb)*(Nb+P0))-Dc*log((P0+Nb)/Nb)/mu_nc; IF Q <= 0.0 USE veb== vebj; ELSE

THESE – Their Ibrahim -234-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

veb==vebd; END USE; ----------------- Output voltage ----------------------- vAC == vds+veb+Rb*iA; ------------------ Clacage voltage --------------------- BVcb0==BVf*1.69*10.0e18*(Nb+nu_sat)**(-0.75); ---------- Multiplication factor in avalanche ---------- M==1.0/(1.0-(-vDS/BVcb0)**BVn); --------------- Bipolar currents ---------------------- icss==(1.0/(1.0+b))*iA+(b/(1.0+b))*4.0*Dp_Tj*Q/w/w; Ibss==Q/tau_HL_tj+Q*Q*iB_Tj*nu*nu/Qb/Qb; --------------- MOSFET current ----------------------- IF ((vGS-vT_Tj)/uT) <= 0.0 USE Imos==0.0; ELSIF ((vDS-(vGS-vT_Tj)*Kpsat/Kplin)/uT) <= 0.0 USE Imos==Kplin*((vGS-vT_Tj)*vDS-Kplin*vDS*vDS/2.0/Kpsat)/(1.0+(vGS-vT_Tj)/teta1); ELSE Imos==Kpsat*(vGS-vT_Tj)*(vGS-vT_Tj)/2.0/(1.0+(vGS-vT_Tj)/teta1); END USE; ----- Collector-base thermally generated current ------ IF vds/uT <= 0.0 USE Igen==0.0;--a*qe*ni_T0*A*Wb*sqrt(0.001/nu)/tau_HL; ELSE Igen==a*qe*ni_Tj*A*Wb*sqrt((vDS/uT)/nu)/tau_HL_Tj; END USE; --------- Avalanche multiplication current ------------ Imult==(M-1.0)*(Imos+Icss+Iccer)+M*Igen; ------------ Capacitive currents ---------------------- iccer==Ccer*vec'dot; icds==cdsj*vds'dot; icgs==cgs*vgs'dot; icdg==cgd*vdg'dot; ------------- Equations of states -------------------- nu_sat==(Icss+iceb)/qe/A/vpsat_Tj/Nb-Imos/qe/A/vnsat_Tj/Nb; Iceb==Q'dot; ---------- Instantaneous Disspated Power [w] -------------------- power_dissi==abs(iA*vAe+imos*vds+Ibss*veb+imult*vds+(Icss+iccer)*vec); END USE; -- End of ‘Domain use’ END ARCHITECTURE arch_IGBT; -- ***************************************************************

THESE – Their Ibrahim -235-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

5.5) Code du modèle thermique diffusif pour la simulation électrothermique d’une puce IGBT dans un module de puissance

-- *************************************************************** -- Systems' virtual Prototyping -- (header v1.1) -- *************************************************************** -- File: thermic.vhd Owned by: AMPERE-INSA, CNRS UMR5005 -- -- Purpose: A thermal model is developed with so-called “Diffusive Representation”. -- The objective is to build a compact electro-thermal model. -- A diffusive thermal model has been developed for a DBC package with -- a wire-bond attached IGBT die (600v/50A), The hottest point in the die is -- the channel extremity. -- The input of the model is dissipated power through Terminal Thermic (tt). -- The output is the transient thermal response, Device Temperature (Tj). -- -- Generics: -- -- Ports: tt, thermal, Thermal Terminal -- -- *************************************************************** -- Author(s) T. Ibrahim, B. Allard Organisation: AMPERE -- Date of this release: 13-05-2008 Project: Electro-Thermal Models -- Current Version: v0.9 Verified by: S. M’rad -- Final Validation by: -- Systems'ViP number: -- *************************************************************** -- History of the model version: -- Version: Date: Modification: By: -- v0.x 15-05-2007 first draft T. Ibrahim -- v0.9. 14-08-2007 verified and B.Allard -- correction -- v0.9.1 13-05-2008 modification of T. Ibrahim -- -- v1.0 -- -- *************************************************************** -- Associated files/Dependencies: -- Original paper, no dependencies -- S. M’rad, « Application de la Représentation Diffusive à la modélisation -- thermique compacte »; PhD Thesis, INSA-Lyon, 2008. -- -- Notes: -- -- Limitations / Field of use: -- -- Known errors: -- *************************************************************** -- Golden simulator (company/tool/version): -- Ansoft, Simplorer, v7_pack_5 -- Ansoft, Simplorer, v7_pack_5 -- Simulator settings: -- testbench "tp_ compact.vhd" -- Simulation End Time[S]-Tend = 150.0 us -- Minimum Time Step[S]-Hmin = 12.0 ps -- Maximum Time step[S]-Hmax = 100.0 ns -- Integration Formula: Adaptive Trapezoid-Euler -- Maximum Number of lteration-lteratmax = 100.0 THESE – Their Ibrahim -236-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

-- Relative tolerance [%] = 0.1 -- -- Other simulator and settings: -- -- *************************************************************** -- The values of the short circuit: -- testbench "tp_ compact.vhd" -- Switch_IGBT: -- IGBT: IGBT die in power module 600V/50A. -- Voltage_Gate Vg = 12.7 volts -- -- Voltage_Source Vc = 220.0 volts. -- Resistance R = 0.01 Ohm. -- -- Temperature_Source: Thermic_Model -- -- *************************************************************** -- Special naming convention: -- *************************************************************** -- Version number management: 0.1xx under development -- 0.5xx compilation succeeds -- 0.9xx simulation runs -- 1.xx available (validated) -- *************************************************************** -- List of files for validation and documentation: -- *************************************************************** -- MD5 w/o header: -- (The MD5 is computed without header & any blank line at the top) -- *************************************************************** -- -- ---------------------------------------------------------------- -- Logical Library Design unit -- ---------------------------------------------------------------- -- IEEE thermal_systems -- IEEE math_real -- -- *************************************************************** LIBRARY ieee; USE ieee.thermal_systems.ALL; USE ieee.math_real.ALL; ---------- ENTITY DECLARATION thermic ---------- ENTITY thermic IS PORT ( TERMINAL tt : thermal --Terminal Thermic ); END ENTITY thermic; ---------- ARCHITECTURE DECLARATION arch_thermic ---------- ARCHITECTURE arch_thermic OF thermic IS CONSTANT T0 : real := 298.15; --Temperature ambient [K]. CONSTANT R : real := 16.528133; -- Parameter model CONSTANT xsimin : real := 4000.0; -- Parameter model ---- Free quantities: State Variable model ------ QUANTITY F0 : real := 0.0; QUANTITY F1 : real := 0.0;

THESE – Their Ibrahim -237-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

QUANTITY F2 : real := 0.0; QUANTITY F3 : real := 0.0; QUANTITY F4 : real := 0.0; QUANTITY Temp: real := 0.0; QUANTITY Tj ACROSS Pth THROUGH tt TO thermal_ref; BEGIN --State Variable equations F0'dot == -(xsimin)*F0+Pth; --Pth, dissipated power F1'dot == -(R*xsimin)*F1+Pth; F2'dot == -(R*R*xsimin)*F2+Pth; F3'dot == -(R*R*R*xsimin)*F3+Pth; F4'dot == -(R*R*R*R*xsimin)*F4+Pth; -- Device Temperature, transient thermal response Temp == 78.2551228295848*F0+211.808557354812*F1+550.482843574933*F2+(-4449.56546222491)*F3+35823.1720260121*F4; Tj == -Temp+T0; END ARCHITECTURE arch_thermic; ---------- END VHDLAMS MODEL thermic ---------- -- ***************************************************************

THESE – Their Ibrahim -238-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

5.6) Code du modèle thermique « différences finies »

-- *************************************************************** -- Systems' virtual Prototyping -- (header v1.1) -- *************************************************************** -- File: FDM.vhd Owned by: AMPERE-INSA, CNRS UMR5005 -- -- Purpose: The thermal model circuit networks, equivalent to a discretization -- of the heat equation by the finite difference method (FDM) for the -- electro-thermal simulation of power electronic systems. -- -- FDM model has been developed for (10) nodes -- of the circuit electrical equivalent (component instance) -- -- Generics: L, real, Effective length of the semiconductor device [cm] -- S, real, Effective area of the semiconductor device [cm2] -- n, real, The number of nodes in the circuit electrical equivalent -- -- Ports: t1, thermal, Thermal Terminal -- t2, thermal, Thermal Terminal -- ti, temperature, quantity IN -- *************************************************************** -- Author(s) T. Ibrahim, B. Allard Organisation: AMPERE -- Date of this release: 12-09-2008 Project: Electro-Thermal Models -- Current Version: v0.9 Verified by: A. Ammous -- Final Validation by: -- Systems'ViP number: -- *************************************************************** -- History of the model version: -- Version: Date: Modification: By: -- v0.x 12-09-2008 first draft T. Ibrahim -- -- v1.0 -- -- *************************************************************** -- Associated files/Dependencies: -- Original paper, no dependencies -- A. Ammous, S. Ghedira, B. Allard, H. Morel, D. Renault, « Choosing a Thermal -- Model for Electrothermal Simulation of Power Semiconductor Devices », IEEE 1999. -- -- Notes: FDM model has been developed for (10) nodes -- of the circuit electrical equivalent (component instance) -- -- Limitations / Field of use: -- The FDM introduces an large error in temperature responses. This error depends -- mainly on the discretization step value and the dissipated power step value per unit area. -- To minimize this error, it should be used a very fine mesh in the proximity of the -- dissipated power source, which implies an important node number -- -- Known errors: -- *************************************************************** -- Golden simulator (company/tool/version): -- Ansoft, Simplorer, v7_pack_5 -- Ansoft, Simplorer, v7_pack_5 -- Simulator settings: -- testbench "tp_ FDM.vhd" -- Simulation End Time[S]-Tend = 50.0 us

THESE – Their Ibrahim -239-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

-- Minimum Time Step[S]-Hmin = 10.0 ns -- Maximum Time step[S]-Hmax = 100.0 ns -- Integration Formula: Adaptive Trapezoid-Euler -- Maximum Number of lteration-lteratmax = 100.0 -- Relative tolerance [%] = No -- -- Other simulator and settings: -- -- *************************************************************** -- Special naming convention: -- *************************************************************** -- Version number management: 0.1xx under development -- 0.5xx compilation succeeds -- 0.9xx simulation runs -- 1.xx available (validated) -- *************************************************************** -- List of files for validation and documentation: -- *************************************************************** -- MD5 w/o header: -- (The MD5 is computed without header & any blank line at the top) -- *************************************************************** -- -- ---------------------------------------------------------------- -- Logical Library Design unit -- ---------------------------------------------------------------- -- IEEE thermal_systems -- IEEE math_real -- -- *************************************************************** LIBRARY ieee; USE ieee.thermal_systems.ALL; USE ieee.math_real.ALL; ---------- ENTITY DECLARATION Cell ---------- ENTITY Cell IS GENERIC ( L : real := 550.0e-4; --Effective length of the semiconductor device [cm] S : real := 10.0e-2; --Effective area of the semiconductor device[cm2] n : real := 10.0 --The number of nodes in the circuit electrical equivalent ); PORT ( QUANTITY ti : IN temperature := 298.15; --Initial Temperature [K]. TERMINAL t1 : thermal; --Output temperature TERMINAL t2 : thermal --Input temperature ); END ENTITY cell; ---------- ARCHITECTURE DECLARATION arch_test ---------- ARCHITECTURE arch_cell OF Cell IS QUANTITY h : real := 1.0; --The step discretization CONSTANT k :real := 1.54;--Thermal conductivity [W/cm/K] CONSTANT Rhoc :real := 1.63; --(= rho*c), Silicon mass density * Silicon specific heat [J/cm3/K] QUANTITY Rth : thermal_resistance := 1.0; --[K/W] QUANTITY Cth : thermal_capacitance := 1.0e-006; --[J/K] --Resistance: temperature, heat flow QUANTITY tr ACROSS hr THROUGH t1 TO t2;

THESE – Their Ibrahim -240-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

QUANTITY h_int : real := ti; --Capacitance: temperature, heat flow QUANTITY tc ACROSS hc THROUGH t2 TO thermal_ref; BEGIN h == L/n; --Step discretization Rth == h/k/s; --Thermal resistance Cth == h*rhoc*s; --Thermal capacitance --Resistance temperature tr == hr*Rth; --Capacitance temperature IF ( domain = quiescent_domain) USE h_int==ti*Cth; tc==ti; ELSE h_int'dot==hc; tc==h_int/Cth; END USE; END ARCHITECTURE arch_cell; ---------- END VHDLAMS MODEL Cell ---------- --************************************************************************** ---------- VHDLAMS MODEL FDM ---------- USE ieee.thermal_systems.ALL; USE ieee.math_real.ALL; ---------- ENTITY DECLARATION FDM ---------- ENTITY FDM IS PORT ( TERMINAL t0 : thermal; --Temperature responses TERMINAL t10 : thermal --The number of cell ); END ENTITY FDM; ---------- ARCHITECTURE DECLARATION arch_test ---------- ARCHITECTURE arch_FDM OF FDM IS -- interne terminals TERMINAL t1, t2, t3, t4, t5, t6, t7, t8, t9 : thermal; BEGIN --- Instantiation Model Cell -------------------------------------------- cell1 : ENTITY work.cell(arch_cell) PORT MAP (t1 => t0, t2=> t1); cell2 : ENTITY work.cell(arch_cell) PORT MAP (t1 => t1, t2=> t2); cell3 : ENTITY work.cell(arch_cell) PORT MAP (t1 => t2, t2=> t3);

THESE – Their Ibrahim -241-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

cell4 : ENTITY work.cell(arch_cell) PORT MAP (t1 => t3, t2=> t4); cell5 : ENTITY work.cell(arch_cell) PORT MAP (t1 => t4, t2=> t5); cell6 : ENTITY work.cell(arch_cell) PORT MAP (t1 => t5, t2=> t6); cell7 : ENTITY work.cell(arch_cell) PORT MAP (t1 => t6, t2=> t7); cell8 : ENTITY work.cell(arch_cell) PORT MAP (t1 => t7, t2=> t8); cell9 : ENTITY work.cell(arch_cell) PORT MAP (t1 => t8, t2=> t9); cell10 : ENTITY work.cell(arch_cell) PORT MAP (t1 => t9, t2=> t10); END ARCHITECTURE arch_FDM; ---------- END VHDLAMS MODEL FDM ---------- --******************************************************************************

THESE – Their Ibrahim -242-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

5.7) Code du modèle thermique « éléments finis »

-- *************************************************************** -- Systems' virtual Prototyping -- (header v1.1) -- *************************************************************** -- File: FEM.vhd Owned by: AMPERE-INSA, CNRS UMR5005 -- -- Purpose: The thermal model circuit networks, equivalent to a discretization -- of the heat equation by the finite element method (FEM) for the -- electrothermal simulation of power electronic systems. -- -- FEM model has been developed for (4) nodes -- of the circuit electrical equivalent (component instance) -- -- Generics: L, real, Effective length of the semiconductor device [cm] -- S, real, Effective area of the semiconductor device [cm2] -- n, real, The number of nodes in the circuit electrical equivalent -- -- Ports: t1, thermal, Thermal Terminal -- t2, thermal, Thermal Terminal -- ti, temperature, quantity IN -- *************************************************************** -- Author(s) T. Ibrahim, B. Allard Organisation: AMPERE -- Date of this release: 13-09-2008 Project: Electro-Thermal Models -- Current Version: v0.9 Verified by: A. Ammous -- Final Validation by: -- Systems'ViP number: -- *************************************************************** -- History of the model version: -- Version: Date: Modification: By: -- v0.x 13-09-2008 first draft T. Ibrahim -- -- v1.0 -- -- *************************************************************** -- Associated files/Dependencies: -- Original paper, no dependencies -- A. Ammous, S. Ghedira, B. Allard, H. Morel, D. Renault, « Choosing a Thermal -- Model for Electrothermal Simulation of Power Semiconductor Devices », IEEE 1999. -- -- Notes: FEM model has been developed for (4) nodes -- of the circuit electrical equivalent (component instance) -- -- Limitations / Field of use: -- The inconvenience of the FEM equivalent circuit is the negative value of -- the capacitance that corresponds to no physical meaning. -- -- Known errors: -- *************************************************************** -- Golden simulator (company/tool/version): -- Ansoft, Simplorer, v7_pack_5 -- Ansoft, Simplorer, v7_pack_5 -- Simulator settings: -- testbench "tp_ FEM.vhd" -- Simulation End Time[S]-Tend = 50.0 us -- Minimum Time Step[S]-Hmin = 10.0 ns -- Maximum Time step[S]-Hmax = 100.0 ns

THESE – Their Ibrahim -243-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

-- Integration Formula: Adaptive Trapezoid-Euler -- Maximum Number of lteration-lteratmax = 100.0 -- Relative tolerance [%] = No -- -- Other simulator and settings: -- -- *************************************************************** -- Special naming convention: -- *************************************************************** -- Version number management: 0.1xx under development -- 0.5xx compilation succeeds -- 0.9xx simulation runs -- 1.xx available (validated) -- *************************************************************** -- List of files for validation and documentation: -- *************************************************************** -- MD5 w/o header: -- (The MD5 is computed without header & any blank line at the top) -- *************************************************************** -- -- ---------------------------------------------------------------- -- Logical Library Design unit -- ---------------------------------------------------------------- -- IEEE thermal_systems -- IEEE math_real -- -- *************************************************************** LIBRARY ieee; USE ieee.thermal_systems.ALL; USE ieee.math_real.ALL; ---------- ENTITY DECLARATION Cell ---------- ENTITY Cell IS GENERIC ( L : real := 550.0e-4; --Effective length of the semiconductor device [cm] S : real := 10.0e-2; --Effective area of the semiconductor device[cm2] n : real := 4.0 --The number of nodes in the circuit electrical equivalent ); PORT ( QUANTITY ti : IN temperature := 298.15; --Initial Temperature [K]. TERMINAL t1 : thermal; --Output temperature TERMINAL t2 : thermal --Input temperature ); END ENTITY Cell; ---------- ARCHITECTURE DECLARATION arch_cell ---------- ARCHITECTURE arch_cell OF cell IS QUANTITY h : real := 1.0; --The step discretization CONSTANT k :real := 1.54; --Thermal conductivity [W/cm/K] CONSTANT Rhoc :real := 1.63; --(= rho*c), Silicon mass density * Silicon specific heat [J/cm3/K] QUANTITY Rth : thermal_resistance := 1.0; --[K/W] QUANTITY Cth1 : thermal_capacitance := 1.0e-006; --[J/K] QUANTITY Cth2 : thermal_capacitance := 1.0e-006; --[J/K] -- Resistance: temperature, heat flow QUANTITY tr ACROSS hr THROUGH t1 TO t2;

THESE – Their Ibrahim -244-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

QUANTITY h_int1 : real := ti; --Capacitance #1: temperature, heat flow QUANTITY tc1 ACROSS hc1 THROUGH t2 TO thermal_ref; --Capacitance #2: temperature, heat flow QUANTITY tc2 ACROSS hc2 THROUGH t1 TO t2; BEGIN h == L/n; --Step discretization Rth == h/k/s; --Thermal resistance Cth1 == h*rhoc*s; --Thermal capacitance #1 Cth2 == -h*rhoc*s/6.0; --Thermal capacitance #2 --Resistance temperature tr == hr*Rth; --Capacitance #1, temperature IF ( domain = quiescent_domain) USE h_int1==ti*Cth1; tc1==ti; ELSE h_int1'dot==hc1; tc1==h_int1/Cth1; END USE; --Capacitance #2, temperature hc2==Cth2*tc2'dot; END ARCHITECTURE arch_cell; ---------- END VHDLAMS MODEL Cell ---------- --********************************************************************************** ---------- VHDLAMS MODEL FEM ---------- USE ieee.thermal_systems.ALL; USE ieee.math_real.ALL; ---------- ENTITY DECLARATION test ---------- ENTITY FEM IS PORT ( TERMINAL t0 : thermal; TERMINAL t4 : thermal ); END ENTITY FEM; ---------- ARCHITECTURE DECLARATION arch_test ---------- ARCHITECTURE arch_FEM OF FEM IS --Interne terminals TERMINAL t1, t2, t3 : thermal; BEGIN --- Instantiation Model Cell ------- cell1 : ENTITY work.cell(arch_cell) PORT MAP (t1 => t0, t2=> t1);

THESE – Their Ibrahim -245-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS

ANNEXES

cell2 : ENTITY work.cell(arch_cell) PORT MAP (t1 => t1, t2=> t2); cell3 : ENTITY work.cell(arch_cell) PORT MAP (t1 => t2, t2=> t3); cell4 : ENTITY work.cell(arch_cell) PORT MAP (t1 => t3, t2=> t4); END ARCHITECTURE arch_FEM; ---------- END VHDLAMS MODEL FEMt ---------- --******************************************************************************

THESE – Their Ibrahim -246-Contribution au développement de modèles pour l’électronique de puissance en VHDL-AMS