5
302 Electronics Manufacturing Industry Description and Practices The electronics industry includes the manufac- ture of passive components (resistors, capacitors, inductors); semiconductor components (discretes, integrated circuits); printed circuit boards (single and multilayer boards); and printed wiring assem- blies. This chapter addresses the environmental issues associated with the last three manufactur- ing processes. The manufacture of passive com- ponents is not included because it is similar to that of semiconductors. (A difference is that pas- sive component manufacturing uses less of the toxic chemicals employed in doping semiconduc- tor components and more organic solvents, ep- oxies, plating metals, coatings, and lead.) Semiconductors. Semiconductors are produced by treating semiconductor substances with dopants such as boron or phosphorus atoms to give them electrical properties. Important semi- conductor substances are silicon and gallium ar- senide. Manufacturing stages include crystal growth; acid etch and epitaxy formation; doping and oxidation; diffusion and ion implantation; metallization; chemical vapor deposition; die separation; die attachment; postsolder cleaning; wire bonding; encapsulation packaging; and fi- nal testing, marking, and packaging. Several of these process steps are repeated several times, so the actual length of the production chain may well exceed 100 processing steps. Between the repetitions, a cleaning step that contributes to the amount of effluent produced by the process is often necessary. Production involves carcinogenic and mutagenic substances and should therefore be carried out in closed systems. Printed circuit board (PCB) manufacturing. There are three types of boards: single sided (circuits on one side only), double sided (circuits on both sides), and multilayer (three or more circuit lay- ers). Board manufacturing is accomplished by producing patterns of conductive material on a nonconductive substrate by subtractive or addi- tive processes. (The conductor is usually copper; the base can be pressed epoxy, Teflon, or glass.) In the subtractive process, which is the preferred route, the steps include cleaning and surface preparation of the base, electroless copperplating, pattern printing and masking, electroplating, and etching. Printed wiring assemblies. Printed wiring assem- blies consist of components attached to one or both sides of the printed circuit board. The at- tachment may be by through-hole technology, in which the ”legs” of the components are inserted through holes in the board and are soldered in place from underneath, or by surface mount tech- nology (SMT), in which components are attached to the surface by solder or conductive adhesive. (The solder is generally a tin-lead alloy.) In printed circuit boards of all types, drilled holes may have to be copper-plated to ensure intercon- nections between the different copper layers. SMT, which eliminates the drilled holes, allows much denser packing of components, especially when components are mounted on both sides. It also offers higher-speed performance and is gain- ing over through-hole technology. Waste Characteristics Air Emissions Potential air emissions from semiconductor manu- facturing include toxic, reactive, and hazardous gases; organic solvents; and particulates from the process. The changing of gas cylinders may also result in fugitive emissions of gases. Chemicals Pollution Prevention and Abatement Handbook WORLD BANK GROUP Effective July 1998

Environmental Issues in EMS

Embed Size (px)

DESCRIPTION

This article gives insight of environmental issues related to electronic Manufacturing

Citation preview

302Electronics ManufacturingIndustry Description and PracticesTheelectronicsindustryincludesthemanufac-tureofpassivecomponents(resistors,capacitors,inductors);semiconductorcomponents(discretes,integratedcircuits);printedcircuitboards(singleand multilayer boards); and printed wiring assem-blies.Thischapteraddressestheenvironmentalissues associated with the last three manufactur-ing processes. The manufacture of passive com-ponentsisnotincludedbecauseitissimilartothat of semiconductors. (A difference is that pas-sivecomponentmanufacturinguseslessofthetoxic chemicals employed in doping semiconduc-torcomponentsandmoreorganicsolvents,ep-oxies, plating metals, coatings, and lead.)Semiconductors. Semiconductors are producedbytreatingsemiconductorsubstanceswithdopantssuchasboronorphosphorusatomstogive them electrical properties. Important semi-conductor substances are silicon and gallium ar-senide.Manufacturingstagesincludecrystalgrowth; acid etch and epitaxy formation; dopingandoxidation;diffusionandionimplantation;metallization;chemicalvapordeposition;dieseparation; die attachment; postsolder cleaning;wirebonding;encapsulationpackaging;andfi-naltesting,marking,andpackaging.Severaloftheseprocessstepsarerepeatedseveraltimes,so the actual length of the production chain maywellexceed100processingsteps.Betweentherepetitions, a cleaning step that contributes to theamountofeffluentproducedbytheprocessisoften necessary. Production involves carcinogenicand mutagenic substances and should thereforebe carried out in closed systems.Printed circuit board (PCB) manufacturing. Therearethreetypesofboards:singlesided(circuitson one side only), double sided (circuits on bothsides), and multilayer (three or more circuit lay-ers).Boardmanufacturingisaccomplishedbyproducingpatternsofconductivematerialonanonconductive substrate by subtractive or addi-tive processes. (The conductor is usually copper;the base can be pressed epoxy, Teflon, or glass.)In the subtractive process, which is the preferredroute,thestepsincludecleaningandsurfacepreparation of the base, electroless copperplating,pattern printing and masking, electroplating, andetching.Printed wiring assemblies. Printed wiring assem-bliesconsistofcomponentsattachedtooneorbothsidesoftheprintedcircuitboard.Theat-tachment may be by through-hole technology, inwhich the legs of the components are insertedthroughholesintheboardandaresolderedinplace from underneath, or by surface mount tech-nology (SMT), in which components are attachedto the surface by solder or conductive adhesive.(Thesolderisgenerallyatin-leadalloy.)Inprintedcircuitboardsofalltypes,drilledholesmay have to be copper-plated to ensure intercon-nectionsbetweenthedifferentcopperlayers.SMT, which eliminates the drilled holes, allowsmuch denser packing of components, especiallywhen components are mounted on both sides. Italso offers higher-speed performance and is gain-ing over through-hole technology.Waste CharacteristicsAir EmissionsPotential air emissions from semiconductor manu-facturing include toxic, reactive, and hazardousgases; organic solvents; and particulates from theprocess. The changing of gas cylinders may alsoresultinfugitiveemissionsofgases.ChemicalsPollution Prevention and Abatement HandbookWORLD BANK GROUPEffective July 1998As of April 30 2007, this document is NO LONGER IN USE by the World Bank Group. The new versions of the World Bank Group Environmental, Health, and Safety Guidelines are available at http://www.ifc.org/ifcext/enviro.nsf/Content/EnvironmentalGuidelines 303 Electronics Manufacturingin use may include hydrogen, silane, arsine, phos-phine,diborane,hydrogenchloride,hydrogenfluoride,dichlorosilane,phosphorousoxychlo-ride, and boron tribromide.Potential air emissions from the manufactureofprintedcircuitboardsincludesulfuric,hydro-chloric,phosphoric,nitric,acetic,andotherac-ids;chlorine;ammonia;andorganicsolventvapors (isopropanol, acetone, trichloroethylene;n-butyl acetate; xylene; petroleum distillates; andozone-depletingsubstances).In the manufacture of printed wiring assemblies,air emissions may include organic solvent vaporsand fumes from the soldering process, includingaldehydes, flux vapors, organic acids, and so on.Throughouttheelectronicsmanufacturingsector,chlorofluorocarbons(CFCs)havebeenapreferred organic solvent for a variety of appli-cations.CFCsareozone-depletingsubstances(ODSs). Their production in and import into de-velopingcountrieswillsoonbebanned.Hydrochlorofluorocarbons(HCFCs)havebeendeveloped as a substitute for CFCs, but they tooare ODSs and will be phased out. Methyl chloro-form, another organic solvent, has also been usedby the electronics industry; it too is an ODS andis being eliminated globally on the same sched-ule as CFCs. Chlorobromomethane and n-propylbromidearealsounacceptablebecauseoftheirhigh ozone-depleting potential.EffluentsEffluents from the manufacture of semiconductorsmayhavealowpHfromhydrofluoric,hydro-chloric,andsulfuricacids(themajorcontribu-tors to low pH) and may contain organic solvents,phosphorous oxychloride (which decomposes inwatertoformphosphoricandhydrochloricac-ids), acetate, metals, and fluorides.Effluents from the manufacture of printed cir-cuitboardsmaycontainorganicsolvents,vinylpolymers; stannic oxide; metals such as copper,nickel, iron, chromium, tin, lead, palladium, andgold;cyanides(becausesomemetalsmaybecomplexed with chelating agents); sulfates; fluo-rides and fluoroborates; ammonia; and acids.Effluentsfromprintedwiringassembliesmaycontain acids, alkalis, fluxes, metals, organic sol-vents,and,whereelectroplatingisinvolved,metals, fluorides, cyanides, and sulfates.Solid and Hazardous WastesSolidandhazardouswastesfromsemiconductormanufacturemayincludeheavymetals,solderdross (solder pot skimmings), arsenic, spent ep-oxy, and waste organic solvents (contributing thelargest volume of waste). In printed circuit boardoperations, solid wastes may include scrap boardmaterials,platingandhydroxidesludges,andinks. In the manufacture of printed wiring assem-blies, solid wastes may include solder dross, scrapboards, components, organic solvents, and met-als. Boards may also be treated with brominatedflame retardants, which may pose some environ-mental risk when boards are disposed of in land-fills.Allconventionalelectronicspresentadditionalhazardsinlandfillsbecauseofthepresenceofleadincathode-raytubeenvelopesand in solder, as well as lead and other metal salts,particularlyiftheyhavenotbeencleanedinapostsolderingoperation.Allthreemanufacturingprocessesmaygen-eratesludgescontainingheavymetalsfromwastewatertreatmentplants.Organicsolventresidues also require management and disposal.Pollution Prevention and ControlSemiconductor IndustryMeasuressuchasplasmaetchingofsiliconni-tride (a dry process) in metal oxide semiconduc-tor(MOS)technologyreplacethehotcorrosivephosphoricacid(H3PO4)wetprocessandofferreductions in generated waste and better safetyforworkerswhilereducingthenumberofpro-cessingsteps.Becauseofthereactionoftheplasma with the substrate, several substances areformed that are regarded as carcinogenic or mu-tagenicandthatmayposeadangertomainte-nancepersonnel.Risksareminimizedbysweeping equipment with nitrogen before open-ingit.Agasmaskwithbreathingequipmentshould be worn by personnel during repair andmaintenance.Printed Circuit Board ManufacturingAnumberofprocessalternativesexistforthemanufacture of printed circuit boards. These in-clude:304 PROJECT GUIDELINES: INDUSTRY SECTOR GUIDELINES In board manufacture: SMT rather than platedthrough-holetechnology;injectionmoldedsubstrate; additive plating Incleaningandsurfacepreparation:useofnonchelatingcleaners;extensionofbathlife;improvementofrinseefficiency;countercur-rent cleaning; recycling and reuse of cleanersand rinses Inpatternprintingandmasking:aqueousprocessableresist;screenprintingtoreplacephotolithography;dryphotoresist;recyclingand reuse of photoresist strippers; segregationof streams; recovery of metals Forelectroplatingandelectrolessplating:re-placementoftheseprocessesbymechanicalboardproduction;useofnoncyanidebaths;extensionofbathlife;recyclingandreuseofcleaners and rinses; improvement of rinse ef-ficiency; countercurrent rinsing; segregation ofstreams; recovery of metals Inetching:useofdifferentialplating;useofnonchelated etchants and nonchrome etchant;use of pattern instead of panel plating; use ofadditiveinsteadofsubtractiveprocesses;re-cycling and reuse of etchants.Metal recovery by regenerative electrowinningresults in a near-zero effluent discharge for seg-regated metal-bearing streams. Heavy metals arerecovered to metal sheets, which eliminates 95%of sludge disposal. Metal-bearing sludges that arenot treated for recovery of metals should be dis-posed of in secure landfills.Printed Wiring AssembliesIntheprintedwiringassemblyprocess,non-ozone-depleting alternatives are readily availablefor cleaning printed wiring assemblies. These al-ternatives include other organic solvents, hydro-carbon/surfactant blends, alcohols, and organicsolventblends,aswellasaqueousandsemi-aqueousprocesses.Moreimportant,theindus-tryhasshownthatevensophisticatedprintedwiringassembliesintendedformilitaryuses(wherespecificationsareveryexacting)canbemadewithoutcleaningbyusinglow-residuefluxesthatleaveverylittleinthewayofcon-tamination on the boards. The no-clean conceptdoes away with the use of organic solvents andtheneedtodisposeoforganicsolventwaste,eliminates a process step and the correspond-ingequipment,andhasbeenshowntogiveadequateproductqualityaccordingtotheapplication.GeneralOrganic solvent losses can be reduced by conser-vation and recycling, using closed-loop deliverysystems,hoods,fans,andstills.Installationofactivated carbon systems can achieve up to 90%captureandrecycleoforganicsolventsusedinthesystem.Allsolventsandhazardouschemi-cals(includingwastes)requireappropriatesafestoragetopreventspillsandaccidentaldis-charges. All tanks, pipework, and other contain-ers should be situated over spill containment trayswith dimensions large enough to contain the to-tal volume of liquid over them. Containment fa-cilitiesmustresistallchemicalattackfromtheproducts. In lieu of containment facilities, the floorand walls, to a reasonable height, may be treated(e.g., by an epoxy product, where chemically ap-propriate) to prevent the possibility of leakage ofaccidental spills into the ground, and there shouldbedoorsills.(Untreatedcementorconcreteorgrouted tile floors are permeable.) It is unaccept-able to have a drain in the floor of any shop wherechemicals of any description are used or stored,except where such a drain leads to an adequatewater-treatment plant capable of rendering usedor stored chemicals in its catchment area.Waste organic solvents should be sent to a sol-ventrecyclingoperationforreconstitutionandreuse. Where recycling facilities are not available,wastesolventsmayneedtobeincineratedordestroyed as appropriate for their chemical com-position.Target Pollution LoadsImplementation of cleaner production processesand pollution prevention measures can yield botheconomicandenvironmentalbenefits.Thefol-lowingproduction-relatedtargetscanbeachieved by measures such as those described inthe previous section.Ozone-depleting substances are not to be usedin production operations unless no proven alter-nativeexists.Dischargesoforganicsolventsshould be minimized, and alternative technolo-305 Electronics Manufacturinggies should be considered where available. Sol-der dross should not be sent to landfills. (Wastecan be sent to suppliers or approved waste recy-clers for recovery of the lead and tin content ofthe dross.) Scrap boards and assemblies havingsoldered components should have their compo-nentsandsolderconnectionsremovedbeforethey are sent to landfills or recycled for other uses.Treatment TechnologiesWet scrubbers, point-of-use control systems, andvolatileorganiccompound(VOC)controlunitsare used to control toxic and hazardous emissionsofthechemicalsusedinsemiconductormanu-facturing. It is often appropriate to scrub acid andalkalinewastegasesinseparatescrubbersbe-cause different scrubber liquids can then be used,resulting in higher removal efficiencies.Air emission concentrations of chemicals suchas arsine, diborane, phosphine, silane, and otherchemicals used in the process should be reducedbelow worker health levels for plant operations.Because of the many chemicals used in the elec-tronics industry, wastewater segregation simpli-fieswastetreatmentandallowsrecoveryandreuse of materials. Organic wastes are collectedseparately from wastewater systems. (Note thatsolventusedinthesemiconductorindustrycannot be readily recycled because much of itis generated from complex mixtures such as pho-toresist.)Acidsandalkalisaresenttoonsitewastewater treatment facilities for neutralization,after segregation of heavy-metal-bearing streamsfor separate treatment. Fluoride-bearing streamsinasemiconductorplantaresegregatedandtreatedonsiteorsentoffsitefortreatmentordisposal.Treatmentstepsforeffluentsfromtheelectronicsindustrymayincludeprecipitation,coagulation,sedimentation,sludgedewatering,ionexchange,filtering,membranepurificationand separation, and neutralization, depending onthe particular stream. Sanitary wastes are treatedseparately(primaryandsecondarytreatmentfollowed by disinfection) or discharged to a mu-nicipal treatment system.Emissions GuidelinesEmissions levels for the design and operation ofeach project must be established through the en-vironmental assessment (EA) process on the ba-sisofcountrylegislationandthePollutionPre-ventionandAbatementHandbook,asappliedtolocalconditions.Theemissionslevelsselectedmust be justified in the EA and acceptable to theWorld Bank Group.The guidelines given below present emissionslevelsnormallyacceptabletotheWorldBankGroup in making decisions regarding provisionof World Bank Group assistance. Any deviationsfrom these levels must be described in the WorldBankGroupprojectdocumentation.Theemis-sionslevelsgivenherecanbeconsistentlyachievedbywell-designed,well-operated,andwell-maintained pollution control systems.The guidelines are expressed as concentrationsto facilitate monitoring. Dilution of air emissionsoreffluentstoachievetheseguidelinesisun-acceptable.All of the maximum levels should be achievedfor at least 95% of the time that the plant or unitis operating, to be calculated as a proportion ofannual operating hours.Air EmissionsTheairemissionslevelspresentedinTable1should be achieved.Liquid EffluentsTheeffluentlevelspresentedinTable2shouldbe achieved.Ambient NoiseNoise abatement measures should achieve eitherthe levels given below or a maximum increase inbackground levels of 3 decibels (measured on theTable 1. Air Emissions from ElectronicsManufacturing(milligrams per normal cubic meter)Parameter Maximum valueVOC 20Phosphine 1Arsine 1Hydrogenfluoride 5Hydrogenchloride 10306 PROJECT GUIDELINES: INDUSTRY SECTOR GUIDELINESAscale)[dB(A)].Measurementsaretobetakenatnoisereceptorslocatedoutsidetheprojectproperty boundary.Maximum allowable logequivalent(hourlymeasurements), in dB(A)Day NightReceptor (07:0022:00) (22:0007:00)Residential,institutional,educational 55 45Industrial,commercial 70 70Monitoring and ReportingMonitoringofsourcesoftoxicemissions(suchas the toxic gases used in the semiconductor in-dustry, should be continuous and part of the pro-cess. Effluents should be monitored continuouslyforpH,andotherparametersshouldbetestedonce a month.Monitoringdatashouldbeanalyzedandre-viewedatregularintervalsandcomparedwiththeoperatingstandardssothatanynecessarycorrective actions can be taken. Records of moni-toringresultsshouldbekeptinanacceptableformat.Theresultsshouldbereportedtotheresponsible authorities and relevant parties, asrequired.Key IssuesThe key production and control practices that willlead to compliance with emissions requirementscan be summarized as follows: Cylinders of toxic gases should be well securedandfittedwithleakdetectiondevicesasap-propriate. Well-designed emergency prepared-ness programs are required. Note that fugitiveemissionsoccurringwhengascylindersarechanged do not normally require capture fortreatment, but appropriate safety precautionsare expected to be in place. No ozone-depleting chemicals should be usedintheprocessunlessnoprovenalternativesare available. Equipment,suchasrefrigerationequipment,containing ozone-depleting chemicals shouldnotbepurchasedunlessnootheroptionisavailable Toxic and hazardous sludges and waste mate-rials must be treated and disposed of or senttoapprovedwastedisposalorrecyclingoperations Whereliquidchemicalsareemployed,theplant, including loading and unloading areas,should be designed to minimize evaporation(other than water) and to eliminate all risk ofchemicalsenteringthegroundoranywater-course or sewerage system in the event of anaccidental leak or spill.SourceWorld Bank. 1997. Industrial Pollution Prevention andAbatement: Electronics Manufacturing. Draft Tech-nical Background Document. Environment Depart-ment, Washington, D.C.Table 2. Effluents from ElectronicsManufacturing(milligrams per liter, except for pH )Parameter Maximum valuepH 69BOD 50TSSMaximum 50Monthlyaverage20Oil and grease 10Phosphorus 5.0Fluoride 20Ammonia 10CyanideTotal 1.0Free0.1Total chlorocarbons andhydrochlorocarbons 0.5Metals, total 10Arsenic 0.1Chromium,hexavalent 0.1Cadmium 0.1Copper 0.5Lead 0.1Mercury 0.01Nickel 0.5Tin 2.0Note: Effluent requirements are for direct discharge to surfacewaters.