86
Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

Embed Size (px)

DESCRIPTION

3 Datapath vs. Controller

Citation preview

Page 1: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

Hao ZhengComp Sci & Eng

USF

CDA 4253 FPGA System DesignChapter 5 Finite State Machines

Page 2: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

2

Required reading

P. Chu, FPGA Prototyping by VHDL Examples Chapter 5, FSM

Page 3: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

3

Datapath vs. Controller

Page 4: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

4

Structure of a Typical Digital System

Datapath(Execution

Unit)

Controller(Control

Unit)

Data Inputs

Data Outputs

Control & Status Inputs

Control & Status Outputs

Control Signals

StatusSignals

Page 5: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

5

Datapath (Execution Unit)• Manipulates and processes data.• Performs arithmetic and logic operations,

shifting/rotating, and other data-processing tasks.• Is composed of registers, multiplexers, adders,

decoders, comparators, ALUs, gates, etc.• Provides all necessary resources and

interconnects among them to perform specified task.

• Interprets control signals from the controller and generates status signals for the controller.

Page 6: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

6

Controller (Control Unit)

• Controls data movement in the datapath by switching multiplexers and enabling or disabling resources

Example: enable signals for registersExample: select signals for muxes

• Provides signals to activate various processing tasks in the datapath.

• Determines the sequence of operations performed by the datapath.

• Follows some ‘program’ or schedule.

Page 7: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

7

Programmable vs. Non-Programmable Controller• Controller can be programmable or non-programmable• Programmable

• Has a program counter which points to next instruction• Instructions are held in a RAM or ROM• Microprocessor is an example of programmable

controller• Non-Programmable

• Once designed, implements the same functionality• Another term is a “hardwired state machine,” or

“hardwired FSM,” or “hardwired instructions”• In this course we will be focusing on non-

programmable controllers.

Page 8: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

8

Finite State Machines

• Controllers can be described as Finite State Machines (FSMs)

• Finite State Machines can be represented using• State Diagrams and State Tables - suitable for simple

controllers with a relatively few inputs and outputs• Algorithmic State Machine (ASM) Charts - suitable

for complex controllers with a large number of inputs and outputs

• All of these descriptions can be easily translated to the corresponding synthesizable VHDL code

Page 9: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

9

Hardware Design with RTL VHDL

Pseudocode

Datapath Controller

Blockdiagram

Blockdiagram

State diagramor ASM chart

VHDL code VHDL code VHDL code

Interface

Page 10: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

Steps of the Design Process1. Text description2. Interface3. Pseudocode to describe functionality4. Block diagram of the Datapath5. Interface divided into Datapath and Controller 6. State diagram or ASM chart of the Controller7. RTL VHDL code of the Datapath, Controller, and Top-

Level Unit8. Testbench for the Datapath, Controller, and Top-Level

Unit9. Functional simulation and debugging10. Synthesis and post-synthesis simulation11. Implementation and timing simulation12. Experimental testing using FPGA board

10

Page 11: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

11

Finite State MachinesRefresher

Page 12: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

12

Finite State Machines (FSMs)• An FSM is used to model a system that transits

among a finite number of internal states. The transitions depend on the current state and external input.

• The main application of an FSM is to act as the controller of a medium to large digital system

• Design of FSMs involves• Defining states• Defining state transitions• Defining output functions• Optimization / minimization

• Manual optimization/minimization is practical for small FSMs only.

Page 13: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

13

Moore FSM

• Output is a function of the present state only

Stateregister

Next Statefunction

Outputfunction

Inputs

Present StateNext State

Outputs

clockreset

Page 14: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

14

Mealy FSM• Output is a function of the present state and the

inputs.

Next Statefunction

Outputfunction

Inputs

Present StateNext State

Outputs

Stateregister

clockreset

Page 15: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

15

State Diagrams

Page 16: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

16

Moore Machine

state 1 /output 1

state 2 /output 2

transitioncondition 1

transitioncondition 2

Transition conditions are defined on inputs.

Page 17: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

17

Mealy Machine

state 1 state 2

transition condition 1 /output 1

transition condition 2 /output 2

Transition conditions are defined on inputs.

Page 18: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

18

Moore FSM - Example 1

• Moore FSM that recognizes sequence “10”

S0 / 0 S1 / 0 S2 / 1

00

0

1

11

reset

Meaning of states:

S0: No elements of the sequenceobserved

S1: “1”observed

S2: “10”observed

Page 19: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

19

Mealy FSM - Example 1• Mealy FSM that recognizes sequence “10”

S0 S1

0 / 0 1 / 0 1 / 0

0 / 1reset

Meaning of states:

S0: No elements of the sequenceobserved

S1: “1”observed

Page 20: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

20

Moore & Mealy FSMs – Example 1

clock

input

Moore

Mealy

0 1 0 0 0

S0 S0 S1 S2 S0 S0

S0 S0 S1 S0 S0 S0

state

output

state

output

Page 21: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

21

Moore vs. Mealy FSM (1)

• Moore and Mealy FSMs can be functionally equivalent.• Equivalent Mealy FSM can be derived from Moore FSM

and vice versa.• Mealy FSM has richer description and usually

requires smaller number of states• Smaller circuit area.

Page 22: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

22

Moore vs. Mealy FSM (2)

• Mealy FSM computes outputs as soon as inputs change.• Mealy FSM responds one clock cycle sooner than

equivalent Moore FSM.• Moore FSM has no combinational path between

inputs and outputs.• Moore FSM is less likely to affect the critical path of the

entire circuit.

Page 23: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

23

Which Way to Go?

Safer.Less likely to affect

the critical path.

Mealy FSM Moore FSM

Lower Area

Responds one clockcycle earlier

Fewer states

Page 24: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

24

Finite State Machinesin VHDL

Page 25: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

25

FSMs in VHDL

• Finite State Machines can be easily described with processes.

• Synthesis tools understand FSM description if certain rules are followed.• State transitions should be described in a process

sensitive to clock and asynchronous reset signals only.• Output function described using rules for combinational

logic, i.e. as concurrent statements or a process with all inputs in the sensitivity list.

Page 26: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

26

Moore FSM

StateRegister

Next Statefunction

Outputfunction

Inputs

Present State

Next State

Outputs

clockreset

process(clock, reset)

concurrent statements

Page 27: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

27

Mealy FSM

Next Statefunction

Outputfunction

Inputs

Present StateNext State

Outputs

StateRegister

clockreset

process(clock, reset)

concurrent statements

Page 28: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

28

Moore FSM - Example 1

• Moore FSM that Recognizes Sequence “10”

S0 / 0 S1 / 0 S2 / 1

00

0

1

11

reset

Page 29: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

29

Moore FSM in VHDL (1)

type state IS (S0, S1, S2); -- enumeration typesignal Moore_state: state;

U_Moore: process (clock, reset)begin

if (reset = ‘1’) thenMoore_state <= S0;

elsif (clock = ‘1’ and clock’event) thencase Moore_state is

when S0 => if input = ‘1’ then

Moore_state <= S1; else Moore_state <= S0; end if;

S0 / 0 S1 / 0

0

1

next state logic

Page 30: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

30

Moore FSM in VHDL (2)

when S1 => if input = ‘0’ then

Moore_state <= S2; else Moore_state <= S1; end if;

when S2 => if input = ‘0’ then

Moore_state <= S0; else

Moore_state <= S1; end if;

end case;end if;

end process;

Output <= ‘1’ when Moore_state = S2 else‘0’;

next state logic

-- output function

Page 31: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

31

Mealy FSM - Example 1

• Mealy FSM that Recognizes Sequence “10”.

S0 S1

0 / 0 1 / 0 1 / 0

0 / 1reset

Page 32: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

32

Mealy FSM in VHDL (1)

type state IS (S0, S1);signal Mealy_state: state;

U_Mealy: process (clock, reset)begin

if (reset = ‘1’) thenMealy_state <= S0;

elsif (clock = ‘1’ and clock’event) thencase Mealy_state is

when S0 => if input = ‘1’ then

Mealy_state <= S1; else Mealy_state <= S0; end if;

next state logic

Page 33: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

33

Mealy FSM in VHDL (2)

when S1 => if input = ‘0’ then

Mealy_state <= S0; else Mealy_state <= S1; end if;

end case;end if;

end process;

Output <= ‘1’ when (Mealy_state = S1 and input = ‘0’) else‘0’; -- output function

next state logic

Page 34: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

34

Algorithmic State Machine (ASM)Charts

Page 35: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

35

Algorithmic State Machine

Algorithmic State Machine – representation of a Finite State Machine suitable for FSMs with a larger number of inputs

and outputs compared to FSMs expressed using state diagrams and state tables.

Page 36: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

36

Elements used in ASM charts (1)

Output signalsor actions

(Moore type)

State name

Condition expression

0 (False) 1 (True)

Conditional outputs or actions (Mealy type)

(a) State box (b) Decision box

(c) Conditional output box

Page 37: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

37

State Box• State box represents a state.• Equivalent to a node in a state diagram or

a row in a state table.• Contains register transfer actions or output

signals• Moore-type outputs are listed inside of

the box. • It is customary to write only the name of

the signal that has to be asserted in the given state, e.g., z instead of z<=1.

• Also, it might be useful to write an action to be taken, e.g., count <= count + 1, and only later translate it to asserting a control signal that causes a given action to take place (e.g., enable signal of a counter).

Output signalsor actions

(Moore type)

State name

Page 38: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

38

Decision Box

• Decision box indicates that a given condition is to be tested and the exit path is to be chosen accordingly.The condition expression may include one or more inputs to the FSM.

Condition expression

0 (False) 1 (True)

Page 39: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

39

Conditional Output Box

• Conditional output box denotes output signals that are of the Mealy type.

• The condition that determines whether such outputs are generated is specified in the decision box.

Conditional outputs or actions (Mealy type)

Page 40: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

40

ASMs Representing Simple FSMs

• Algorithmic state machines can model both Mealy and Moore Finite State Machines

• They can also model machines that are of the mixed type.

Page 41: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

41

Generalized FSM

Based on RTL Hardware Design by P. Chu

Page 42: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

42

Moore FSM – Example 2: State diagram

C z 1 = ¤

Reset

B z 0 = ¤ A z 0 = ¤ w 0 =

w 1 =

w 1 =

w 0 =

w 0 = w 1 =

Page 43: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

43

Present Next state Outputstate w = 0 w = 1 z

A A B 0 B A C 0 C A C 1

Moore FSM – Example 2: State Table

Page 44: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

44

w

w

w 0 1

0

1

0

1

A

B

C

z

Reset

w

w

w 0 1

0

1

0

1

A

B

C

z

Reset

ASM Chart for Moore FSM – Example 2

Page 45: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

45

use ieee.std_logic_1164.all ;

entity simple isport( clock : in STD_LOGIC ;

resetn : in STD_LOGIC ; w : in STD_LOGIC ;

z : out STD_LOGIC ) ;end simple ;

architecture Behavior of simple is type State_type IS (A, B, C) ;signal state : State_type ;

beginprocess( resetn, clock )begin

if resetn = '0' thenstate <= A ;

elsif (Clock'EVENT and Clock = '1') then

Example 2: VHDL code (1)

Page 46: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

46

case state is when A =>

if w = '0' thenstate <= A ;

elsestate <= B ;

end if;when B =>

if w = '0' thenstate <= A ;

elsestate <= C ;

end if;when C =>

if w = '0' thenstate <= A ;

elsestate <= C ;

end if;end case;

Example 2: VHDL code (2)

Page 47: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

47

Example 2: VHDL code (3)

END IF ; END PROCESS ;

z <= '1' when state = C else '0' ;

END Behavior;

Page 48: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

48

A

w 0 = z 0 = ¤ w 1 = z 1 = ¤

B

w 0 = z 0 = ¤

Reset w 1 = z 0 = ¤

Mealy FSM – Example 3: State diagram

Page 49: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

49

ASM Chart for Mealy FSM – Example 3

w

w 0 1

0

1

A

B

Reset

z

A

w 0 = z 0 = ¤ w 1 = z 1 = ¤

B

w 0 = z 0 = ¤

Reset w 1 = z 0 = ¤

Page 50: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

50

library ieee;use ieee.std_logic_1164.all;

entity Mealy isPORT ( clock : IN STD_LOGIC ;

resetn : IN STD_LOGIC ; w : IN STD_LOGIC ;

z : OUT STD_LOGIC ) ;end Mealy ;

architecture Behavior of Mealy istype State_type is (A, B) ;signal state: State_type ;

beginprocess ( resetn, clock )begin

if resetn = '0' thenstate<= A ;

elsif (clock'EVENT and clock = '1') then

Example 3: VHDL code (1)

Page 51: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

51

Example 3: VHDL code (2)

case state is when A => if w = '0' then

state<= A ;else

state<= B ;end if;

when B =>if w = '0' then

state<= A ;else

state<= B ; end if;end case;

end if;end process;

Page 52: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

52

Example 3: VHDL code (3)

z <= '1' when (y = B) and (w=‘1’) else '0' ;

end Behavior ;

Page 53: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

53

Control Unit Example: Arbiter (1)

Arbiter

reset

r1

r2

r3

g1

g2

g3

clock

Page 54: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

54

Idle

000

1--

Reset

gnt1 g 1 ¤ 1 =

-1-

gnt2 g 2 ¤ 1 =

--1

gnt3 g 3 ¤ 1 =

0-- 1--

01- -0-

001 --0

Control Unit Example: Arbiter (2)

Page 55: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

55

r 1 r 2

r 1 r 2 r 3

Idle

Reset

gnt1 g 1 ¤ 1 =

gnt2 g 2 ¤ 1 =

gnt3 g 3 ¤ 1 =

r 1 r 1

r 1

r 2

r 3

r 2

r 3

r 1 r 2 r 3

r 1 r 2

r 1 r 2 r 3

Idle

Reset

gnt1 g 1 ¤ 1 =

gnt2 g 2 ¤ 1 =

gnt3 g 3 ¤ 1 =

r 1 r 1

r 1

r 2

r 3

r 2

r 3

r 1 r 2 r 3

Control Unit Example: Arbiter (3)

Page 56: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

56

ASM Chart for Control Unit - Example 4

r 1

r 3 0 1

1

Idle

Reset

r 2

r 1

r 3

r 2

gnt1

gnt2

gnt3

1

1

1

0

0

0

g 1

g 2

g 3

0

0

1

r 1

r 3 0 1

1

Idle

Reset

r 2

r 1

r 3

r 2

gnt1

gnt2

gnt3

1

1

1

0

0

0

g 1

g 2

g 3

0

0

1

Page 57: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

57

Example 4: VHDL code (1)

LIBRARY ieee;USE ieee.std_logic_1164.all;

ENTITY arbiter ISPORT ( Clock, Resetn : IN STD_LOGIC ;

r : IN STD_LOGIC_VECTOR(1 TO 3) ; g : OUT STD_LOGIC_VECTOR(1 TO 3) ) ;

END arbiter ;

ARCHITECTURE Behavior OF arbiter ISTYPE State_type IS (Idle, gnt1, gnt2, gnt3) ;SIGNAL state: State_type ;

Page 58: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

58

Example 4: VHDL code (2)BEGIN

PROCESS ( Resetn, Clock )BEGIN

IF Resetn = '0' THEN state <= Idle ;ELSIF (Clock'EVENT AND Clock = '1') THEN

CASE state ISWHEN Idle =>

IF r(1) = '1' THEN state <= gnt1 ;ELSIF r(2) = '1' THEN state <= gnt2 ;ELSIF r(3) = '1' THEN state <= gnt3 ;ELSE state <= Idle ;END IF ;

WHEN gnt1 =>IF r(1) = '1' THEN state <= gnt1 ;ELSE state <= Idle ;END IF ;

WHEN gnt2 =>IF r(2) = '1' THEN state <= gnt2 ;ELSE state <= Idle ;END IF ;

Page 59: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

59

Example 4: VHDL code (3)WHEN gnt3 =>

IF r(3) = '1' THEN state <= gnt3 ;ELSE state <= Idle ;END IF ;

END CASE ;END IF ;

END PROCESS ;

g(1) <= '1' WHEN y = gnt1 ELSE '0' ;

g(2) <= '1' WHEN y = gnt2 ELSE '0' ;

g(3) <= '1' WHEN y = gnt3 ELSE '0' ;

END Behavior ;

Page 60: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

60

ASM Summary• ASM (algorithmic state machine) chart

– Flowchart-like diagram – Provides the same info as a state diagram– More descriptive, better for complex description– ASM block

• One state box• One or more optional decision boxes:

with T (1) or F (0) exit path• One or more conditional output boxes:

for Mealy output

Page 61: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

61

Page 62: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

ASM Chart Rules

Based on RTL Hardware Design by P. Chu

• Difference between a regular flowchart and an ASM chart:– Transition governed by clock – Transition occurs between ASM blocks

• Basic rules:– For a given input combination, there is one

unique exit path from the current ASM block– Any closed loop in an ASM chart must

include a state box

Page 63: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

Incorrect ASM Charts

Based on RTL Hardware Design by P. Chu

Page 64: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

Generalized FSM

Based on RTL Hardware Design by P. Chu

Page 65: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

Case Study IDebouncing Circuit

Page 66: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

Original & Debounced Inputs

Page 67: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

Debouncing Circuit – Scheme 1

sw: input from slide switches or push buttons.

m_tick: output from a timer with 10ms period.

Page 68: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

Debouncing Testing Circuit

Page 69: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

69

Case Study IIFibonacci Number

Page 70: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

70

Fibonacci Number

if i = 0if i = 1

Page 71: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

71

Fibonacci Number

idle/rdy <=‘1’

done/done_tick <= ‘1’

op

n=0/t1 <= 0

n/=1/t1 <= t1+t0

t0 <= t1n <= n-1

n=1

Page 72: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

Alternative Coding Stylesby Dr. Chu

(to be used with caution)

Page 73: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

Traditional Coding Style

StateRegister

Next Statefunction

Moore Outputfunction

Inputs

Present State

Next State

clockreset

process(clock, reset)

concurrent statements

Mealy Outputfunction

Mealy Outputs Moore Outputs

Page 74: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

Alternative Coding Style 1

StateRegister

Next Statefunction

Moore Outputfunction

Inputs

Present State

Next State

clockreset

Process(Present State, Inputs)

Mealy Outputfunction

Mealy Outputs Moore Outputs

Process(clock, reset)

Process(Present State)Process(Present State, Inputs)

Page 75: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

75

Next state logic depends on mem, rw, and burst.

Moore output: re and we.

Mealy output: we_me that depends on mem and rw.

Page 76: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

76

Page 77: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

77

Next state logic depends on mem, rw, and burst.

Page 78: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

78

Moore output: re and we.

Page 79: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

79

Mealy output: we_me that depends on mem and rw.

Page 80: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

Alternative Coding Style 2

Process(clk, reset)

Process(Present State,Inputs)

Page 81: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

81

Page 82: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

82

Page 83: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

83

Page 84: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

VHDL Variables• Variables can be declared and used within processes.• Cannot be used outside processes.

Page 85: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

Differences: Signals vs Variables• Variables can only be declared and used within

processes or procedures.- Used to hold temporary results.

• Signals can only be declared in architecture.- Used for inter-process communications.

• Assignment to a variables occurs immediately.• Assignment to a signal occurs after a process is

executed.• Synthesis results:

- Variables: wires- Signals: wires, registers, or latches.

Page 86: Hao Zheng Comp Sci & Eng USF CDA 4253 FPGA System Design Chapter 5 Finite State Machines

Differences: Signals vs Variablesarchitecture sig_ex of test is process (clk) begin if rising_edge(clk) then out1 <= a and b; out2 <= out1 xor c; end if; end process;end sig_ex;

architecture var_ex of test is process (clk) variable out3 : std_logic_type; begin if rising_edge(clk) then out3 := a and b; out4 <= out3 xor c; end if; end process;end sig_ex;

FF

FF

AND

XOR

ab

c

out1

out2

ANDXOR

ab

cFF

out3

out4