106
7/17/2019 Inj Benacer Imad http://slidepdf.com/reader/full/inj-benacer-imad 1/106 REPUBLIQUE ALGERIENNE DEMOCRATIQUE ET POPULAIRE MINISTERE DE L’ENSEIGNEMENT SUPERIEUR ET DE LA RECHERCHE SCIENTIFIQUE UNIVERSITE DE BATNA MEMOIRE Présenté à LA FACULTE DES SCIENCES DE L’INGENIEUR DEPARTEMENT D’ELECTRONIQUE Pour l’obtention du diplôme de MAGISTER MICROELECTRONIQUE Option: IC Design Par BENACER Imad Thème  Devant le jury constitué de :  Pr. OUNISSI Abdelhamid Prof. Université de Batna Président Dr. DIBI Zohir M.C. Université de Batna Rapporteur Pr. CHAABI Abdelhafid Prof. Université de Constantine Examinateur Pr. MAHAMDI Ramadane Prof. Université de Batna Examinateur Dr. DJEFFAL Fayçal M.C Université de Batna Examinateur  MODELISATION COMPORTEMENTALE  DE SPICE A VHDL-AMS

Inj Benacer Imad

  • Upload
    ha9a

  • View
    263

  • Download
    0

Embed Size (px)

DESCRIPTION

TR

Citation preview

  • REPUBLIQUE ALGERIENNE DEMOCRATIQUE ET POPULAIRE MINISTERE DE LENSEIGNEMENT SUPERIEUR

    ET DE LA RECHERCHE SCIENTIFIQUE UNIVERSITE DE BATNA

    MEMOIRE

    Prsent

    LA FACULTE DES SCIENCES DE LINGENIEUR DEPARTEMENT DELECTRONIQUE

    Pour lobtention du diplme de

    MAGISTER MICROELECTRONIQUE

    Option: IC Design

    Par BENACER Imad

    Thme

    Devant le jury constitu de :

    Pr. OUNISSI Abdelhamid Prof. Universit de Batna Prsident

    Dr. DIBI Zohir M.C. Universit de Batna Rapporteur

    Pr. CHAABI Abdelhafid Prof. Universit de Constantine Examinateur

    Pr. MAHAMDI Ramadane Prof. Universit de Batna Examinateur

    Dr. DJEFFAL Fayal M.C Universit de Batna Examinateur

    MODELISATION COMPORTEMENTALE DE SPICE A VHDL-AMS

  • Je ddie ce travail :

    Ma mre,

    Mon pre,

    Mes surs,

    Tous mes amis sans exception.

  • RemerciementsRemerciementsRemerciementsRemerciements

    Tout dabord, je Remercie le bon Dieu le tout puissant pour

    la bonne sant, la volont et la patience quil ma donn pour

    accomplir ce travail.

    Ce travail a t effectu au laboratoire dElectronique

    Avance de luniversit de Batna. Jadresse mes remerciements

    les plus sincres au Docteur Zohir DIBI, Chef de dpartement

    dElectronique de luniversit de Batna, pour son encadrement

    constant et efficace tout au long de llaboration de ce travail au

    cours duquel jai pu apprcier ses qualits tant humaines que

    professionnelles.

    Nous tenons remercier galement les membres de jury

    Pr. OUNISSI Abdelhamid, Pr. CHAABI Abdelhafid,

    Pr. MAHAMDI Ramadane, Dr. DJEFFAL Fayal de Bien

    vouloir accepter dexaminer et de juger ce modeste travail.

    Je remercie galement tous ceux qui mont accompagn ou

    que jai croiss durant ces deux annes au sein du laboratoire(LEA), tous ceux qui mont soutenu, encourag et donn lenvie de mener terme ce travail.

    Enfin, je dois une dette certaine ma famille et mes parents, qui ont t mes plus fidles supporteurs et qui mont aid traverser cette priode.

    Benacer Imad

  • SOMMAIRE

    Introduction Gnrale ...................................................................................................... 1

    Chapitre I Techniques de modlisation des circuits

    I.1 Introduction ............................................................................................................ 4 I.2 Modle .................................................................................................................... 4 I.3 Analyse et synthse ................................................................................................ 4 I.4 Types de comportements ........................................................................................ 5 I.5 Types de formalismes ............................................................................................. 7 I.6 Macro-modle ......................................................................................................... 9 I.7 Simulation lectrique ............................................................................................ 10 I.7.1 Techniques de simulation ............................................................................... 11 I.7.1.1 Simulation logique ................................................................................. 12 I.7.1.2 Simulation analogique ........................................................................... 12 I.7.1.3 Simulation mixte logique-analogique .................................................... 14 I.8 Modlisation ......................................................................................................... 16 I.8.1 Objectif de la modlisation ............................................................................ 17 I.8.2 Mthodologie de modlisation des circuits analogiques ................................ 17 I.8.3 Exemples analogiques et digitaux .................................................................. 17 I.8.4 Modles et primitives ..................................................................................... 18 I.8.5 Techniques de modlisation ........................................................................... 18 I.9 Conclusion ............................................................................................................ 23

    Chapitre II Langage de modlisation et simulateur

    II.1 Introduction ......................................................................................................... 24 II.2 Choix du langage de modlisation ...................................................................... 24 II.2.1 Langage de programmation oriente objet ................................................... 24 II.2.2 Langage de modlisation numrique............................................................ 24 II.2.3 Langage mathmatique formel explicite ...................................................... 24 II.2.4 Langage de modlisation implicite ddie l'lectronique .......................... 25 II.2.5 Langage de modlisation analogique multi-domaines ................................. 25 II.2.6 Langage de modlisation mixte multi-domaines ......................................... 25 II.3 Mthodes de modlisation VHDL-AMS ............................................................ 26 II.4 ORCAD PSPICE ................................................................................................. 27

  • II.4.1 Dfinition ..................................................................................................... 27 II.4.2 Limitations de SPICE ................................................................................... 28 II.5 VHDL-AMS ........................................................................................................ 29 II.5.1 Histoire de VHDL-AMS .............................................................................. 29 II.5.2 Avantage de VHDL-AMS ............................................................................ 30 II.5.3 Limites de VHDL-AMS ............................................................................... 30 II.5.4 Evolution lie VHDL-AMS ...................................................................... 31 II.6 Choix du logiciel de simulation .......................................................................... 32 II.6.1 Simulateurs de premire gnration code apparent ................................... 32 II.6.2 Possibilits offertes par l'interfaage avec VHDL-AMS ............................. 33 II.6.3 Nouveaux simulateurs disposant d'un GUI (Graphical User Interface) ...... 33 II.7 Simplorer ............................................................................................................. 34 II.7.1 Introduction ................................................................................................. 34 II.7.2 Interface graphique ....................................................................................... 35 II.8 Modle VHDL-AMS .......................................................................................... 36 II.8.1 Structure dun modle VHDL-AMS ............................................................ 36 II.8.2 Classes dobjets ............................................................................................ 39 II.8.3 Dclarations simultanes .............................................................................. 40 II.9 Apports de VHDL-AMS ..................................................................................... 43 II.10 Application la modlisation hirarchique ....................................................... 45 II.11 Conclusion ......................................................................................................... 46

    Chapitre III Simulation des circuits lectroniques

    III.1 Introduction ........................................................................................................ 47 III.2 Connexion Analogique ..................................................................................... 47 III.3 Connexion Physique ......................................................................................... 47 III.3.1 Resistance ................................................................................................... 48 III.3.2 Capacit ...................................................................................................... 49 III.3.3 Inductance ................................................................................................... 50 III.3.4 Diode ........................................................................................................... 55 III.4 Connexion Mathmatique .................................................................................. 56 III.5 Gnrateurs ........................................................................................................ 58 III.5.1 Sources indpendantes constantes .............................................................. 58 III.5.2 Source de tension sinusodal ...................................................................... 59 III.5.3 Gnrateur dimpulsion .............................................................................. 60

  • III.6 Trigger de Schmitt ............................................................................................. 62 III.6.1 Trigger Inverseur ....................................................................................... 62 III.6.1.1 Modle Pspice du Trigger Inverseur ................................................ 63 III.6.1.2 Modle VHDL-AMS du Trigger Inverseur ..................................... 65 III.6.2 Trigger non inverseur ................................................................................. 66 III.6.2.1 Modle pspice du trigger non inverseur .......................................... 68 III.6.2.2 Modle VHDL-AMS de trigger non inverseur ................................ 69 III.7 Contrle du systme hybride ............................................................................ 70 III.7.1 Modlisation par pspice ............................................................................ 70 III.7.2 Modlisation par VHDL-AMS ................................................................ 71 III.8 Machine courant continu ................................................................................. 72 III.8.1 Modle lectrique ..................................................................................... 73 III.8.2 Modlisation par pspice ............................................................................ 73 III.8.3 Modlisation par VHDL-AMS .................................................................. 75 III.9 Conclusion ........................................................................................................ 76

    Chapitre IV Boucle verrouillage de phase (PLL)

    IV.1 Introduction ....................................................................................................... 77 IV.2 Dtection dvnements analogiques ................................................................ 77 IV.3 Principe de fonctionnement ............................................................................... 78 IV.4 Modlisation dune PLL .................................................................................... 81 IV.4.1 Modle VHDL-AMS de la PLL ................................................................ 82 IV.4.1.1 Comparateur de phase ..................................................................... 82 IV.4.1.2 Filtre de boucle ................................................................................ 83 IV.4.1.3 Oscillateur command en tension .................................................... 83 IV.4.2 Modle Pspice de la PLL ........................................................................... 85 IV.5 Conclusion ......................................................................................................... 87 Conclusion Gnrale ................................................................................................. 88 Glossaire .................................................................................................................... 90 Bibliographie ............................................................................................................. 91

  • Introduction Gnrale

  • Introduction Gnrale

    1

    Introduction gnrale

    La micro-lectronique consiste en la ralisation miniaturise de fonctions lectroniques de plus en plus complexes sur un seul support ''System On Chip'' (SOC) et des ASICs (Application Specific Integrated Circuit) mixtes. Au dpart, le but de la micro-lectronique tait la rduction du poids et du volume des appareils, mais ces deux critres sont devenus secondaires face lamlioration de la fiabilit et la rduction du prix de revient (Time_to_market) que permet lintgration.

    Les concepteurs de systmes ont donc un grand besoin d'outils permettant un dveloppement rapide et moindre cot. Cette attente devrait trouver une rponse dans le "Prototypage Virtuel" (PV) des systmes, en utilisant des langages de description de matriel (Hardware Description Language HDL), qui permettrait de rduire leurs minimal les phases de test sur des prototypes physiques, trs coteux en temps et en argent.

    Les simulateurs de la famille SPICE proposent de nombreuses primitives (rsistances, condensateurs, bobines, transistors MOS et Bipolaires etc) afin de dcrire la topologie dun circuit. Chaque primitive est associe un modle plus ou moins prcis. Certains modles, par exemple les transistors MOS sont fortement lis la technologie en faisant apparatre un

    nombre important de paramtres de process. Dautres, comme les lments passifs sont dcrits essentiellement partir de grandeurs lectriques. Cependant, quel que soit le type de modle, la taille du systme rsoudre pour le simulateur sera proportionnelle au nombre de nuds du circuit. On peut ainsi conclure que lorsque le nombre de transistors devient important (quelques centaines quelques milliers en conception analogique), le temps de simulation devient lev et des problmes de convergence peuvent apparatre rendant plus complexe la simulation dun circuit analogique.

    Afin de rduire la taille du systme matriciel rsoudre, le recours des modles de plus haut niveau (amplificateurs oprationnels, comparateurs, etc) devient alors indispensable.

    On peut faire la distinction entre deux techniques utilises pour raliser des modles comportementaux de fonctions analogiques : la macromodlisation et lutilisation dun langage de modlisation comportementale.

  • Introduction Gnrale

    2

    La macromodlisation consiste dcrire le comportement dun circuit par lutilisation des primitives dun simulateur. Ces primitives sont par exemples des diodes, des lments passifs, mais aussi et surtout des sources de tension (ou courant) contrles auxquelles on peut associer des quations liant les grandeurs du circuit. Les modles obtenus par cette approche permettent de rduire considrablement les temps de simulations [1].

    Les langages de modlisation comportementale permettent de dvelopper des modles de systmes lectriques mixtes (analogique et numrique) mais aussi de systmes lectriques et non-lectriques (mcanique, hydraulique, thermique...) par lutilisation dune description textuelle. Ces langages sont de plus en plus utiliss. Parmis eux, on citera le langage MAST du simulateur SABER, le langage verilog-AMS, ainsi que le VHDLAMS [1].

    Nous nous proposons donc de comparer les deux approches afin de montrer qu' partir dune mthodologie de modlisation donne, il est facile de dvelopper un modle comportemental aussi bien avec un langage comportemental (VHDL-AMS) quavec un outil de macromodlisation (le module ABM dORCAD-PSPICE). Lintrt est bien sr ici de mettre en avant la mthode et non le langage ou le simulateur.

    Organisation du mmoire Les travaux prsents dans ce mmoire apportent une contribution la

    macromodlisation comportementale utilisant PSPICE-ORCAD et la modlisation comportementale utilisant le langage VHDL-AMS pour diffrents circuits.

    Aprs une introduction gnrale, le travail est constitu de quatre chapitres dont nous esquissons une brve description dans les lignes suivantes :

    Le Chapitre I prsente les techniques de Modlisation des Circuits,

    Le Chapitre II aborde les divers langages de modlisation ainsi que les diffrents simulateurs utiliss cet effet,

    Le Chapitre III rsume les simulations effectues sur des circuits lectroniques,

  • Introduction Gnrale

    3

    Le Chapitre IV dtaille la Boucle verrouillage de phase (PLL) par les deux approches proposs et tablit une comparaison.

    Une conclusion gnrale est donne en fin de ce mmoire.

  • Chapitre I

    Techniques de modlisation des circuits

  • Chapitre I Techniques de modlisation des circuits

    4

    I.1 Introduction

    Ce chapitre prsente un certain nombre de notions fondamentales: modle, analyse, synthse, reprsentations dun modle, formalismes de description de modles, techniques de simulation, langage de description de matriel.

    I.2 Modle

    La cration dun modle rsulte dun processus de structuration dun ensemble de connaissances, parfois exprimentales, que lon dispose propos dun phnomne ou dun systme physique. Un modle est une reprsentation abstraite dune ralit physique dont on ne conserve que les aspects essentiels une certaine utilisation, ou plutt une certaine

    exprimentation. Un modle ignore donc dlibrment des dtails, soit parce quils sont inutiles ou peu importants pour lexprimentation en question, soit parce quils ne sont pas

    (encore) connus. Le modle dun systme est une reprsentation de son comportement laide de

    laquelle le simulateur comprend et procde des calculs. Un modle doit tre le plus fidle et le plus exact possible, cest le plus important critre de la modlisation. Mais crire un modle exact est la tche la plus difficile.

    I.3 Analyse et synthse

    On considre ici deux types dutilisation de modles mathmatiques: lanalyse et la synthse.

    Le processus danalyse permet dextraire ou de vrifier les proprits dun systme. La simulation est un type danalyse dynamique pour lequel le modle est soumis un ensemble de stimulis. Ceci gnre un certain nombre de rponses qui permettent dextraire des proprits du modle donc du systme simul. Le modle doit ainsi avoir une forme excutable utilisable par un simulateur (p. ex., mais pas exclusivement, un programme dordinateur).

    La qualit des rponses obtenues dun simulateur dpend fortement de la qualit des questions (les stimulis), de la qualit des modles considrs et des techniques de simulation utilises. Etant donn son caractre intrinsquement abstrait, un modle possde un certain domaine de validit qui dlimite ses conditions dutilisation. Au-del de son domaine de validit, un modle devient incorrect.

    La vrification est un type danalyse statique qui nutilise pas de stimulis, mais qui examine un modle par rapport certaines proprits quil devrait possder.

  • Chapitre I Techniques de modlisation des circuits

    5

    Le processus de synthse ralise un ensemble de transformations sur un modle de manire driver un nouveau modle plus dtaill et optimis en fonction de contraintes de conceptions imposes (p. ex. minimisation de la surface, des dlais ou de la consommation) [2].

    I.4 Types de comportements

    Il y a diffrentes faons de modliser le comportement dun systme. Le modle peut tre temps discret ou temps continu ou les deux en mme temps, et ce comportement doit tre comprhensible par le simulateur. Un comportement continu est mathmatiquement reprsent par une fonction valeurs relles dune variable relle indpendante, gnralement le temps ou la frquence (Figure I.1). Un tel comportement peut tre reprsent par des relations algbriques linaires ou non linaires, diffrentielles linaires ou non linaires.

    On peut distinguer deux types de modles temps continu. Les modles paramtres

    localiss sont dcrits par des quations diffrentielles ordinaires f (x, u, t) , o x est la variable dpendante, u est un stimuli et t est le temps. Exemples de comportements temps continu paramtres localiss:

    u(t) = R i(t) algbrique linaire (I.1)

    diffrentiel linaire (I.2)

    / 1) algbrique non linaire (I.3)

    . diffrentiel non linaire (I.4)

    Les modles paramtres distribus sont dcrits par des quations aux drives partielles, comme par exemple lquation de la diffusion:

    Figure I.1: Comportement continu [2].

  • Chapitre I

    Un comportement discret est mathmatiquement reprsent paLes variables dpendantes sont valeurs relles, mais la variable indpendante est discrte (usuellement un multiple entier dune unit de base). Un comportement discret peut tre reprsent comme

    , ,

    reprsentant une priode dchantillonnage (Figure I.2).

    Exemple: le comportement dun filtre numrique rponsexprimer par la relation suivante:

    O xi reprsente un chantillon dentre, coefficient du filtre.

    Techniques de modlisation des circuits

    6

    !

    " #"

    est mathmatiquement reprsent par une quation aux diffrences. Les variables dpendantes sont valeurs relles, mais la variable indpendante est discrte (usuellement un multiple entier dune unit de base). Un comportement discret peut tre

    o , et $

    reprsentant une priode dchantillonnage (Figure I.2).

    Exemple: le comportement dun filtre numrique rponse impulsionnelle finie peut sexprimer par la relation suivante:

    % & ' &( ' &)() '

    reprsente un chantillon dentre, yi reprsente un chantillon de sortie et

    Figure I.2: Comportement discret [2].

    Figure I.3: Comportement logique [2].

    de modlisation des circuits

    (I.5)

    r une quation aux diffrences. Les variables dpendantes sont valeurs relles, mais la variable indpendante est discrte (usuellement un multiple entier dune unit de base). Un comportement discret peut tre

    (I.6)

    se impulsionnelle finie peut

    (I.7)

    reprsente un chantillon de sortie et ai est un

  • Chapitre I Techniques de modlisation des circuits

    7

    On distingue les comportements logiques combinatoires dont les fonctions sexpriment par des quations boolennes sans dlai, les comportements logiques asynchrones qui sont des comportements logiques combinatoires avec dlais et les comportements logiques synchrones qui incorporent une fonction de mmorisation dtats squence par un signal dhorloge.

    Les dlais reprsentent la variable indpendante, le temps, et sexpriment comme des multiples entiers dune unit de base appele temps de rsolution minimum. Le comportement est dirig par les vnements, cest--dire que ltat dun systme logique nest dfini quen fonction de changements dtats des variables dentre (signal dhorloge compris). Exemple dquations boolennes combinatoires:

    * & + , + -. (I.8) - & , ' & -. ' & -. (I.9)

    Exemple dquations boolennes synchrones (lindice n dnote le pas temporel):

    & / + /(00000000000000 (I.10)

    , /( + /()0000000000000000000 (I.11)

    - & , (I.12)

    Dans lexemple prcdant, lhorloge est implicite. La variable b est par exemple gal la variable i retarde de deux cycles.

    I.5 Types de formalismes

    On prsente ici un certain nombre de formalismes qui peuvent tre utiliss pour reprsenter des modles de systmes analogiques ou numriques.

    I.5.1 Rseaux de Kirchhoff

    La thorie des rseaux de Kirchhoff permet de dfinir des modles de circuits lectriques, et mme des systmes non lectriques par analogie entre grandeurs physiques, comme des modles mathmatiques obissant aux rgles suivantes: Un rseau de Kirchhoff est une connexion dun nombre fini dlments,

    Un lment possde un certain nombre de bornes (terminaux),

    A chaque borne dun lment sont associes deux fonctions valeurs relles dune variable relle, le temps: le potentiel v(t) de la borne et le courant i(t) pntrant dans la borne,

  • Chapitre I Techniques de modlisation des circuits

    8

    Un ensemble de bornes connectes forme un nud. Les bornes connectes au mme nud ont le mme potentiel. La somme des courants pntrant par les bornes connectes au mme nud est nulle,

    Un lment est caractris par un certain nombre de relations constitutives indpendantes entre les potentiels et les courants ses bornes. La somme des courants pntrant par les bornes de llment est nulle. Les relations constitutives ne font intervenir que les diffrences de potentiel, ou tensions, entre les bornes. Les relations constitutives peuvent tre linaires ou non linaires,

    Un rseau de Kirchhoff na pas de dimension; on suppose quil y a propagation instantane des phnomnes. Ce formalisme nest donc plus valable si le circuit fonctionne hautes frquences,

    Les contraintes topologiques imposes aux nuds dun rseau de Kirchhoff imposent la conservation de lnergie dans le rseau: la somme des puissances dissipes dans les branches du rseau est nulle.

    La Figure I.4 illustre la reprsentation dun circuit amplificateur MOS au moyen dun rseau de Kirchhoff. Les lments complexes (transistors, diodes) sont eux-mmes modliss comme des circuits quivalents forms dlments simples (rsistances, capacits, sources).

    Figure I.4: Amplificateur CMOS reprsent par un rseau de Kirchhoff [2].

  • Chapitre I Techniques de modlisation des circuits

    9

    I.5.2 Diagrammes de blocs

    Les diagrammes de blocs offrent un formalisme plus abstrait pour lequel les lois de conservation de lnergie ne sont plus considres. Un diagramme de blocs est une connexion dun nombre fini de blocs, chaque bloc possdant des ports dentre et de sortie. Un bloc est caractris par un ensemble de relations entres-sorties indpendantes, par ex.: sommation, fonction de transfert, intgration. Les relations entres-sorties peuvent tre linaires ou non linaires.

    La Figure I.5 illustre un systme de contrle reprsent par un diagramme de blocs. On distingue des blocs fonctionnels ralisant des fonctions de transfert (multiplicateur, drivateur, intgrateur) et des nuds ralisant des sommations/soustractions de signaux.

    I.6 Macro-modle

    Un macro-modle est une reprsentation simplifie, mais prcise, dun circuit ou dun systme un niveau dabstraction donn. Un macro-modle est trs souvent dfini au niveau lectrique, ou circuit. Un macro-modle est usuellement bas sur la topologie ou la structure du circuit original, mais comporte beaucoup moins de composants car il modlise principalement les caractristiques vues de lextrieur du circuit. Le rsultat est quun macro-modle est simul plus rapidement que le modle original. Il est aussi possible de dfinir un macro-modle comportemental sous la forme dun ensemble dquations simplifies. Un exemple type de macro-modle est le modle de Boyle de lamplificateur oprationnel (Figure I.6). Le modle comprend trois tages. Ltage dentre a t driv par simplification de ltage dentre du circuit rel (lAO 741), do la paire diffrentielle. Les deux autres

    Figure I.5: Systme de contrle (rgulateur PID) reprsent par un diagramme de blocs.

    + +

    +

    Kp

    KdP

    1/Kip

    11 ' &. 2 ' ,. 2)

    +

    1

  • Chapitre I Techniques de modlisation des circuits

    10

    tages ont t drivs par des techniques constructives (build-up) utilisant des lments simples comme des sources commandes. Le circuit rel comporte environ 25 transistors.

    Il nest par contre pas toujours facile, ni mme possible, de dfinir un macro-modle suffisamment prcis. Une raison majeure est quun macro-modle est construit partir dun ensemble limit de primitives. Il existe toutefois des techniques numriques ou symboliques pour driver un macro-modle partir dun circuit complet.

    I.7 Simulation lectrique

    La rfrence en matire de simulateur analogique de circuits intgrs est le programme SPICE, dvelopp luniversit de Berkeley. Il a donn lieu de nombreuses versions industrielles bases sur un mme langage de description structurelle, nomm dans ce document langage SPICE. Une bibliothque de composants modliss dans le code mme du simulateur est fournie et comporte des lments passifs (rsistances, capacits, inductances, inductances mutuelles), des composants semi-conducteurs (diodes, transistors bipolaires, effet de champ JFET et MOSFET), des sources idales indpendantes de tension et de courant et enfin des sources idales contrles polynmiales (sources de tension ou courant contrles par des tensions ou des courants). Lcriture de nouveaux modles de composants est une tche difficile de programmation, qui dpend des algorithmes utiliss par le simulateur. Elle est donc rserve des spcialistes. Plusieurs types danalyse peuvent alors tre raliss pour tudier le comportement du circuit:

    Ltude du point de fonctionnement du circuit ou analyse DC qui correspond une tude en rgime permanent,

    Figure I.6: Macro-modle de Boyle de lamplificateur oprationnel [2].

  • Chapitre I Techniques de modlisation des circuits

    11

    Ltude de la rponse temporelle dite analyse transitoire,

    ltude de la rponse frquentielle ou petits signaux (analyse AC), pour laquelle le circuit est linaris autour du point de fonctionnement,

    les analyses de bruit, gnralement frquentielles, mais il existe aussi des techniques de simulation transitoire de bruit, utilises par exemple dans le simulateur Eldo,

    Ltude de la sensibilit, qui consiste en la dfinition du pourcentage de variation de grandeurs lectriques du circuit en fonction de certains paramtres de conception, en linarisant le circuit autour dun point de polarisation,

    La dfinition des ples et zros, la suite dune analyse frquentielle, par exemple par lalgorithme QZ de recherche de valeurs propres,

    Les analyses statistiques de type Monte-Carlo afin de dterminer la dispersion des performances du circuit en fonction des fluctuations statistiques de paramtres de conception. Un grand nombre de simulations sont ici requises. Cette tude permet ensuite de dfinir la valeur nominale des composants pour obtenir un rendement optimal.

    I.7.1 Techniques de simulation

    Un, des objectifs de la simulation est de vrifier la correcte fonctionnalit du systme. Dans le domaine lectrique, la simulation permet la validation dun circuit lectrique quelle que soit sa nature numrique ou analogique ou les deux en mme temps. Pour un circuit numrique, nous utilisons la logique discrte (0, 1, X, etc.). Pour un circuit analogique, nous utilisons la proprit de temps continu pour dcrire le comportement du circuit en utilisant des composantes de base (rsistance, capacit, etc.). Le simulateur est lun des outils essentiels daide la conception assiste par ordinateur (computer-aided-design : CAD) dans lindustrie daujourdhui, pour atteindre les objectifs spcifis le plus vite et plus efficacement possible. Cest pourquoi, le processus de la simulation demande quatre ensembles de donnes et de programmes :

    Le moyen pour dcrire le systme simuler (Langage de description),

    La description du systme (Modle),

    La description des entres et sorties (E/S) du systme (Test Bench),

    Le mcanisme de simulation du systme qui a t conu (Simulateur).

  • Chapitre I Techniques de modlisation des circuits

    12

    I.7.1.1 Simulation logique

    La simulation logique est une technique de simulation rapide base sur lvaluation de fonctions logiques et la propagation dvnements dans le modle. Les signaux ne peuvent prendre quun nombre fini dtats et le temps est reprsent par une valeur discrte, un multiple entier dune unit de base appele temps de rsolution minimum (MRT - minimum resolvable time). Un vnement est un changement de valeur sur un signal. La Figure I.7 donne lalgorithme gnral de la simulation dirige par les vnements (event-driven simulation).

    I.7.1.2 Simulation analogique

    La simulation analogique est beaucoup plus complexe que la simulation logique et requiert ainsi plus de ressources (temps de calcul, mmoire). La simulation analogique implique la rsolution dquations diffrentielles et algbriques linaires et non linaires. Les solutions sont des tensions entre les nuds du circuit et les courants dans les branches du circuit. Normalement seulement un sous-ensemble de toutes les tensions et de tous les courants est requis.

    Initialisation

    Avancer le temps au prochain vnement

    Evnement(s) ?

    Mise jour des signaux

    Evaluation des instructions /composants Sensibles aux signaux mise jour

    Stop Enregistrement des nouveaux vnements

    Oui

    Non

    Figure I.7: Algorithme gnral de simulation dirige par vnements [2].

  • Chapitre I

    La simulation analogique permet plusieurs types danalyses. analysis) calcule les rponses temporelles du circuit (tensions et courants en fonctitemps) relativement un ensemble de stimulis (sources et conditions initiales). La Figure 1.8 illustre un extrait de la rponse temporelle dun circuit additionneur. Les stimulis sont dfinis comme une forme donde pulse priodique avec des temps dnuls (en haut). La rponse est un ensemble de formes dondes dont seuls un certain nombre de points sont effectivement calculs par la rsolution des quations du circuit. Les formes dondes affiches (telle que celle du bas) sopoints calculs. Il faut noter que lintervalle entre les points calculs nest pas constant pour

    des raisons de prcision qui seront expliques plus loin [2

    Lanalyse DC (direct currentaprs un temps infiniment long (repos, ou de polarisation, du circuit, des fonctions de transfert, la rsistance dentre et de sortie du circuit, les sensibilits de variables de sortie en fonction de paramtres du circuit. La Figure I.9 illustre un rsultat possible de lanalyse DC. Il sagit de la caractristique de transfert id = f(uds, ugs) dun transistor MOS obtenue par plusieurs anpour des variations des tensions

    Figure I.8

    Techniques de modlisation des circuits

    13

    La simulation analogique permet plusieurs types danalyses. Lanalyse temporelle ) calcule les rponses temporelles du circuit (tensions et courants en foncti

    temps) relativement un ensemble de stimulis (sources et conditions initiales). La Figure 1.8 illustre un extrait de la rponse temporelle dun circuit additionneur. Les stimulis sont dfinis comme une forme donde pulse priodique avec des temps de monte et de descente non nuls (en haut). La rponse est un ensemble de formes dondes dont seuls un certain nombre de points sont effectivement calculs par la rsolution des quations du circuit. Les formes dondes affiches (telle que celle du bas) sont obtenues par interpolation linaire entre les points calculs. Il faut noter que lintervalle entre les points calculs nest pas constant pour

    qui seront expliques plus loin [2].

    direct current) calcule ltat du circuit pour un ensemble de stimulis fixes aprs un temps infiniment long (steady state). Lanalyse DC est utile pour calculer le point de repos, ou de polarisation, du circuit, des fonctions de transfert, la rsistance dentre et de

    u circuit, les sensibilits de variables de sortie en fonction de paramtres du circuit. La Figure I.9 illustre un rsultat possible de lanalyse DC. Il sagit de la caractristique de

    ) dun transistor MOS obtenue par plusieurs analyses du point de repos pour des variations des tensions uds et ugs.

    .8: Rponse temporelle dun additionneur (extrait)

    de modlisation des circuits

    Lanalyse temporelle (transient ) calcule les rponses temporelles du circuit (tensions et courants en fonction du

    temps) relativement un ensemble de stimulis (sources et conditions initiales). La Figure 1.8 illustre un extrait de la rponse temporelle dun circuit additionneur. Les stimulis sont dfinis

    e monte et de descente non nuls (en haut). La rponse est un ensemble de formes dondes dont seuls un certain nombre de points sont effectivement calculs par la rsolution des quations du circuit. Les formes

    nt obtenues par interpolation linaire entre les points calculs. Il faut noter que lintervalle entre les points calculs nest pas constant pour

    le ltat du circuit pour un ensemble de stimulis fixes ). Lanalyse DC est utile pour calculer le point de

    repos, ou de polarisation, du circuit, des fonctions de transfert, la rsistance dentre et de u circuit, les sensibilits de variables de sortie en fonction de paramtres du circuit. La

    Figure I.9 illustre un rsultat possible de lanalyse DC. Il sagit de la caractristique de alyses du point de repos

    Rponse temporelle dun additionneur (extrait) [2].

  • Chapitre I

    Lanalyse AC (alternative currentpetits signaux sinusodaux appliqus autour du point de repos du circuit. Lanalyse Autile pour calculer des fonctions de transfert (p. ex. gain en tension) en fonction de la frquence et des conditions de polarisation du circuit. Elle est aussi utile pour analyser linfluence du bruit et dterminer les caractristiques de distorsionillustre un rsultat possible de lanalyse AC. Il sagit du calcul du gain en dB et de la phase dun amplificateur.

    I.7.1.3 Simulation mixte logique

    La Table I.1 rcapitule les caractristiques princisimulation analogique.

    Figure I.9: Courbes de transfert DC i

    Figure I.10

    Techniques de modlisation des circuits

    14

    alternative current) calcule les rponses frquentielles du circuit en rgime de petits signaux sinusodaux appliqus autour du point de repos du circuit. Lanalyse Autile pour calculer des fonctions de transfert (p. ex. gain en tension) en fonction de la frquence et des conditions de polarisation du circuit. Elle est aussi utile pour analyser linfluence du bruit et dterminer les caractristiques de distorsion du circuit. La Figure I.10 illustre un rsultat possible de lanalyse AC. Il sagit du calcul du gain en dB et de la phase

    I.7.1.3 Simulation mixte logique-analogique

    La Table I.1 rcapitule les caractristiques principales de la simulation logique et de la

    Courbes de transfert DC id = f (uds, ugs) dun transistor MOS

    Figure I.10:Gain et phase dun amplificateur

    de modlisation des circuits

    ) calcule les rponses frquentielles du circuit en rgime de petits signaux sinusodaux appliqus autour du point de repos du circuit. Lanalyse AC est utile pour calculer des fonctions de transfert (p. ex. gain en tension) en fonction de la frquence et des conditions de polarisation du circuit. Elle est aussi utile pour analyser

    du circuit. La Figure I.10 illustre un rsultat possible de lanalyse AC. Il sagit du calcul du gain en dB et de la phase

    pales de la simulation logique et de la

    ) dun transistor MOS [2].

    eur [2].

  • Chapitre I Techniques de modlisation des circuits

    15

    Caractristique Simulation logique Simulation analogique Variables/inconnues Signaux logiques Tensions, courants, etc. Valeurs des inconnues

    Quantifies (0, 1, X, Z, etc.)

    Relles

    Calcul de ltat du circuit/modle

    Evaluation de fonctions logiques

    Rsolution dquations diffrentielles algbriques non linaires Etat initial (t = 0)

    Pas ncessairement un tat stable

    Etat stable (point de repos DC) requis Itrations un temps

    donn

    Affectation de signaux avec dlais nuls (dlai delta)

    Rsolution de systmes non linaires

    Reprsentation du temps

    Discret, multiple du MRT

    Relle

    Gestion du temps

    Dirige par vnements

    Continue avec pas dintgration variable Contrle du pas

    temporel

    Evnements sur les signaux

    Erreur de troncature locale ou quivalent Types danalyses

    Temporelle

    Temporelle, DC, AC

    Au vu de cette table, la simulation mixte logique-analogique doit rsoudre les problmes suivants:

    Conversions entre valeurs logiques et analogiques. Il sagit de dfinir des conversions qui aient un sens physique et qui naboutissent pas une perte de prcision ou des non convergences durant la simulation. Il faut noter que ces conversions ne sont que des artefacts de la simulation mixte et ne constituent pas des composants physiques dans le circuit, Etat initial ( t = 0) du circuit/modle. Lanalyse temporelle en simulation analogique requiert le calcul dun point de repos DC correspondant une solution des quations du circuit. Si ce nest pas le cas, la suite de lanalyse a de fortes chances de diverger ou au mieux dtre incorrecte. La simulation logique nest pas aussi svre car lavancement du temps mettra le circuit dans le bon tat, Gestion du temps. Non seulement le temps est reprsent diffremment en simulation logique et en simulation analogique, mais il est gr selon des critres qui ne sont pas communs. Il sagit donc de dfinir des points de synchronisation entre les deux chelles de temps de manire prendre en compte correctement les interactions logiques-analogiques, Support des analyses possibles en simulation analogique. Seule lanalyse temporelle est rellement applicable de manire commune aux deux modes. Il sagit donc de dfinir ltat de la partie logique lorsque lon veut procder une analyse DC ou AC de la partie analogique. Une manire simple est de considrer la partie logique comme stable, cest--dire que les signaux logiques agissant linterface logique-analogique doivent tre considrs comme des sources constantes (aprs conversion des valeurs logiques en valeurs analogiques).

    Table I.1:Rcapitulation des caractristiques de la simulation logique et analogique [2].

  • Chapitre I Techniques de modlisation des circuits

    16

    La Figure I.11 prsente un exemple dinterface analogique-logique capable de convertir une valeur analogique (tension ou courant) en une grandeur logique trois tats 0, 1 et X. Le cycle dhystrsis permet de prendre en compte du ct logique les transitions entre tats stables [2].

    I.8 Modlisation

    La validation dun systme lectronique, quil soit intgr sur une puce ou ralis sous forme de cartes, est encore essentiellement base sur des logiciels de simulation. Ceux-ci font appel des modles des diffrents lments utiliss, qui en dcrivent le comportement, cest dire les relations entre les signaux prsents sur les points dentre/sortie (E/S). Ainsi, lors de la conception de circuits intgrs tels quun amplificateur oprationnel ou une porte logique, des modles de composants (transistors, diodes, rsistances, capacits,...) sont utiliss par un simulateur lectrique, dit analogique (le programme SPICE est sans doute le plus connu). Ces modles dcrivent les relations macroscopiques entre tensions et courants des diverses bornes, sous forme dquations diffrentielles. Il sagit donc dune reprsentation mathmatique de phnomnes physiques auxquels obissent les composants. Il existe deux approches permettant dobtenir des modles plus ou moins prcis dun composant ou dune fonction lectronique :

    Lapproche physique consiste utiliser les modles cres par les concepteurs de circuit intgrs et de modifier uniquement les paramtres. Cette approche peut tre dfinie partir de paramtres lectriques (calculs partir de mesures statiques, dynamiques, frquentielles) ou partir de paramtres technologiques caractristiques du processus de fabrication et de la gomtrie du composant. Lapproche comportementale consiste modliser un composant ou un circuit par lvolution de ses entres/sorties en rponse diffrents stimuli.

    Figure I.11: Exemple dinterface analogique-logique.

    Vs

    Ve

    1

    x

    0

    UBas UHaut

  • Chapitre I Techniques de modlisation des circuits

    17

    I.8.1 Objectif de la modlisation Elle a pour but de caractriser par une fonction mathmatique ou un modle

    numrique les diffrents composants qui constituent le circuit. Cest la partie la plus dlicate du processus puisque des modles simplifis diminuent la prcision, tandis que des modles labors consomment beaucoup en mmoire et en temps de calcul [3].

    I.8.2 Mthodologie de modlisation des circuits analogiques

    Pour des raisons vues prcdemment, la modlisation structurelle ne semble pas tre adapte la simulation de systmes analogiques complexes. Pour pouvoir simuler et synthtiser de tels systmes, il est ncessaire de crer des bibliothques de modles comportementaux des fonctions lmentaires afin de rduire le temps de calcul. Les modles seront dabord raliss dans le cas du composant idal, puis nous introduisons progressivement les influences de chaque paramtre sur le comportement du composant ou du circuit.

    Lobjectif est de modliser les fonctions analogiques lmentaires et de les remplacer par leur modle reprsentatif dans des simulateurs globaux. Crer une bibliothque de fonction de base permettrait au concepteur de choisir, de manire rapide et prcise, les modles des diffrentes fonctions qui composent son circuit.

    Il serait possible de concevoir des modles trs complets utilisables dans nimporte quelle zone de fonctionnement. Cependant, ces modles trs difficiles mettre en uvre consomment beaucoup de temps de calcul et ne reprsentent pas lapplication de manire prcise [4].

    I.8.3 Exemples analogiques et digitaux

    Les modules numriques sont ainsi dcrits par des quations boolennes, des tables de vrit ou des tables dtats en prcisant les temps de propagation entre E/S. Les signaux manipuls ne sont plus lectriques mais abstraits: des bits dfinis par des tats logiques (0/1/ indtermin) ou des mots de bits ou mme des fichiers de donnes peuvent tre transmis entre modles. Un autre type de simulateur, dit digital, est ici utilis: son fonctionnement est souvent dirig par les vnements que constituent les changements dtat des signaux.

    Dans le domaine analogique, les signaux restent des grandeurs lectriques, fonctions continues du temps (ou de la frquence). Les modles comportementaux analogiques peuvent tre reprsents par un ensemble simplifi dquations diffrentielles, des fonctions mathmatiques non-linaires ou linaires par morceaux ou des tables de donnes. Notons que

  • Chapitre I Techniques de modlisation des circuits

    18

    le terme de macromodlisation est couramment employ en analogique. Il dsigne en fait une mthode particulire de modlisation comportementale qui consiste en une simplification du schma et, essentiellement, en lutilisation de sources idales contrles, proposes par les simulateurs de type SPICE, pour exprimer des relations entre tensions et courants. Cette approche est cependant assez limite aux primitives du simulateur, introduit des effets parasites et peut poser des difficults de convergence [4].

    I.8.4 Modles et primitives

    Le modle Le modle dun systme est une reprsentation de son comportement laide de

    laquelle le simulateur comprend et procde des calculs. Un modle doit tre le plus fidle et le plus exact possible, cest le plus important critre de la modlisation. Mais crire un modle exact est la tche la plus difficile.

    Les primitives Tous les simulateurs analogiques proposent aux utilisateurs un jeu de composants de

    base permettant de dcrire la topologie dun circuit lectrique. Ces lments de base sont appels les primitives du simulateur. Ces composants sont entre autre, les lments actifs comme les diodes et les transistors (bipolaire, MOS, JFET), les lments passifs comme les rsistances, les capacits, les inductances, et les sources de courant et de tension (Gvalue, Evalue...); ce sont des lments idaux qui permettent dexprimer facilement des relations mathmatiques entre tensions et courants dans le domaine frquentiel ou temporel. Cependant, chaque simulateur possde sa propre syntaxe, ce qui freine lutilisation de ces fonctions si le but est lchange des macro-modles [3].

    I.8.5 Techniques de modlisation

    Nous pouvons distinguer principalement trois techniques de modlisation qui sont :

    I.8.5.1 Modlisation structurelle

    La modlisation structurelle consiste dcrire le composant ou le circuit par sa structure, cest dire par les lments qui le dcrivent (capacit, rsistance, diode, etc.). Le modle structurel saligne ainsi sur la bibliothque du fondeur ou du fabriquant de circuit intgr. Il prend en compte les paramtres technologiques utiliss en fabrication. La modlisation structurelle utilise les sous circuits du simulateur et demande un temps danalyse trop important lors de la simulation des systmes complexes.

  • Chapitre I Techniques de modlisation des circuits

    19

    Linconvnient vient de la taille des circuits. Certains circuits analogiques (amplificateur oprationnel) contiennent plusieurs centaines de transistors et autres composants ; ceci augmente considrablement le nombre de nuds, et par la suite la taille de la matrice traiter par le simulateur do le temps de calcul est grand [3].

    I.8.5.2 Macromodlisation

    La macromodlisation consiste dcrire le comportement dun circuit par lutilisation des primitives dun simulateur. Le but essentiel de la macromodlisation est de rduire la taille du circuit et ainsi rduire le temps de simulation. En outre, il faut prendre garde ce que le nombre de nuds impos par le macro-modle ne dpasse pas celui quimpose le circuit modliser, sinon il naura aucun profit sur lencombrement et la taille des matrices qui sont gnres, alors les modles obtenus par cette approche permettent de rduire considrablement les temps de simulations [1].

    L'objectif principal de la macromodlisation est de remplacer un systme lectronique ou une partie de ce systme (une fonction ou un dispositif actif) par un modle afin de rduire significativement le temps requis par les nombreuses simulations lectriques effectues en phase de conception. Pour ce faire, un macro-modle doit rpondre deux exigences conflictuelles: il doit tre structurellement le plus simple possible et en mme temps simuler le comportement du circuit avec le maximum de prcision.

    Les macro-modles sont construits partir dun nombre rduit de composants. Les composants utiliss sont des composants primitifs du simulateur. Nous pouvons inclure des lments passifs (rsistance, capacit, etc.), des sources dpendante et indpendante de type courant ou de tension linaire ou non, statique, temporelles ou frquentielles qui sont intgrs dans le module ABM (Analog Behavioral Modeling) de PSPICE [3].

    La mthode de macromodlisation consiste utiliser trois blocs de base composs par (Figure I.12) [5] :

    1. Un tage dentre pour implanter limpdance dentre, 2. Un tage correspondant la fonction principale (fonction de transfert du circuit), 3. Un tage de sortie pour implanter limpdance de sortie.

    Figure I.12: Mthodologie de base de la macro-modlisation [5].

    + +

    Fonction principale Etage de sortie Etage dentre

    Entre Sortie Caractristique dentre

    La fonction de transfert et la non-linarit du systme

    Caractristique de sortie

  • Chapitre I Techniques de modlisation des circuits

    20

    I.8.5.2.1 Avantage et inconvnient

    Lavantage majeur de la macromodlisation, cest quelle ne ncessite pas lapprentissage dun langage de programmation mais une bonne connaissance dun simulateur analogique base de SPICE, ces composants disponibles, et une bonne connaissance des fonctions raliser. Avec la macromodlisation, nous pouvons alors raliser un grand nombre de fonctions : fonctions mathmatiques, filtres, dtecteurs de phase, modulateurs damplitude et de largeurs dimpulsions, oscillateur, convertisseur frquence-tension, comparateurs, amplificateurs et boucle verrouillage de phase. Cependant, malgr les avantages et la simplicit apparente, la macromodlisation pose un certain nombre de limitations :

    La non-linarit des composants et la tension de dcalage dans la diode par exemple qui posent certains problmes qui ne sont pas ngligeables,

    Problme de convergence d la discontinuit ou au rebouclage des certains circuits,

    Paramtrage des composants qui nexiste pas dans SPICE de base, mais nous pouvons le trouver dans les drivs de SPICE comme par exemple dans Eldo, SmartSpiceTM, PSPICE, etc.

    Plage limite du fonctionnement du macro-modle, problme de paramtrage des composants (SPICE3),

    La limitation des circuits analogiques [5].

    I.8.5.2.2 Mthodologie de la macromodlisation

    Pour dcrire de faon structurelle, SPICE a dfini un langage pour la modlisation des fonctions analogiques appel macromodlisation. Ce type de modlisation est utilis comme une modlisation comportementale. Les macro-modles sont implantables sur nimporte quel outil de simulation base de SPICE. Nous savons tous que le rseau ou circuit lectrique dcrit en netlist de type SPICE est analys par le simulateur lui-mme pour construire un systme dquations bases sur les lois de Kirchhoff, donc, bases sur une loi de conservation dnergie et sur les quations des composants. La macromodlisation consiste remplacer une partie dun circuit ou dun systme par un autre modle structurel plus simple (nombre de nuds rduit) et plus prs du circuit initial. Dans un autre sens, la macromodlisation consiste satisfaire des spcifications externes sans regarder la topologie initiale du circuit. Le choix de lun ou de lautre, dpend

  • Chapitre I Techniques de modlisation des circuits

    21

    du niveau dabstraction. Le but essentiel de la macromodlisation est de rduire la taille du circuit et ainsi de rduire le temps de simulation. Les macro-modles sont construits partir dun nombre rduit de composants idaux. Les composants utiliss sont des composants primitifs de SPICE. Nous pouvons inclure des lments passifs (rsistance, capacit, etc.), des sources dpendantes et indpendantes, et des modles non linaire de bas niveau comme les diodes et les transistors bipolaires ou MOS de niveau 1 de SPICE. Les sources contrles (sources dpendantes) sont des lments idaux qui permettent dexprimer des relations mathmatiques entre les courants et les tensions. Il est possible de dfinir grce loutil ABM de nombreuses quations non linaires pour un courant ou une tension partir de 2 primitives : EVALUE Source de tension contrle et GVALUE Source de courant contrle, sous la forme suivante [1]: 1/ Ename N+ N- VALUE = {control expression} 2/ Gname N+ N- VALUE = {control expression}

    Une fonction TABLE permet galement de dfinir les caractristiques statiques de ces sources point par point :

    1/ Ename N+ N- TABLE {input expression} = (input1, Vout1) (input2, Vout2) . . 2/ Gname N+ N- TABLE (input expression} = (input1, Iout1) (input2, Iout2) . . .

    Alors SPICE dfinit quatre types de sources contrles : Source de tension contrle par une tension (VCVS), de la forme :

    VE = EVC (linaire), VE = e(VC) (non-linaire).

    Source de tension contrle par un courant (CCVS), de la forme : VH = HIC (linaire), VH = h(IC) (non-linaire).

    Source de courant contrle par un courant (VCCS), de la forme : IG= GIC (linaire), IG = g(IC) (non-linaire).

    Source de courant contrle par une tension (CCCS), de la forme : IG= GIC (linaire), IG = g(IC) (non-linaire).

    Il est possible galement de modliser une fonction de transfert partir de sa Transforme de

  • Chapitre I Techniques de modlisation des circuits

    22

    Laplace ou de son diagramme de Bode en amplitude et en phase. La premire approche requiert lutilisation de sources de type ELAPLACE et GLAPLACE :

    1/ Ename N+ N- LAPLACE {input expression} ={S form expression} 2/ Gname N+ N- LAPLACE {input expression} ={S form expression}

    Pour la deuxime approche, une fonction de type TABLE pour le domaine frquentiel est aussi disponible : utilisation de sources de type EFREQ et GFREQ Les lments passifs (capacit, inductance, etc.) sont utiliss pour raliser des oprations de drivation et dintgration. Ces oprations de base sont utilises pour dcrire des fonctions de

    transfert en s.

    La caractristique non-linaire des diodes est utilise comme un oprateur conditionnel. Les diodes sont utilises dans des comparateurs, des limiteurs de tension, etc. Cependant, les diodes introduisent un dcalage de tension (Figure I.13) et elle est de la forme :

    3 4 35 67 89:9; 1< (I.13)

    Avec :

    V : Tension au borne de la diode (Volt), N : Coefficient dmission, VT : Tension thermique (k.T/q) (Volt) IS : Courant de saturation (A) ID : Courant travers la diode (A)

    Lquation (I-13) montre que la tension aux bornes de la diode est affecte principalement par deux paramtres : N et IS. Parmi ces deux paramtres, le coefficient dmission (N) est le plus dominant. Ce coefficient reprsente un avantage pour rduire cette tension parasite. Quand le coefficient dmission est infrieur 1 la tension au borne de la diode est rduite (Figure I.13) [5].

  • Chapitre I Techniques de modlisation des circuits

    23

    Parfois, et en fonction des options de la simulation, cette mthode peut crer des problmes de convergence. Pour remdier a, nous pouvons ajouter en srie une source de tension fixe de mme valeur que la tension de dcalage.

    I.8.5.3 Modlisation comportementale

    La modlisation comportementale permet de rduire les temps de conception et de concevoir des circuits de plus grande qualit pour deux raisons essentielles :

    La simulation comportementale dun circuit complexe est beaucoup plus rapide quune simulation effectue avec une description transistors: le concepteur peut donc mieux vrifier le fonctionnement du circuit,

    La description comportementale de chaque bloc du circuit conduit une dfinition trs prcise de ses spcifications, ce qui permet dviter des erreurs de conception et dobtenir un circuit optimal.

    I.9 conclusion

    Ce chapitre a permis de mettre en valeur les buts de la modlisation comportementale: rduire les temps de simulation et permettre ltude de systmes complexes analogiques / digitaux, amliorer la qualit de la conception par application de la mthodologie de conception hirarchique descendante (top-down) associe une validation ascendante (bottom-up), et mme faciliter la simulation et le diagnostic de fautes.

    Les modles seront dabord raliss dans le cas du composant idal, puis nous introduisons progressivement les influences de chaque paramtre sur le comportement du composant ou du circuit.

    Figure I.13: Caractristique dun modle SPICE dune diode avec diffrentes valeurs de coefficient dmission (N) [5].

  • Chapitre II

    Langage de modlisation

    et simulateur

  • Chapitre II Langage de modlisation et simulateur

    24

    II.1 Introduction

    La simulation lectrique est une mthode largement employe dans lindustrie lectronique et microlectronique en particulier. En effet, la complexit des calculs manuels qui caractrise la prise en considration des divers phnomnes physiques rgissant le fonctionnement des dispositifs rend le recours la simulation lectrique une ncessit absolue voir inluctable.

    Lobjectif principal de la modlisation est dexpliquer le mode opratoire pour crer un modle de composants partir dun schma quivalent base dlments discrets (rsistance, condensateur, bobine, diode, transistor) ainsi que de blocs fonctionnels (Evalue, Gvalue, Etable, Sum, Diff, Integ ) puis dfinir un symbole quivalent associ un macro modle utilisable dans les simulations.

    II.2 Choix du langage de modlisation

    Aprs avoir dtermin, grce aux tudes du prcdent chapitre, les techniques et les niveaux de modlisation ncessaires, il nous a fallu dterminer le langage de modlisation le mieux adapt nos besoins. Les langages susceptibles d'apporter une rponse aux problmes de modlisation sont nombreux, mais peu rpondent nos attentes [6].

    II.2.1 Langage de programmation oriente objet Ces langages tels que C++, Visual Basic ou Java sont des langages volus aux

    possibilits quasi-infinies du fait mme qu'ils sont les briques de base pour la construction des applications. Les simulateurs en faisant partie, il est tout fait possible d'utiliser ces langages des fins de simulation. Cependant, puisque des simulateurs performants existent dj, et tant donn qu'il est souvent possible de faire interagir les HDL avec le langage C++, il n'apparat pas ncessaire d'en faire usage en tant que simulateur.

    II.2.2 Langage de modlisation numrique

    On retrouve dans cette catgorie les langages comme VHDL {IEEE 1076-2000}, Verilog {IEEE 1364-2001}, System C, Spec C et System Verilog. Ces langages trs efficaces sont aujourd'hui associs des outils qui permettent, moyennant une utilisation approprie, la synthse numrique de dispositifs complexes et le co-design pour les plus volus.

    II.2.3 Langage mathmatique formel explicite

    Un langage comme Matlab associ Simulink permet de proposer aux utilisateurs une reprsentation graphique sous forme de botes noires pouvant tre connectes les unes aux

  • Chapitre II Langage de modlisation et simulateur

    25

    autres et pouvant avoir des fonctions de transfert trs complexes grce aux possibilits mathmatiques trs pousses de Matlab. Dans cette conception de la modlisation, la gestion des quations implicites au sein d'un circuit (lois de Kirchhoff aux nuds du circuit) n'est pas assure. De ce fait, la conception d'un systme optolectronique complet l'aide de Matlab/Simulink n'est pas envisageable simplement.

    II.2.4 Langage de modlisation implicite ddie l'lectronique

    Dans cette famille incluant les langages comme SPICE, il n'est question que de modlisation lectrique analogique. Il s'agit alors pour les concepteurs de modles multi domaines de transfrer l'ensemble de leur dispositif dans le domaine lectrique par analogie. Cette dmarche est courante, mais peu pratique lorsque l'on sait que d'autres logiciels permettent de travailler directement dans les diffrents domaines de la physique. De plus, ce langage n'est pas capable d'assurer seul la modlisation des systmes mixtes, ce qui n'est pas le cas de certains de ses concurrents.

    II.2.5 Langage de modlisation analogique multi-domaines

    Cette famille contient deux langages aujourd'hui supplants par leurs "successeurs" : HDLA (qui s'est trouv redirig vers VHDL-AMS) et Verilog-A (qui a d galement s'orienter vers une technologie de simulation mixte sous la concurrence de VHDL-AMS avec Verilog-AMS). Il existe cependant dans cette famille de langages le MAST. A l'origine propritaire de Analog, pass depuis dans le domaine public, c'est un langage aux bases solides. En effet, depuis sa cration en 1986, ce langage de simulation multi-domaines, mais uniquement analogique, a su conqurir les industries n'ayant pas recours au numrique, comme en mcatronique ou en lectronique de puissance. Cependant, l'avnement des technologies numriques provoque de plus en plus d'incursions dans le domaine analogique (pour des structures de contrle par exemple). De ce fait, les logiciels de simulation associs au langage MAST comme SABER incluent le support et l'interfaage avec des langages comme VHDL. Intressant de devoir utiliser ce genre de langage propritaire alors que certains sont conus et normaliss pour les systmes mixtes.

    II.2.6 Langage de modlisation mixte multi-domaines

    On retrouve ici les langages Verilog-AMS et VHDL-AMS. Ces langages dont les bases numriques se trouvent environ 20 ans en arrire, ont bnfici rcemment (1998 pour

  • Chapitre II Langage de modlisation et simulateur

    26

    Verilog-AMS et 1999 pour VHDL-AMS) dextensions pour les signaux analogiques et mixtes (Analog and Mixed-Signal AMS). Comme leurs noms lindiquent, ces langages permettent de traiter indiffremment des modlisations logiques, analogiques ou mixtes au sein dun mme composant ou systme. Par ailleurs, la philosophie de conception de ces langages et leurs jeux dinstructions en font des langages intrinsquement multi-domaines qui grent les quations implicites lies au fonctionnement dun circuit.

    II.3 Mthode de modlisation VHDL-AMS De SPICE VHDL-AMS ... et rciproquement

    Au vu de ltude prcdente prsentant ltat des outils associs, la conception mixte peut senvisager, soit partir dun langage propritaire, soit partir dun outil norm.

    Mme si VHDL-AMS est un langage de description comportementale de haut niveau, il existe plusieurs faons de dcrire le fonctionnement dun systme ou circuit dans ce langage.

    En particulier, un certain nombre de publications ont pour objet la traduction pure et simple dun circuit SPICE structurel en VHDL-AMS. Par exemple, Kasula Srinivas a traduit des modles SPICE purement lectriques de composants actifs (diodes, transistors, bipolaires, transistors MOS) en VHDL-AMS sous forme dquations diffrentielles. Les simulateurs actuels compatibles SPICE obtenant et rsolvant directement ces dernires partir de la netlist, le temps de simulation est identique pour les deux descriptions comme nous avons pu le vrifier sur quelques exemples. On peut alors sinterroger sur le bien fond de cette simple traduction : elle ne profite par exemple pas des apports du langage en matire de description multi-technologique.

    Lintrt de VHDL-AMS dans le contexte prcis de la modlisation dun composant actif est justement de simplifier les modles lectriques en ne retenant que le strict ncessaire, et de les coupler entre autres des modles thermiques. Rciproquement, alors que les simulateurs VHDL-AMS nexistaient pas encore, une ide mise en uvre entre autres par Alali consistait traduire purement et simplement les modles VHDL-AMS en netlists SPICE, en matrialisant les quations diffrentielles par des composants passifs (rsistances, inductances et capacits). Ici, laspect compatibilit ascendante avec VHDL93 na pas t pris en compte.

  • Chapitre II Langage de modlisation et simulateur

    27

    II.4 OrCad PSPICE II.4.1 Dfinition

    OrCad PSpice est un logiciel de simulation mixte (analogique et/ou logique). La mise en uvre dune simulation repose principalement sur :

    une description des composants et des liaisons figurant sur un schma, sous forme de fichier Circuit ,

    Une description des signaux dentre appliqus sur le schma sous forme de fichier Stimulus ,

    une description des modles de simulation des composants sous forme de fichiers Modles .

    Une bonne explication est donne sur le schma de la figure II.1

    UTILISATEUR

    Bibliothque de SYMBOLES de composants

    CAPTURE Saisir le schma dun circuit

    PROBE Visualisez les signaux du circuit

    Bibliothque de MODELES de composants

    STIMULUS EDITOR Crer les signaux dentre

    PSPICE Analyser le circuit

    PROJET.STL

    *.LIB

    *.OLB

    PROJET.DSN

    PROJET.DAT

    Figure II.1: description pour OrCad PSPICE [7].

  • Chapitre II Langage de modlisation et simulateur

    28

    PSPICE est un logiciel de simulation de fonctionnement de circuits lectriques, initialement analogiques puis numriques ou mixtes. Il est issu du logiciel SPICE dvelopp en FORTRAN en 1970 par D. OPEDERSON et L.W.NAGEL l'universit de Berkeley. Depuis cette poque ci le cur du logiciel a peu vari il n'en est pas de mme de son environnement. SPICE avait une interface de type texte et le rsultat des simulations tait sous forme de grands tableaux de chiffres imprims sur de longs listings drouls dans le crpitement des tltypes... Pour simuler le fonctionnement d'un circuit lectrique, analogique pour le moment, plusieurs tapes sont ncessaires :

    1- Une description du circuit, les composants qui le constituent et leurs interconnexions. Ceci fait l'objet d'un fichier texte xxxx.CIR qui est soit crit par l'utilisateur grce un quelconque diteur de texte, soit construit automatiquement par le logiciel partir d'un schma trac sur l'cran.

    2- Une description des sources de tensions et courants qui sont relies au circuit, alimentations et signaux d'excitation (STIMULI). Dans les versions DOS cette description est galement contenue dans le fichier.CIR prcdent. 3- Le calcul de la rponse du circuit par le logiciel SPICE proprement dit. Plusieurs types de simulation sont possibles nous les dcrirons plus loin.

    4- L'exploitation des rsultats. Le temps des longs listings est rvolu, les rsultats sont prsents l'cran sous forme de courbes multicolores [7].

    II.4.2 Limitation de SPICE

    SPICE (Simulation Program with Integrated Circuit Emphasis) a t dvelopp lorigine par lUniversit de Californie, Berkeley. Le simulateur SPICE est considr en ralit comme un standard de fait quon sen sert pour analyser des circuits. Trs vite il devient loutil le plus efficace daide la conception. Pourtant, il comporte des limitations dans certains domaines :

    Modlisation mixte : le simulateur SPICE est temps continu, donc le modle conu doit tre temps continu. On a vu la ncessit dun langage qui permette dcrire des modles mixtes pour tenir compte des temps continu et discret la fois. SPICE ne peut pas supporter les reprsentations discrtes, et en consquence, il nest pas adapt pour la modlisation mixte, sauf au moyen dune macro-modlisation lourde.

  • Chapitre II Langage de modlisation et simulateur

    29

    Modlisation comportementale : la plupart du temps, cest un avantage, dune part, en terme de temps dexcution et de mmoire demande et dautre part, pour simuler une partie dun circuit dont le niveau de structure est trs dtaill (structural) ou moins dtaill (comportemental). SPICE dcrit explicitement le structurel et dcrit le comportemental implicitement pour un modle analogique, en consquence, le temps dexcution est trs long et il est trs gourmand en mmoire pour le stockage des dtails des composantes internes.

    Transmission de donnes : SPICE ne supporte que des systmes conservatifs comme par exemple les circuits lectriques qui obissent aux lois de Kirchhoff (loi des nuds et loi des mailles). En ce qui concerne les flots de donnes (non-conservatif), une faons de les reprsenter utilise le temps discret, or cette reprsentation nest pas supporte par SPICE.

    Transparence : il est souvent ncessaire de connatre le dtail primitif du modle qui nest pas explicit par le langage, pour pouvoir effectuer une reprsentation prcise du systme. Les modles qui sont btis dans SPCIE sont complexes et lutilisateur ne peut pas contrler les quations primitives quil contient, en consquence, les modles crits en langage SPICE. En utilisant les modles primitifs, cest comme une bote noire et il ne peut pas toujours dcrire le comportement du systme prvu [5].

    II.5 VHDL-AMS II.5.1 Histoire de VHDL-AMS

    N en 1987 du langage ADA (1979), VHDL {IEEE 1076-1987} est l'origine un langage de modlisation de systmes numriques. Complt et enrichi en 1993 {IEEE 1076-1993 et IEEE 1164-1993} et en 2000 {IEEE 1076-2000}, ce langage est bas sur une simulation vnementielle, et non temporelle, des systmes. Avec les extensions {IEEE 1076.3-1997, IEEE 1076.4-1995 et 1076.6-1999} de VHDL et les outils qui y sont progressivement associs, les concepteurs de modles arrivent jusqu' la synthse logique de circuits numriques partir de simples morceaux de code, crits de manire adquate, dcrivant les fonctions d'un systme. Alors que dans le mme temps, d'autres langages, tels MAST(1986) et SPICE (1969), permettent de grer des modlisations principalement analogiques, notamment dans les domaines de la mcatronique et de l'lectronique de puissance, il n'existe pas de langage permettant de modliser les systmes mixtes (numriques et analogiques) qui mergent avec l'apparition de l'lectronique numrique de commande. Aprs une rflexion d'une dizaine

  • Chapitre II Langage de modlisation et simulateur

    30

    d'annes associant chercheurs et industrielles, une extension dans le domaine analogique et mixte de VHDL est prsente en 1999 : VHDL-AMS [6].

    II.5.2 Avantage de VHDL-AMS

    Lorsque VHDL-AMS a t cr, il existait de nombreux langages de conception propritaires pour chaque fondeur ou fournisseur. Ceci tait un obstacle la communication entre domaines scientifiques et posait de graves problmes aux socits lorsqu'un intervenant de la chane venait disparatre ou tre remplac, car le portage des modles tait alors trs dlicat et ncessitait de nombreuses heures de travail supplmentaires. VHDL-AMS est quant lui un produit non propritaire et normalis par l'IEEE qui tend tre reconnu par le plus grand nombre. L'utilisation gnralise de ce langage facilite la communication entre les diffrents domaines scientifiques grce son approche multi domaines native qui permet aussi bien un lectronicien qu' un mcanicien ou mme un chimiste de modliser la partie d'un dispositif qui le concerne directement sans problmes de dialogue avec les autres parties. La grande force de ce langage est de permettre la simulation mixte en autorisant aussi bien les modlisations temps continu (analogiques) qu' vnements discrets (logiques) ou mlangeant les deux. A cette flexibilit d'emploi s'ajoute la possibilit pour les concepteurs d'aborder leurs modles diffrents niveaux d'abstraction. En effet, VHDL-AMS propose des mcanismes permettant de grer aussi bien les abstractions comportementales (c'est la fonction ralise par le systme qui est modlise et non sa physique), que les abstractions structurelles (le systme est divis en sous-ensembles qui peuvent eux-mmes tre modliss au moyen de diffrentes abstractions, ) ou bien de type work-flow (enchanement de blocs fonctionnels dont les entres n'ont pas d'influence sur les sorties des blocs prcdents). Les modles crs avec VHDL-AMS peuvent donc aussi bien tre descriptifs que prdictifs. Deux autres caractristiques de VHDL-AMS, dont chacune est partage avec quelques autres langages, sont le traitement des quations implicites (c'est--dire des quations o l'inconnue ne se trouve pas forcment dans le membre de gauche) et travers celles-ci, l'utilisation des lois de Kirchhoff gnralises, fondement des relations implicites entre les diffrents nuds d'un systme.

    II.5.3 Limite de VHDL-AMS

    VHDL-AMS n'est cependant pas mme de proposer des instructions rpondant tous les besoins des concepteurs de modles. Par exemple, l'utilisation des drivations

  • Chapitre II Langage de modlisation et simulateur

    31

    spatiales n'est pas prvue par le langage, ce qui rend dlicat les modlisations gomtriques. Seules les drivations temporelles sont acceptes par VHDL-AMS. Par ailleurs, mme si le langage est mme de supporter des palliatifs ses manques grce ses possibilits d'interfaage avec d'autres langages (notamment le C/C++), la forme de ces interfaces n'est pas standardise. De ce fait, les modles ayant recours des langages extrieurs VHDL-AMS ne sont gnralement pas portables.

    Mme si VHDL-AMS laisse l'utilisateur la possibilit de dfinir ses propres natures, il n'offre pas d'alternative possible la smantique de connexion faisant intervenir les lois de Kirchhoff gnralises. Cela devient un handicap lorsque l'on veut traiter d'autres systmes de relations physiques. Il n'est, par exemple, pas possible de traiter la propagation des ondes lectromagntiques au moyen des terminaux, car les rgles associes cette propagation ne vrifient pas les lois de Kirchhoff.

    Enfin, le fait que les simulateurs actuels soient bass sur des extensions et des modifications d'anciens simulateurs, et pas encore sur de nouvelles techniques de simulation spcifiques, implique des limitations dans les possibilits de simulation qui empchent l'implmentation de certaines instructions du langage. C'est le cas de l'instruction PROCEDURAL qui pourrait nous permettre de simuler un bloc d'instructions squentielles chaque ASP par exemple. La mthode de rsolution matricielle des simulateurs empche quant elle d'implmenter une instruction comme DISCONNECT qui permettrait de retirer un lment du systme, ce qui modifierait dans le mme temps la structure de la matrice de calcul. Or cette opration ne peut tre refaite en cours de simulation [6].

    II.5.4 Evolution lie VHDL-AMS

    Avec la raffirmation de la normalisation VHDL-AMS prvue en 2004, et son volution future, une partie des problmes prsents ci-dessus devrait trouver une solution. Par ailleurs, le langage verra ses possibilits prochainement tendues grce l'extension Radio Frquence/Micro Ondes (Radio Frequency / Micro Waves - RF/MW) en cours de dveloppement. Des pistes complmentaires sont galement tudies pour lever le niveau d'abstraction des connexions, afin d'autoriser l'utilisation de diffrentes classes de signaux sur un mme dispositif de connexion en fonction du niveau d'abstraction du modle. Il est galement question d'incorporer des primitives SPICE VHDL-AMS et d'y adjoindre des mcanismes automatiques de spcification et de vrification des modles.

  • Chapitre II Langage de modlisation et simulateur

    32

    Par ailleurs, avec les possibilits grandissantes offertes par la nouvelle gnration de simulateurs VHDL-AMS, prsente ci-aprs, il est lgitime de se demander si les efforts de recherche pour dvelopper des simulateurs spcialement ddis la simulation analogique supportant le jeu complet d'instructions VHDL-AMS seront encourags. En effet, des logiciels comme Simplorer permettent de pallier beaucoup de problmes, comme l'absence du PROCEDURAL, par des moyens dtourns comme l'utilisation de modles C/C++ ou celle de composants utilisant le "mta-langage" SML de Simplorer qui peut communiquer avec le noyau de simulation VHDL-AMS et ragir sur ses ASP. Ceci a cependant l'inconvnient d'ter la portabilit du systme et il est peu probable qu'un palliatif puisse tre trouv aux problmes du type DISCONNECT qui relvent directement du fonctionnement du noyau de simulation. Les concepteurs font sans ces instructions pour l'instant, devront-ils continuer ainsi, avec terme l'abandon de celles-ci dans la norme, ou bien les noyaux de simulation VHDL-AMS vont-ils voluer ?

    II.6 Choix du logiciel de simulation

    Comme nonc prcdemment, notre choix s'est port sur le VHDL-AMS, en partie cause de la diversit des simulateurs disponibles pour ce langage. En effet, l'offre logicielle dans ce domaine est trs importante, mais galement trs varie. Outre la concurrence qui fait natre des outils similaires, l'volution dans l'utilisation du langage lui-mme a fait merger plusieurs philosophies de conception. Nous avons donc d choisir parmi tout cela le simulateur le plus adapt nos besoins.

    II.6.1 Simulateur de premire gnration code apparent

    Ces outils ( hAMSter, ADVance MS, SMASH, ) appartiennent pour la plupart une gnration de simulateurs qui tend devenir obsolte. En effet, ils ne sinscrivent pas dans le cadre de laccessibilit aux non spcialistes du langage. Il nen reste pas moins que ce sont des outils de conception trs puissants et intressants pour les spcialistes condition de pouvoir ensuite porter les modles dune plateforme de simulation une autre. Dans cette catgorie de simulateurs, ADVance MS (Mentor Graphics) est probablement le meilleur grce son implmentation la plus fournie de la norme VHDL-AMS et son moteur de simulation ELDO qui est un des plus rapide et dont les simulations convergent le mieux. Un avantage et une faiblesse dELDO est sa capacit utiliser une bibliothque modle C propritaire trs performante qui permet de simuler trs efficacement et trs finement (avec les effets thermiques par exemple) des composants comme une diode ou un transistor. Ceci

  • Chapitre II Langage de modlisation et simulateur

    33

    nous a pos, et pose encore, de gros problmes de portage pour les modles dvelopps avec ADVance MS vers Simplorer, et vice-versa.

    Le logiciel de hAMSter, qui nest plus distribu aujourdhui (rachet par Ansoft pour l'intgrer Simplorer), a quant lui une trs grande simplicit dutilisation, mais une implmentation plus incomplte de la norme et un moteur de simulation moins rapide. Il est cependant gratuit, ce qui en fait un concurrent redoutable et une base trs intressante pour lenseignement. Le noyau de simulation de hAMSter a servi de base de dveloppement pour celui du simulateur dernire gnration dAnsoft : Simplorer.

    II.6.2 Possibilit offerte par l'interfaage avec VHDL-AMS

    Important de pouvoir concevoir des modles qui fassent cohabiter des composants de diffrents langages. Pour cela, il faut pouvoir les interfacer. La plupart des logiciels du march permettent ces interactions, mais elles sont le fruit de dmarches propritaires. En effet, la norme VHDL-AMS ne donne aucune information sur la faon dont le langage devrait interagir avec d'autres. De ce fait, la portabilit des modles complexes est extrmement rduite. Il serait intressant que la norme dfinisse une faon d'interagir avec l'extrieur (un prototype d'appel de fonction ou une instruction propre par exemple), de manire donner une direction aux dveloppeurs de simulateurs. C'est cependant une tche trs ardue si l'on veut essayer de mettre au point un mcanisme simple qui permette d'accder n'importe quel langage tranger sans pour autant en limiter les possibilits [6].

    II.6.3 Nouveaux simulateurs disposant d'un (Graphical User Interface)

    Ces outils (Simplorer 6/7, SystemVision) reprsentent la dernire gnration de simulateurs. Ns des besoins exposs ci-dessus, ils incluent la prise en charge et la cohabitation de ces diffrents langages afin de couvrir au mieux le spectre des niveaux et des types de modlisation existant.

  • Chapitre II Langage de modlisation et simulateur

    34

    Il existe actuellement trois produits suffisamment puissants pour prtendre une utilisation industrielle :

    ADVance AMS de la socit Mentor Graphics

    hAMSter (High performance AMS tool for Engineering and Research) SMASH de la socit Dolphin

    II.7 Simplorer II.7.1 Introduction

    Ce simulateur appartenant la dernire gnration utilise un noyau de simulation VHDL-AMS issu du simulateur hAMSter, disponible jusqu'alors gratuitement sur Internet. Ce logiciel supporte l'interfaage entre les langages et logiciels tels que VHDL, VHDL-AMS, C, Simulink, Mathcad, et la suite de logiciels ddis la conception et la simulation des systmes lectromagntiques d'Ansoft. De plus, Simplorer utilise un langage propritaire (SML) et qui lui permet d'utiliser une bibliothque de primitives propres faisant intervenir des

    Figure II.2: Vue schmatique d'un circuit multi-abstractions, multi-domaines dans Simplorer V7.

  • Chapitre II

    clones des modles SPICE les plus utiliss et d'autoriser certains frseaux de Petri. Son interface intuitive et riche en couleurs laisse une grande marge de manuvre tant au concepteur pour l'criture des modles et le dessin des symboles associs, qu' l'utilisateur qui peut mettre facilement en avant les diffrents blocs de son schma et y incorporer directement les rsultats de simulation qu'il souhaite afficher, rendant ainsi la feuille de schma utilisable directement pour un rapport crit.

    II.7.2 Interface graphique

    L'interface graphique

    gestionnaires de symboles et de bibliothques, ainsi que les interfaces de pr/postNous ne prsenterons cependant ici (permettant de connecter et de faire interagir les diffrents lments d'un systme :

    Les gestionnaires de modles et