91
BANSILAL RAMNATH AGRAWAL CHARITABLE TRUST’S PUNE – 411 037 Solar Charge Controller By 1. BHARAT A. BIYANI E-15 2. NAYANTARA B. SATHE F-17 3. SWAPNA M. PATIL F-1 4. PRITEE V. JINTURKAR E-39 PROJECT REPORT

Solar Charge Controller

Embed Size (px)

DESCRIPTION

- An outhouse project completed at Progressive Powercon Pvt. Ltd., Pune, India. Aim is to design and implement a low cost solar electricity generation system for household use. - Designed DC-DC Converter, Inverter, Micro controller circuitry and some additional accessories to improve the overall performance of the system. - PIC 16f876A is used as a microcontroller fro PWM Control. All the simulation are performed in PSIM 6.0. PCB layout is carried out in ALTIUM DESIGNER Summer 09 Software.

Citation preview

Page 1: Solar Charge Controller

BANSILAL RAMNATH AGRAWAL CHARITABLE TRUST’S

PUNE – 411 037

Solar Charge Controller By

1. BHARAT A. BIYANI E-15

2. NAYANTARA B. SATHE F-17

3. SWAPNA M. PATIL F-1

4. PRITEE V. JINTURKAR E-39

UNDER THE GUIDANCE OF

Prof. Mrs. S. N. SHILASKAR

2010-2011

PROJECT REPORT

Page 2: Solar Charge Controller

BANSILAL RAMNATH AGRAWAL CHARITABLE TRUST’S

VISHWAKARMA INSTITUTE OF TECHNOLOGY

(An autonomous institute affiliated to University of Pune)

PUNE – 411 037.

Department of Electronics Engineering

B.E. Project Report on

Solar Charge Controller

Sr.No Name Roll No. G.R.No

1. BHARAT A. BIYANI E-15 0716102. NAYANTARA B. SATHE F-17 071501

3. SWAPNA M. PATIL F-1 0714854. PRITEE V. JINTURKAR E-39 071447

Sponsored By: Guide

PROGRESSIVE POWERCON LTD. Prof. Mrs. S.N.SHILASKAR Pune

Page 3: Solar Charge Controller

BANSILAL RAMNATH AGRAWAL CHARITABLE TRUST’S

VISHWAKARMA INSTITUTE OF TECHNOLOGY

(An autonomous institute affiliated to University of Pune)

PUNE – 411 037.

C E R T I F I C A T E

This is to certify that Project Report titled

‘Solar Charge Controller’

has been submitted in the academic year 2010-2011 by

1. BHARAT A. BIYANI E-152. NAYANTARA B. SATHE F-17

3. SWAPNA M. PATIL F-14. PRITEE V. JINTURKAR E-39

In partial fulfilment of the Bachelor’s Degree in the Electronics Engineering as prescribed by VIT, Pune.

Date: Guide Head of the Department

Prof. Mrs. S.N.Shilaskar Prof. A. M. Chopade

Page 4: Solar Charge Controller

ACKNOWLEDGEMENT

We would like to convey our sincere regards to our project guide Prof. Mrs. S. N. Shilaskar, under whose guidance we could successfully complete our BE project. Her valuable support and broader perspectives has been a real source of inspiration to us.

Special thanks to Prof. Mr. A. Chopade, Head of Electronics Engineering department, VIT for his support.

We deem this a unique opportunity to express heartfelt thanks to Mr. Kedar Ganoo, director of PROGRESSIVE POWERCON PVT. LTD,Pune for showing faith in us and providing technical as well as financial assistance.

We also deeply acknowledge facilities provided by the central library of VIT. We would also like to thank all the faculty members for helping and guiding us on every step, resulting in successful completion of our B.E project.

We are fortunate to have received many useful comments and suggestions from students, which have helped in improving the technical content and clarity of the project. We are grateful to all of them.

Page 5: Solar Charge Controller

ABSTRACT

Non-conventional power generation is one of the fastest growing sectors. Globally,

all countries are busy developing and implementing non-conventional power to bridge the

electricity demand and power supply gap.

The sun is the ultimate source of limitless solar energy in the form of light and heat.

Light of the sun is directly converted into electrical energy without any inter mediate step.

Solar photovoltaic (PV) power is leading ahead of the other sources. In a solar

power generation system, the PV cell plays a major role.

Rural coastal communities in developing countries along the equator lack

electricity, due to the expenses involved with connecting them to the power grid. An

affordable local solution, such as on-site micro power generation, would benefit the local

communities and fulfill their basic lighting and ventilation needs. Equatorial coastal areas

have constant high incident solar radiation. Therefore, these regions would be ideal to

implement a solar power generation unit.

This project involves the development of a solar panel to generate direct current

(DC) power that will be used to charge a battery. And this DC voltage from battery is

converted into AC using inverter. This system will provide the basic electricity

requirements for the house.

The initial investment may be excessive for the target population; these costs are

expected to go down if the design is mass-produced. Solar power source is “free” making

this system is a viable long-term solution for electrification. The implementation of a

project such as this will make the use of hazardous items such as kerosene lamps and car

batteries redundant.

Purpose of investment in solar power project is to enter in development of green

energy technology, which is the only ultimate source of energy for future generations.

Page 6: Solar Charge Controller

TABLE OF CONTENTS

1. LITERATURE SURVEY…………………………………………….. 12. INTRODUCTION……………………………………………………. 4

2.1 Block diagram……………………………………………….. 43. PHOTOVOLTAIC PANEL………………………………………….. 7

3.1 Types of photovoltaic cell………………………………........ 73.2 Working of PV CELL……………………………………….. 93.3 Photovoltaic Array…………………………………………... 12

3.4 Photovoltaic Module Performance…………………………... 143.5 PV CELL……………………………………………………. 203.6 PV PANEL SPECIFICATION……………………………… 21

4. DC-DC CHOPPER…………………………………………………. 224.1 BUCK CONVERTER………………………………………. 24

4.1.1 Circuit diagram…………………………………….. 244.1.2 Working……………………………………………. 254.1.3 Calculations………………………………………… 274.1.4 Simulation………………………………………….. 284.1.5 Test results…………………………………………. 29

5. MICROCONTROLLER……………………………………………... 315.1 Software description………………………………………… 315.2 Why PIC? …………………………………………………... 315.3 Circuit diagram……………………………………………… 325.4 Algorithm…………………………………………………… 335.5 Flow-Chart………………………………………………….. 355.6 PWM generation block……………………………………… 37

5.6.1 PWM module working…………………………….. 375.7 Analog-to-Digital converter………………………………… 39

5.7.1 ADC working……………………………………… 406. INVERTER………………………………………………………….. 43

6.1 Principle of Working……………………………………….. 436.2 Circuit diagram……………………………………………… 446.3 Working of INVERTER module…………………………… 456.4 Calculation………………………………………………….. 466.5 Observation…………………………………………………. 476.5 Components Description…………………………………… 49

7. PCB LAYOUT…………………………………………………….. 578. CONCLUSION……………………………………………………… 589. REFERENCE………………………………………………………… 59

Page 7: Solar Charge Controller

1. LITERATURE SURVEY

Energy is the key influencing factor for development in all sectors i.e., Industrial,

Commercial, Agriculture, Domestic etc. as per capita energy consumption is one of the

indicators of national development status. per capita energy consumption is about 600

units in our country, where as it is 1400 units in China, 6898 units in Germany, 13,000 units

in U.S.A. World average is about 2430 units.

India is the sixth largest Country in the world in terms of generation and

consumption levels. The total installed capacity of Power projects India is 1,47,402.81

Mega Watts , of this thermal mode of power generation including coal, gas and oil

contributes 64.7%, Hydro contributes 24.65%, Nuclear 2.95% and Renewable energy 7.7

The present national peak deficit stands at 10.1%. Ministry of power had decided to add

about 52,598 MW capacities for the XI plan (2008-2012). Continuous development of the

Generation Sector is essential for meeting the GDP growth target of 8% set for the

Economy. In Order to support the envisaged growth of GDP, the rate of growth of power

supply needs to be over 9.5 percent annually. The demand-supply gap’, which is denoted as

‘energy shortage’ is 8.8% of the total energy requirement in 2009 and the peak shortage,

which is a measure of shortage during peak power consumption hours is approximately 14

percent of installed capacity. To meet the projected demand of 2016, generation capacity is

required to be doubled in 10 years from 2006 to 2016. The Government of India has

initiated several reform measures to create a favorable environment for addition of new

generating capacity in the country. The Electricity Act 2003 has put in place a highly liberal

framework for generation. There is no requirement of licensing for generation and techno-

economic clearance from CEA for thermal generation projects.

The fast diminishing world reserves of fossil fuels, increasing demands for energy,

particularly in developing countries, and the damage to the environment caused by the

consumption of large quantities of fossil fuels in the preceding century have encouraged

intensified search for renewable and environment friendly sources of energy.

The use of renewable energy resources involves the tapping of natural flows of

energy in the environment. If the resource is used in a sustainable fashion, the energy is

1

Page 8: Solar Charge Controller

removed at a rate comparable to that at which energy is being replenished. Renewable

energy is thus inexhaustible as opposed to conventional sources of energy, which has a

limited lifespan.

The use of conventional sources of energy such as fossil fuels has many adverse

side effects. Their combustion products produce pollution, acid rain and global warming

which are currently major global concerns. Conversion to clean energy sources can improve

the quality of life throughout the planet earth, not only for humans, but for its flora and

fauna as well. Consequently, the use of renewable energy can contribute to environmental

protection not only for the present generation but for future generations as well.

Because of the foregoing, there is a need to develop ingenious methods of

renewable energy conversion systems and then to substitute it where applications of fossil

fuels are most vulnerable. With renewable energy technologies, a secure and diverse supply

of energy can be secured and resources can be restored. Additionally, renewable energy is

widely distributed and is available and indigenous to almost every region of the world.

Currently, the worldwide renewable percentage contribution is about 18%. By the year

2020, the World energy Council predicts that the contribution from renewable will rise to

30% and that biomass will continue to be the dominate source of renewable energy.

DISADVANTAGES AND LIMITATIONS OF CONVENTIONAL ENERGY

SOURCES:

- Resources are Limited.

- Environmental problems, emission of greenhouse gasses.

- Dependency on imports.

- Dependency on global oil prices, which effects country economy.

- Transmission & distribution losses

2

Page 9: Solar Charge Controller

To improve the situation and to achieve world average per capita energy

consumption of 2500 units there is need for tapping alternative sources of Energy. The

following are the key factors for taping and utilization of alternative renewable energy

sources.

- Conservation of limited conventional energy resources which can be made

available for futuristic generation.

- Reducing imports and avoided cost can be invested for other developmental

activities.

- Reduction of G.H.G and other emissions for protecting environment.

- Use of in-exhaustible energy sources like Solar, Wind, Biomass, Tidal etc.

RENEWABLE ENERGY SCENARIO

Renewable Energy accounts for about 7.49% of the total installed capacity of the

country (as on 31-10-2009) for power generation. The potential of various renewable

energy sources and actual achievement is as under. [1]

Sr. No Source Estimated potential (MW) Installed capital (MW)

1. Wind 45000 10891

2. Biomass 61000 816

3. Small Hydro 15000 2519

4. Waste of energy 7000 67

5. Solar Photovoltaic 20 W per sq. Km 8

3

Page 10: Solar Charge Controller

2. INTRODUCTION

Energy plays vital role for development in all sectors. With depletion of fossil fuels

used for power generation and increase in demand for power, the gap between supply and

demand is becoming more. Renewable energy sources can only provide solution to face this

energy crisis. Out of renewable energy options, solar energy is the most potential source for

all tropical countries.

Sun radiates 180 billion MW of energy over Earth Just one hour of this energy could

meet power needs of entire planet for a year. India receives 5000 Trillion KWhrs of energy

from SUN per annum. This energy is clean, pollution free and inexhaustible and is available

free and in abundance. MNRE, Government of India is promoting utilization of the solar

energy for different applications since 1980’s.

Basically the components involved in solar system are PV panel, DC-DC Converter,

Battery, Inverter. The Same components are present in our system with some additional

accessories to improve the overall performance of the system. Efficiency of the system can

be drastically increase by using microcontroller for PWM control in place of PWM

generator hardware circuit.

2.1 BLOCK DIAGRAM

Figure 2.1 Block Diagram

4

PV PANEL

DC to DC

CONVERTER

BATTERY INVERTER LOAD

CONTROLLER

Page 11: Solar Charge Controller

PV PANEL:

Photovoltaic (PV) is a method of generating electrical power by converting solar

radiation into direct current electricity using semiconductors that exhibits the photovoltaic

effect. Photovoltaic power generation employs solar panels comprised of a array of cells

containing a photovoltaic material. The PV generator is formed by the combination of many

PV cells connected in series and parallel to provide the desired value of the output voltage

and current.[2] .PV Panel is connected to DC-DC converter.

CONTROLLER:

Controller used in the project is PIC16F876A.Controller in this project is used for

many purpose.

1. To generate the variable PWM for DC-DC CONVERTER.

2. To control the battery voltage.

3. Controlling the load variation.

DC-DC CONVERTER:

DC to DC CONVERTER is used to convert the input DC voltage from Solar Panel

into the required output DC voltage compatible with the battery. Output DC voltage may be

greater than or less than the input DC voltage. Separate controller circuit is required to do

so. Output of DC-DC CONVERTER is connected to the battery.

BATTERY:

Here Battery means the series of batteries that are connected in parallel. The battery will

store the energy produced by Panel. This block is connected to the Inverter. Battery used in

our system is standard 12 V battery from EXIDE Company.

5

Page 12: Solar Charge Controller

INVERTER:

It is used to convert the input DC voltage from the battery into output AC voltage.

Inverter used here doesn’t produce a pure sinusoidal output rather it generates square AC

which is connected to almost all the appliances now-a-days except some high frequency

appliances. We are using two MOSETs inverter instead of four MOSFETs inverter which

will reduce the overall cost of project.

After adding protection circuits/components for over voltage protection, over

current protection, over load protection this project will be a complete solution to the

energy crises problem.

This is our attempt to utilizing solar power for meeting the growing energy needs

and also to contribute for reduction of greenhouse gasses emissions.

6

Page 13: Solar Charge Controller

3. PHOTOVOLTAIC CELL

A PV cell is used for converting photon into electron and with sun light incident,

electrical energy is generated. A selenium-or silicon-based solar cell exhibits open-circuit

voltage of only 0.5V and short-circuit cell current of the order of 1milliampere for 6.4cm²

area of the cell at 6458 meter candles. Therefore a large number of such silicon or selenium

solar cells need to be connected in series and parallel to provide any significant power.

Figure 3.1 PV Cell.

3.1 TYPES OF PHOTOVOLTAIC CELL

At the present time, most commercial photovoltaic cells are manufactured from silicon, the same

material from which sand is made. In this case, however, the silicon is extremely pure. Other, more

exotic materials such as gallium arsenide are just beginning to make their way into the field.

The four general types of silicon photovoltaic cells are:

Single-crystal silicon.

Polycrystalline silicon (also known as multicrystal silicon).

Ribbon silicon.

7

Page 14: Solar Charge Controller

Amorphous silicon (abbreviated as "aSi," also known as thin film silicon).

Single-crystal silicon

Most photovoltaic cells are single-crystal types. To make them, silicon is purified, melted, and

crystallized into ingots. The ingots are sliced into thin wafers to make individual cells. The cells have

a uniform color, usually blue or black.

Typically, most of the cell has a slight positive electrical charge. A thin layer at the top has a

slight negative charge.

The cell is attached to a base called a "backplane." This is usually a layer of metal used to

physically reinforce the cell and to provide an electrical contact at the bottom.

Since the top of the cell must be open to sunlight, a thin grid of metal is applied to the top

instead of a continuous layer. The grid must be thin enough to admit adequate amounts of sunlight,

but wide enough to carry adequate amounts of electrical energy.

Light, including sunlight, is sometimes described as particles called "photons." As sunlight

strikes a photovoltaic cell, photons move into the cell.

8

Page 15: Solar Charge Controller

Figure 3.2 Photovoltaic Cell

When a photon strikes an electron, it dislodges it, leaving an empty "hole". The loose electron moves

toward the top layer of the cell. As photons continue to enter the cell, electrons continue to be

dislodged and move upwards

If an electrical path exists outside the cell between the top grid and the backplane of the cell, a

flow of electrons begins. Loose electrons move out the top of the cell and into the external electrical

circuit. Electrons from further back in the circuit move up to fill the empty electron holes. Most cells

produce a voltage of about one-half volt, regardless of the surface area of the cell. However, the larger

the cell, the more current it will produce.

Current and voltage are affected by the resistance of the circuit the cell is in. The amount of

available light affects current production. The temperature of the cell affects its voltage. Knowing the

electrical performance characteristics of a photovoltaic power supply is important, and is covered in

the next section.

3.2 WORKING OF PV CELL

9

Page 16: Solar Charge Controller

Figure 3.2 PV cell working.

PHOTOGENERATION OF CHARGE CARRIERS:

When a photon hits a piece of silicon, one of three things can happen:

1. The photon can pass straight through the silicon — this (generally) happens for

lower energy photons,

2. The photon can reflect off the surface,

3. The photon can be absorbed by the silicon, if the photon energy is higher than the

silicon band gap value. This generates an electron-hole pair and sometimes heat,

depending on the band structure.

When a photon is absorbed, its energy is given to an electron in the crystal lattice. Usually

this electron is in the valence band, and is tightly bound in covalent bonds between

neighbouring atoms, and hence unable to move far. The energy given to it by the photon

"excites" it into the conduction band, where it is free to move around within the

semiconductor. The covalent bond that the electron was previously a part of now has one

fewer electron — this is known as a hole. The presence of a missing covalent bond allows

the bonded electrons of neighbouring atoms to move into the "hole," leaving another hole

behind, and in this way a hole can move through the lattice. Thus, it can be said that

photons absorbed in the semiconductor create mobile electron-hole pairs.

10

Page 17: Solar Charge Controller

A photon need only have greater energy than that of the band gap in order to excite

an electron from the valence band into the conduction band. However, the solar frequency

spectrum approximates a black body spectrum at ~6000 K, and as such, much of the solar

radiation reaching the Earth is composed of photons with energies greater than the band gap

of silicon. These higher energy photons will be absorbed by the solar cell, but the difference

in energy between these photons and the silicon band gap is converted into heat (via lattice

vibrations — called phonons) rather than into usable electrical energy.

CHARGE CARRIER SEPARATION:

There are two main modes for charge carrier separation in a solar cell:

1. Drift of carriers, driven by an electrostatic field established across the device

2. Diffusion of carriers from zones of high carrier concentration to zones of low carrier

concentration (following a gradient of electrochemical potential).

In the widely used p-n junction solar cells, the dominant mode of charge carrier

separation is by drift. However, in non-p-n-junction solar cells (typical of the third

generation solar cell research such as dye and polymer solar cells), a general electrostatic

field has been confirmed to be absent, and the dominant mode of separation is via charge

carrier diffusion.

THE P-N JUNCTION:

The most commonly known solar cell is configured as a large-area p-n junction

made from silicon. As a simplification, one can imagine bringing a layer of n-type silicon

into direct contact with a layer of p-type silicon. In practice, p-n junctions of silicon solar

11

Page 18: Solar Charge Controller

cells are not made in this way, but rather by diffusing an n-type dopant into one side of a p-

type wafer (or vice versa).

If a piece of p-type silicon is placed in intimate contact with a piece of n-type

silicon, then a diffusion of electrons occurs from the region of high electron concentration

(the n-type side of the junction) into the region of low electron concentration (p-type side of

the junction). When the electrons diffuse across the p-n junction, they recombine with holes

on the p-type side. The diffusion of carriers does not happen indefinitely, however, because

charges build up on either side of the junction and create an electric field. The electric field

creates a diode that promotes charge flow, known as drift current that opposes and

eventually balances out the diffusion of electron and holes. This region where electrons and

holes have diffused across the junction is called the depletion region because it no longer

contains any mobile charge carriers. It is also known as the space charge region.

3.3 PHOTOVOLTAIC ARRAY

For almost all applications, the one-half volt produced by a single cell is inadequate.

Therefore, cells are connected together in series to increase the voltage. Several of these

series strings of cells may be connected together in parallel to increase the current as well.

These interconnected cells and their electrical connections are then sandwiched

between a top layer of glass or clear plastic and a lower level of plastic or plastic and metal.

An outer frame is attached to increase mechanical strength, and to provide a way to mount

the unit. This package is called a "module" or "panel". Typically, a module is the basic

building block of photovoltaic systems.

In many applications the power available from one module is inadequate for the

load. Individual modules can be connected in series, parallel, or both to increase either

output voltage or current. This also increases the output power.

12

Page 19: Solar Charge Controller

Figure 3.3 Parallel connection of PV panels.

When modules are connected in parallel, current increases. For example, three

modules which produce 15 volts and 3 amps each, connected in parallel, will produce 15

volts and 9 amps.

If the system includes a battery storage system, a reverse flow of current from the

batteries through the photovoltaic array can occur at night. This flow will drain power from

the batteries.

Portable arrays

A portable array may be as small as a one square foot module easily carried by one

person to recharge batteries for communications or flashlights. They can be mounted on

vehicles to maintain the engine battery during long periods of inactivity. Larger ones can be

installed on trailers or truck beds to provide a portable power supply for field operations.

13

Page 20: Solar Charge Controller

Figure 3.3 Portable arrays.

Tracking arrays

Arrays that track, or follow the sun across the sky, can follow the sun in one axis or

in two. Tracking arrays perform best in areas with very clear climates. This is because

following the sun yields significantly greater amounts of energy when the sun's energy is

predominantly direct. Direct radiation comes straight from the sun, rather than the entire

sky.

Normally, one axis trackers follow the sun from the east to the west throughout the

day. The angle between the modules and the ground does not change. The modules face in

the "compass" direction of the sun, but may not point exactly up at the sun at all times.

Two axis trackers change both their east-west direction and the angle from the

ground during the day. The modules face straight at the sun all through the day. Two axis

trackers are considerably more complicated than one axis types.

14

Page 21: Solar Charge Controller

Figure 3.4 Tracking array

3.4 Photovoltaic Module Performance

To insure compatibility with storage batteries or loads, it is necessary to know the

electrical characteristics of photovoltaic modules. As here, "I" is the abbreviation for

current, expressed in amps. "V" is used for voltage in volts, and "R" is used for resistance in

ohms.

A photovoltaic module will produce its maximum current when there is essentially

no resistance in the circuit. This would be a short circuit between its positive and negative

terminals. This maximum current is called the short circuit current, abbreviated I(sc). When

the module is shorted, the voltage in the circuit is zero.

Conversely, the maximum voltage is produced when there is a break in the circuit.

This is called the open circuit voltage, abbreviated V(oc). Under this condition the

resistance is infinitely high and there is no current, since the circuit is incomplete.

These two extremes in load resistance, and the whole range of conditions in between

them, are depicted on a graph called a I-V (current-voltage) curve. Current, expressed in

amps, is on the vertical Y-axis. Voltage, in volts, is on the horizontal X-axis. The short

circuit current occurs on a point on the curve where the voltage is zero. The open circuit

voltage occurs where the current is zero.

15

Page 22: Solar Charge Controller

The power available from a photovoltaic module at any point along curve is

expressed in watts. Watts are calculated by multiplying the voltage times the current (watts

= volts x amps, or W = VA).

At the short circuit current point, the power output is zero, since the voltage is zero.

At the open circuit voltage point, the power output is also zero, but this time it is because

the current is zero.

Figure 3.5 Photovoltaic module performance.

There is a point on the "knee" of the curve where the maximum power output is

located. This point on our example curve is where the voltage is 15 volts, and the current is

2.5 amps. Therefore the maximum power in watts is 15 volts times 2.5 amps, equaling 37.5

watts.

The power, expressed in watts, at the maximum power point is described as peak,

maximum, or ideal, among other terms. Maximum power is generally abbreviated as "I

(mp)." Various manufacturers call it maximum output power, output, peak power, rated

power, or other terms.

The current-voltage (I-V) curve is based on the module being under standard

conditions of sunlight and module temperature. It assumes there is no shading on the

module.

16

Page 23: Solar Charge Controller

Standard sunlight conditions on a clear day are assumed to be 1000 watts of solar

energy per square meter (1000 W/m2or lkW/m2). This is sometimes called "one sun," or a

"peak sun." Less than one sun will reduce the current output of the module by a

proportional amount. For example, if only one-half sun (500 W/m2) is available, the

amount of output current is roughly cut in half.

Figure 3.6 The Current-Voltage curves

For maximum output, the face of the photovoltaic modules should be pointed as

straight toward the sun as possible.

Because photovoltaic cells are electrical semiconductors, partial shading of the

module will cause the shaded cells to heat up. They are now acting as inefficient conductors

instead of electrical generators. Partial shading may ruin shaded cells.

Partial module shading has a serious effect on module power output. For a typical

module, completely shading only one cell can reduce the module output by as much as

80%. One or more damaged cells in a module can have the same effect as shading.

17

Page 24: Solar Charge Controller

Figure 3.7 The Current-Voltage curves while module is shaded and unshaded

This is why modules should be completely unshaded during operation. A shadow

across a module can almost stop electricity production. Thin film modules are not as

affected by this problem, but they should still be unshaded.

Module temperature affects the output voltage i14nversely. Higher module

temperatures will reduce the voltage by 0.04 to 0.1 volts for every one Celsius degree rise

in temperature (0.04V/0C to 0.1V/0C). In Fahrenheit degrees, the voltage loss is from 0.022

to 0.056 volts per degree of temperature rise

This is why modules should not be installed flush against a surface. Air should be

allowed to circulate behind the back of each module so its temperature does not rise and

reducing its output. An air space of 4-6 inches is usually required to provide proper

ventilation.

The last significant factor which determines the power output of a module is the

resistance of the system to which it is connected. If the module is charging a battery, it must

supply a higher voltage than that of the battery.

If the battery is deeply discharged, the battery voltage is fairly low. The

photovoltaic module can charge the battery with a low voltage, shown as point #1 in Figure

18

Page 25: Solar Charge Controller

3.9. As the battery reaches a full charge, the module is forced to deliver a higher voltage,

shown as point #2. The battery voltage drives module voltage.

Figure 3.8 The Current-Voltage curves at different temperatures.

Eventually, the required voltage is higher than the voltage at the module's maximum

power point. At this operating point, the current production is lower than the current at the

maximum power point. The module's power output is also lower.

Figure 3.9 Photovoltaic module performance and Battery charging.

19

Page 26: Solar Charge Controller

To a lesser degree, when the operating voltage is lower than that of the maximum

power point, the output power is lower than the maximum. Since the ability of the module

to produce electricity is not being completely used whenever it is operating at a point fairly

far from the maximum power point, photovoltaic modules should be carefully matched to

the system load and storage. Using a module with a maximum voltage which is too high

should be avoided nearly as much as using one with a maximum voltage which is too low.

The output voltage of a module depends on the number of cells connected in series.

Typical modules use any of 30, 32, 33, 36, or 44 cells wired in series. The modules with 30-

32 cells are considered self-regulating modules. 36 cell modules are the most common in

the photovoltaic industry. Their slightly higher voltage rating, 16.7 volts, allows the

modules to overcome the reduction in output voltage when the modules are operating at

high temperatures.

Modules with 33 - 36 cells also have enough surplus voltage to effectively charge

high antimony content deep cycle batteries. However, since these modules can overcharge

batteries, they usually require a charge controller.

Finally, 44 cell modules are available with a rated output voltage of 20.3 volts.

These modules are typically used only when a substantially higher voltage is required.

Another application for 44 cell modules is a system with an extremely long wire run

between the modules and the batteries or load. If the wire is not large enough, it will cause a

significant voltage drop. Higher module voltage can overcome this problem.

It should be noted that this approach is similar to putting a larger engine in a car

with locked brakes to make it move faster. It is almost always more cost effective to use an

adequate wire size, rather than to overcome voltage drop problems with more costly 44 cell

modules. [3]

3.5 PV CELLS AT A GLANCE

ADVANTAGES:

20

Page 27: Solar Charge Controller

Salient and low maintenance

Solid states with no moving parts

High-quality powers

Life times of 20 to30 years

Flexible and reliable

Modular and expandable

APPLICATIONS:

Coast guard navigation

Off-grid and grid- connected homes

Rail road signaling

Satellites and space station

Outdoor lightning’s

Telecommunication and water pumping, etc.

3.6 PV PANEL SPECIFICATIONS

GENERAL SPECIFICATIONS-

Manufacturer: KOTAK URJA PRIVET LTD.

Model: KM0135

Solar cell: Multi crystalline.

Solar cell shape: Full Square

ELECTRICAL SPECIFICATIONS-

Open circuit voltage: Voc = 22.24 volt.

Short circuit current: Isc =7.91 ampere.

Maximum voltage: Vmp =18.36 volt.

21

Page 28: Solar Charge Controller

Maximum current: = Imp =7.35 ampere.

Maximum power at STC: =Pmax: 135Wp.

Operating Temperature/Humidity: = -40°C to +85C/85%.

Energy efficiency curve for panel is as shown below.

Figure 3.10 the energy efficiency curve

4. DC CHOPPER

A DC-to-DC converter or Chopper is a static device which converts a source of

direct current (DC) from one voltage level to another. It is a class of power converter

.Therefore, Chopper may be thought of as an equivalent of an AC transformer, since they

behave in an identical manner.

In electronic power circuits there is a need for changing the voltage level according to the

specification.it may be higher than the input side or may be lower in that case we need to

use dc choppers.

There are two basic types of conversion technique used to convert the input

voltage from one level to another. These are as follows-

1. Linear converters-

22

Page 29: Solar Charge Controller

They are also called as linear regulators. They are used only in buck mode so their

output voltage is always lower than the input voltage. They possess following drawbacks-

Linear regulators can only output at lower voltages from the input

They are very inefficient when the voltage drop is large and the current is high as

they dissipate heat equal to the product of the output current and the voltage drop;

consequently they are not normally used for large-drop high-current applications.

The inefficiency wastes power and requires higher-rated, and consequently more

expensive and larger, components. The heat dissipated by high-power supplies is a

problem in itself as it must be removed from the circuitry to prevent unacceptable

temperature rises.

Hence they are not used in power circuits. They are practical if the current is low, the

power dissipated being small.

2. Switching converters-

Electronic switch-mode DC to DC converters convert one DC voltage level to

another, by storing the input energy temporarily and then releasing that energy to the output

at a different voltage. The storage may be in either magnetic field storage components

(inductors, transformers) or electric field storage components (capacitors). This conversion

method is more power efficient (often 75% to 98%) than linear voltage regulation (which

dissipates unwanted power as heat). This efficiency is beneficial to increasing the running

time of battery operated devices.

Most DC to DC converters are designed to move power in only one direction, from

the input to the output. However, all switching regulator topologies can be made bi-

directional by replacing all diodes with independently controlled active rectification. A bi-

directional converter can move power in either direction, which is useful in applications

requiring regenerative braking.

Drawbacks of switching converters include-

Complexity,

Electronic noise (EMI / RFI)

Cost

23

Page 30: Solar Charge Controller

Despite of these drawbacks switching converters are widely used in industries

because of saving in power, greater efficiency, faster response, lower maintenance, small

size, smooth control.

DC choppers can be classified in three categories according to the input/output

voltage levels-

1. Step down choppers:

The output voltage is less than the input voltage.

2. Step up choppers:

Output voltage is greater than the input voltage.

3. Flyback choppers:

It is also called as buck-boost converter.it is nothing but cascade connection of two

basic converters: the step-up converter & the step down converter.it is used in applications

where a negative polarity output may be desired with respect to the common terminal of the

input voltage, and the output voltage can be either higher or lower than the input voltage.[4]

We are using step-down chopper in our circuit.

4.1 STEP DOWN CHOPPER (BUCK CONVERTER)

The Buck converter is used to convert an input DC voltage to a lower output DC

voltage of the same polarity (figure4.1). By varying the duty ratio Ton/T of the switch, the

average output voltage can be controlled the operation of the buck converter is fairly

simple, with an inductor and two switches (usually a transistor and a diode) that control the

inductor. It alternates between connecting the inductor to source voltage to store energy in

the inductor and discharging the inductor into the load.

4.1.1 CIRCUIT DIAGRAM

24

Page 31: Solar Charge Controller

Figure 4.1 Buck Converter

1. The main components of step down chopper is an inductor, power MOSFET, two

capacitors and a diode.

2. Power MOSFET is used as a switching device and it connects inductor and solar panel.

Input gate pulses to the mosfet for it is given from the external circuitry. Which is explained

in later section. Inductor is directly connected to load.it is used as storage device.

3. Input capacitor is connected parallel to the solar panel and output capacitor is connected

parallel to the load (battery).both this capacitor is used as filtering device to removes

voltage fluctuations and spikes.

4. Diode is connected between ground and branch connecting inductor and mosfet.it works

as freewheeling diode.

4.1.2 WORKING

As the diode is reverse-biased by the voltage source V, no current flows

through it. Figure (4.2) shows the current flow paths when the switch is ON at time t=0.

The supply current flows through the path filter inductor L, filter capacitor C, and load.

Therefore inductor stores the energy during the Ton period. , the voltage across the inductor

is VL = Vi − Vo. The current through the inductor rises linearly. During the interval when

device is ON, as the diode is reverse-biased by the voltage source V, no current flows

through it.

25

Page 32: Solar Charge Controller

Figure 4.2 Switch is ON.

Now, at the instant t=Ton, device is switched OFF. During the interval when

the device is OFF, the inductor current flows through L, C, load, and freewheeling diode D.

Figure(4.3), and hence diode D becomes forward biased and it conducts. The voltage across

the inductor is VL = − Vo (neglecting diode drop). Current IL decreases.

Figure 4.3 Switch is OFF.

Where, Vin= input voltage.

Vout=output voltage.

D= Duty cycle= Ton/T.

T= (Ton+Toff).

F=1/T= PWM switching frequency.

The energy stored in inductor L is

26

Page 33: Solar Charge Controller

Therefore, it can be seen that the energy stored in L increases during On-time (as IL

increases) and then decreases during the Off-state. L is used to transfer energy from the

input to the output of the converter.

In general voltage across the inductor L, is given by

= L di/ dt.

In the time Ton, assuming that the inductor current rises linearly from I1 to I2, we

can write,

= L ……1.1

Where the change in current as

Therefore equation 1.1 becomes

.

As shown in figure (3.3), during time Toff, the inductor current falls linearly from I2 to I1,

27

Page 34: Solar Charge Controller

Δ I …………1.2

Equating the values of ΔI in equations 1.1 and 1.2, we get

Vo

Where, Ton/T= duty cycle= D.

.

4.1.3 CALCULATION

The switching frequency is kept F=7000 KHz. That is T= 0.142 msec. The duty

cycle is calculated assuming a worst case condition of battery voltage level that is 10.5

Volts and panel output voltage 16 volts. The value of D is calculated as follows

D=10.5v/16v

D=65.62%

Inductor voltage-current relationship is L=di/dt. During time interval 𝑇, the

change in the inductor current Δ𝑖𝐿 and voltage across the inductor is (𝑉in−𝑉o). Hence

assuming a worst case condition of battery voltage level And ripple current of 1.75 Ampere

which is 20% of the maximum input value to the circuit. We get

Putting all values in above equation we get L=0.29 mH.

The peak-to-peak ripple voltage of capacitor is given by

28

Page 35: Solar Charge Controller

Using above equation we get Capacitor value as C=10000𝑢F, where ripple voltage

across a capacitor is very small, which is 0.03 % of the output voltage.

The input and output waveforms of voltage and current for buck converter are shown as below (figure 4.4)

Figure 4.4 Input /output voltage-current waveforms.

4.1.4 SIMULATION

The buck converter circuit with the above mentioned values are verified with the help of simulation in PSIM-6.0 software. (Figure 4.5) Output waveforms at VP1 are shown below (figure 4.6), from the output it is clear

that it becomes constant after approximately 4msec and stays at 13.5 volts considering the

variation in the input from 13.5 to 20 V.

29

Page 36: Solar Charge Controller

Figure 4.5 Simulated Buck circuit.

Remember that PSIM-6.0 software gives you ideal results. It doesn’t take into

account the losses and other performance degradation parameters.

Figure4.6 Output waveform

4.1.5 TEST RESULTS

We tested the DC- DC converter with PWM controlling of MOSFET using

microcontroller. Our aim was to keep the output voltage fixed irrespective of change in the

input panel voltage. As it is a Buck Converter and battery used in this project is 12V.Hence,

we need to keep the voltage going towards the battery fixed at 13.5 V, because 12V is a

30

Page 37: Solar Charge Controller

floating voltage of battery and battery can charge up to 1.5V more than its floating point

capacity. This result was achieved through our tests.

Remember than frequency of PWM used for controlling is 7 KHz.

Sr.No Input PANEL voltage (V) PWM Duty Cycle (%) Output voltage (V)

1. 20 67 13.5

2. 19 71 13.5

3. 18 75 13.5

4. 17 79 13.5

5. 16 84 13.5

6. 15 90 13.5

7. 14 95 13.3

8. 13 95 12.7

9. 12 95 11.7

10. 11 95 10.8

11. 10 95 9.7

Conclusions from the Results:

1. Output voltage remains fixed at 13.5V irrespective of change in Input

PANEL voltage up to 13.5V.As soon as Input voltage drops below 13.5V

output voltage becomes variable and it is a function of input voltage

according to formula:

Vo = Vin * 0.952. From results it is clear that the whole system works only if Input PANEL

voltage is above 13.5V.Below 13.5V system will not work.

3. Panel voltage varies between 20V to 13.5V in a sunny day between the time

9am to 4.30 pm.

31

Page 38: Solar Charge Controller

5. MICROCONTROLLER

5.1 SOFTWARE DESCRIPTION

We are using PIC 16F876A microcontroller.

-It has 8 channels of Analog-to-Digital converter (A/D) with 10-bit resolution.

-It supports wide range of 32 KHz to 20 MHz external oscillator frequency.

-It is available in 28/40/44 pin packages.

The code is written in C language.

Software in which the code is written is MPLAB IDE, v8.56 from Microchip. The

software is available freely on www.microchip.com.

The C code was compiled in MAPLAB with the HI-TECH C Complier.

The software was debugged and the chip programmed by Microchip ICD2

development tools.

5.2 WHY PIC?

Excellent onboard peripherals and easy to use development environment. The

onboard peripherals include A/Ds for reading the voltages, PWM to set the duty

cycle of the DC/DC converter, a serial port to output data values for logging, and

flash program memory to simplify software development.[4]

Every PIC has a inbuilt PWM module.i.e.by putting values in PWM module related

registers we can generate large variety of PWM frequency and duty cycle. This is a

unique feature of PIC microcontrollers.

Noise rejection are very good in these microcontroller, hence they are efficiently

work in noisy industrial environment.

There are large variety of microcontrollers are available in PIC so we can choose

our microcontroller according to our requirement.

32

Page 39: Solar Charge Controller

5.3 CIRCUIT DIAGRAM

Figure 5.1 PWM circuit.

1. We are measuring two voltages for generating variable duty cycle PWM output.

Battery voltage-

o The analog battery voltage is taken through RA0 pin of PORT A (Pin 2).

Solar panel-

o The analog solar panel voltage is taken through RA1 pin of PORT A (Pin 3).

2. The crystal oscillator used is 10MHz.

3. PWM output is taken from pin no.13 (CCP1/RC2).It’s a first module of

capture/compare/PWM module and the PWM frequency set at 7.5 KHz.

33

Page 40: Solar Charge Controller

4. The PWM output is directly connected the gate terminal and the ground terminal is

connected to the source of NMOS of DC/DC Converter.

5. We are using PIC 16F876A microcontroller with 28 pins.

5.4 ALGORITHM

STEP 1: Start

STEP 2: Set the configuration bits according to our specification.

STEP 3: Make RA0 & RA1 pin as input using TRISA register and CCP1 & CCP2 pin as

output using TRISC register.

STEP 4: Configure the A/D module: Configure analog pins/voltage reference and digital

I/O using ADCON1 register.

STEP 5: Set the PWM period by writing to the PR2 register.

STEP 6: Set PWM duty cycle by writing to the CCPR1L register and CCP1CON<5:4> bits.

STEP 7: Configure the CCP1 & CCP2 module for PWM operation.

STEP 8: Set the TMR2 prescale value & enable Timer2 by writing to T2CON.

STEP 9: Configure the A/D module: Select A/D input channel, conversion clock, Turn ON

A/D module using ADCON0 register.

STEP 10: Wait for the required acquisition time.

STEP 11: Start the conversion by setting GO/DONE bit using ADCON0 register.

STEP 12: Wait for A/D conversion to complete and read the A/D result register pair

(ADRESH: ADRESL).

STEP 13: Divide the result by Scaling Factor to make it equal to the actual Panel voltage.

34

Page 41: Solar Charge Controller

STEP 14: Compare this voltage with 14.4 volts/

o If the voltage is greater than 14.4, decrease the duty cycle.

o If the voltage is smaller than 14.4, increase the duty cycle.

o If the voltage is equal to the 14.4, keep the duty cycle same as the previous

one.

STEP 15: Store this new PWM duty cycle in CCPR1L register.

STEP 16: provide some necessary delay.

STEP 17: Repeat the procedure from STEP 8.

35

Page 42: Solar Charge Controller

5.5 FLOW-CHART

36

Page 43: Solar Charge Controller

FLOW-CHART FOR ADC

37

Page 44: Solar Charge Controller

5.6 PWM GENERATION BLOCKEvery PIC microcontroller has two capture/compare/PWM modules (CCP1 &

CCP2).Both module can be used to generate the PWM output simultaneously. A simplified

PWM block diagram is shown in figure (5.2.1).

In Pulse Width Modulation mode, the CCPx pin produces up to 10-bit resolution

PWM output. There are four registers associated with each PWM module namely CCPRxL,

CCPRxH, CCPxCON, PR2. TMR2 & T2CON registers of Timer 2 are specifically used for

PWM generation. Let us study how the PWM is generated and the role of each register

considering that CCP1 module is used for PWM generation.

5.6.1 PWM MODULE WORKING 1. The PWM period is specified by writing to the 8-bit period register PR2.The PWM

period can be calculated using the following formula:

PWM Period = [(PR2+1)]*4* Tosc *(TMR2 prescale Value)

Where, Tosc is the time period of externally connected crystal oscillator

PR2 is a readable and writable register. The PR2 register is initialized to FFh upon Reset.

When TMR2 is equal to PR2, the following three events occurs on the next increment

cycle:

TMR2 is cleared

The CCP1 pin is set

The PWM duty cycle is latched from CCPR1L into CCPR

2. The PWM duty cycle is specified by writing to the CCPR1L register and to the

CCP1CON<5:4> bits. The CCPR1L contains the eight MSBs and the CCP1CON<5:4>

contains two LSBs. This 10-bti value is represented by CCPR1L:CCP1CON<5:4>.The

following equation is used to calculate the PWM duty cycle in time:

PWM Duty Cycle = (CCPR1L:CCP1CON<5:4>)* Tosc *(TMR2 Prescale Value)

CCPR1L and CCP1CON<5:4> can be written to at any time, but the duty cycle value is not

latched into CCPR1H until after a match between PR2 and TMR2 occurs (i.e. the period is

complete). In PWM mode the CCPR1H is a read-only register.

The other bits of CCP1CON registers are used for making the

Capture/Compare/PWM module as PWM i.e. by making the last four bits “1100” or “1111”

38

Page 45: Solar Charge Controller

will activate the module in PWM mode. The CCP1CON<7:6> bit are not used and it should

be “00”.

3. The maximum PWM resolution (bits) for a given PWM frequency is given by the

following formula:

Note that if the PWM duty cycle value is longer than the PWM period, the CCP1 pin will

not be cleared.

4. Make the CCP1& CCP2 pins an output by clearing the TRISC<2:1> bits.

Figure 5.2.1 Simplified PWM block diagram Figure 5.2.3 PWM waveform

5. Set the TMR2 prescale value and enable Timer2 by writing to T2CON register.

T2CON is 8-bit register. First bit is unused hence it is ‘0’.The next four bits denote

the postscale value. The Timer2 postscale is not used in the determination of the PWM

frequency. Hence it should be kept as “0000”. TMR2ON bit is second bit in T2CON

register and it is used to start the timer.

TMR2ON=0, Timer2 is OFF

TMR2ON=1, Timer2 is ON

When Timer2 is ON, Value in the TMR2 increments from 00h until it matches PR2

and then Resets to 00h on the next increment cycle.

39

Page 46: Solar Charge Controller

The last two bits of T2CON register i.e. T2CKPS1:T2CKPS0 denote the TMR2

prescale value which is used in both PWM period and duty cycle equation.

T2CKPS<1:0> = “00”, prescale is 1

T2CKPS<1:0> = “01”, prescale is 4

T2CKPS<1:0> = “10” or “11”, prescale is 16

A PWM output has a time base (period) and a time that the output stays high (duty cycle).

5.8 ANALOG TO DIGITAL CONVERTER

The conversion of an analog input signal results in a corresponding 10-bit digital

number. The A/D module has high and low-voltage reference input that is software

selectable to some combination of Vdd, Vss, RA2 or RA3.

The A/D converter has a unique feature of being able to operate while the device is

in sleep mode. To operate in sleep, the A/D clock must be derived from the A/D’s internal

RC oscillator. The A/D module has four registers. These registers are:

A/D Result High Register (ADRESH)

A/D Result Low Register (ADRESL)

A/D Control Register 0 (ADCON0)

A/D Control Register 1 (ADCON1)

The ADCON0 register controls the operation of the A/D module. The ADCON1

register configures the functions of the port pins. The port pins can be configured as analog

inputs (RA3 can also be the voltage reference) or as digital I/O.

The ADRESH: ADRESL registers contains the 10-bit results of the A/D conversion.

When the A/D conversion is complete, the result is loaded into this A/D result register pair,

the GO/DONE bit (ADCON0<2>) is cleared and the A/D interrupt flag bit ADIF is set. The

block diagram of the A/D module is shown (figure 5.3) After the A/D module has been

configured as desired; the selected channel must be acquired before the conversion is

started. The analog input channels must have their corresponding TRIS bits selected as

inputs. After this acquisition time has elapsed, the A/D conversion can be started.

40

Page 47: Solar Charge Controller

Figure 5.3 A/D converter circuit

5.8.1 WORKING OF ADC

1. Configure the A/D module:

Configure analog pins/voltage reference and digital I/O (ADCON1)

Select A/D input channel (ADCON0)

Select A/D conversion clock (ADCON0)

Turn ON the A/D module (ADCON0)

ADCON1 REGISTER:

ADFM: A/D Result Format Select bit

1=Right justified. Six Most significant bits of ADRESH are read as ‘0’.

0=Left justified. Six Least significant bits of ADRESL are read as ‘0’.

ADCS2: A/D conversion clock select bit

41

Page 48: Solar Charge Controller

Bit 5 and 4 are unimplemented and read as zero.

Bit<3:0> are kept as “0000”.this will result in all the port a pins are used as analog

ADCON0 REGISTER:

Bit 7-6 ADCS1:ADCS0: A/D Conversion Clock Select bits. These bits work with ADCS2

bit in ADCON1 register.

Bit 5-3 CHS2:CHS0: Analog Channel Select bits

42

Page 49: Solar Charge Controller

Bit 2 GO/DONE: A/D Conversion Status bit

When ADON=1:

1= A/D conversion in progress (setting this bit starts A/D conversion which is

automatically cleared by hardware when the A/D conversion is complete.)

0=A/D conversion not in progress

Bit 1 Unimplemented and read as ‘0’

Bit 0 ADON: A/D ON bit

1=A/D converter module is powered up

0=A/D converter module is shut-off and consumes no operating current.

Step 2: Wit for required acquisition time.

Step 4: Start conversion by setting the GO/DONE bit (ADCON0)

STEP 5: Wait for A/D conversion to complete by polling for the GO/DONE bit to be

cleared

STEP 6; Read A/D Result register pair (ADRESH: ADRESL) 8 bit each.

Important notes:

1. Reference voltage (Vref has no effect on the equation since it cancels itself out.

2. The charge holding capacitor (Chold) is not discharged after each conversion

3. The maximum recommended impedance for analog sources is 2.5Kohm.this is required

to meet the pin leakage specification.

4. The GO/DONE bit should not be set in the same instruction that turns ON the A/D. [5]

43

Page 50: Solar Charge Controller

6. INVERTER

An inverter is an electric device that converts direct current (DC) to alternating

current (AC); the converted AC can be at any required voltage and frequency with the use

of appropriate transformers, switching, and control circuits. Inverters are commonly used to

supply AC power from DC sources such as solar panels or batteries.

There are two main types of inverter. The output of a modified sine wave inverter is

similar to a square wave output except that the output goes to zero volts for a time before

switching positive or negative. It is simple and low cost and is compatible with most

electronic devices, except for sensitive or specialized equipment, for example certain laser

printers. A pure sine wave inverter produces a nearly perfect sine wave output (<3% total

harmonic distortion) that is essentially the same as utility-supplied grid power. Thus it is

compatible with all AC electronic devices.

The most common power inverter is 12V to 230V inverter. Perhaps that is because

12V batteries are common. This type of power inverter usually draws current from a DC

battery. This battery should be able to provide a high flow of electric current. Normally lead

acid batteries can server this purpose well. This current is then converted to 230V square

wave alternative current so that we may empower those electric appliances which work on

230V instead of 12V.

6.1 PRINCIPLE OF OPERATION

Figure 6.1 Basic Inverter.

In one simple inverter circuit, DC power is connected to a transformer through the

center tap of the primary winding. A switch is rapidly switched back and forth to allow

current to flow back to the DC source following two alternate paths through one end of the

44

Page 51: Solar Charge Controller

primary winding and then the other. The alternation of the direction of current in the

primary winding of the transformer produces alternating current (AC) in the secondary

circuit. [6]

6.2 CIRCUIT DIAGRAM

Figure 6.2 Inverter Circuit

1.CD4047 is a 14 pin IC.Its CMOS low-power Monostable / Astable multivibrator It is used

in astable mode(figure 6.2).

Pin no. 4, 5, 6, 14 is connected to VDD i.e. 12V battery through a forward biased

diode D1 (1N4007).Resistor of 330 Ohms is connected to the common terminal of

these pins.

Pin no. 7, 8, 9, 12 is connected to VSS (ground).

Pin no. 10 and 11 are output terminals and they are connected to the gates of both

the power MOSFETs IRF540 through 100 Ohm resistor.

Pin no. 1 is connected to capacitor C2 and it is shorted with Pin no.3.

Pin no. 2 and 3 are connected through variable resistor VR1.

45

Page 52: Solar Charge Controller

2. Sources of T1 & T2 are shorted together and their common terminal is connected to

ground. Their drain terminals are connected to one of the terminals of the secondary

winding of the transformer.

3. Transformer used is center tap type so the common terminal is connected to the positive

terminal of the battery. A Capacitor of 0.1uf is connected parallel to the primary winding.

4. D7 red LED shows the state of inverter i.e ON or OFF.

6.3 WORKING OF INVERTER

It’s a simple DC to AC inverter; Output signal of this inverter is not sinusoidal.

This circuit uses CD4047 IC as the heart of multi-vibrator .CD 4047 is a low power

CMOS astable / monostable multivibrator IC. The CD4047B is capable of operating in

either the monostable or astable mode. It requires an external capacitor C2 (between pins 1

and 3) and an external variable resistor VR1 (between pins 2 and 3) to determine the output

pulse width in the monostable mode, and the output frequency in the astable mode. Here it

is wired as an astable multivibrator producing two pulse trains of required frequency which

are 180 degree out of phase at the pins 10 and 11, and 50% of the cycle to meet the

obligation to produce pulse inverter.

Pin 10 is connected to the gate of T1 and pin 11 is connected to the gate of T2

through resistor R5 & R6.These resistors are used to restrict the flow of current through the

gate terminals of both the MOSFETs in other words they are used to protect the MOSFETs.

Resistors R3 and R4 limits input current of IC. A Capacitor of 0.1uf is connected parallel to

the primary winding. This capacitor is used to filter out the fluctuations and spikes in the

output AC voltage.

When pin 10 is high, MOSFET T1 conducts and current flows through the upper

half of the transformer primary which accounts for the positive half of the output AC

voltage.

46

Page 53: Solar Charge Controller

When pin 11 is high, MOSFET T2 conducts and current flows through the lower

half of the transformer primary in opposite direction and it accounts for the negative half of

the output AC voltage.

Astable operation is enabled by a high level on the astable input or low level on the

astable (bar) input. A frequency twice that of Q is available at the Oscillator Output.MOV

(Metal Oxide Varistor) is used as over voltage protection component at the output of the

transformer. [7]

6.4 CALCULATIONS

In order to get a frequency of 50Hz (output) we have to select the values of R and C

connected externally.

Terminal (10 &11) = 4.40RC ……(A) [from the data sheets Pin 10 & 11 are the o/p

pins of the IC 4047 that generates complementary output waves.]

From the output waveforms, we can see that

The frequency of O/P wave=frequency of O/P from terminal 10 & 11.

Frequency of O/P wave=50Hz.

tA10=tA11=1/50Hz=4.40RC.

0.02sec=4.40RC

Let us choose, C= 0.1μF

As t=0.02 μsec.

Substitute the value of C in equation (A).

0.02=4.40R*0.1*10^-6

R=0.02/ (4.40R*0.1*10^-6) = 47kΩ.

Hence from the above calculations we choose R = 47 KΩ and C = 0.1μf to

generate the 50Hz output. Output pulses at terminals t10 & t11 have the voltage of

10V-12V when the IC is ON.

47

Page 54: Solar Charge Controller

From the datasheets,

IC operating voltage varies from 3V-18V.

DC input current to any one terminal=±10mA.

Hence, a resistor should be chosen such that maximum current through

each terminal should not be more than 10mA.Since there are four terminals

connected to battery,

I < V/R

R > 12/40mA=12/(40*10-3)=300Ω [I=40mA because there are 4 terminals

connected to the resistor]

We choose R= 330Ω.

We are using IRF540 power MOSFET.

From datasheets its specifications are Vdss=100V and Ia = 22 Amp.

Our system working at,

Vds = 12V, Vgs = 10V, Vth = 6V.

The value of resistance should be within 100Ω-300Ω in order to damp the

oscillations caused when sudden voltage is applied to the gate of the MOSFET. Oscillations

are caused due to the input capacitance of the MOSFET which is of the order of 500pF-

3000pF and small inductance of the connecting wires.

Choose the gate resistance = 220Ω.

6.5 OBSERVATIONS

We tested our Inverter circuit using a 12V battery and we observed that

1. We are using CD4047 IC used as an astable multivibrator for generating one

waveform each for driving two n-channel MOSFETs. For proper working of

Inverter specifications of waveforms for driving the MOSETs are as follows:

Frequency of waveforms should be of 50 Hz, Which will bring the AC inverter

output frequency equal to 50 Hz. Many appliances work on this frequency.

Duty cycle of the waveforms should be of 50 %.

48

Page 55: Solar Charge Controller

Both the waveforms should be complementary one i.e. they are 180 degree but of

phase with each other.

The amplitude of the waveform should be at least 6 V.

We successfully achieved all this by proper value selection of capacitor and resistor

along with the use of IC CD4047.The waveforms are-

Figure 6.3 Waveforms of astable multivibrator

2. Output waveform of Inverter should be of following specification which we have

achieved using our inverter circuit and proper selection of transformer. Note that

pure sinusoidal is not required for the working of home appliances.AC square wave

is also works fine with all these devices.

Frequency of the Output Signal is 50 Hz.

Output signal should be strictly AC (alternating current) type and not DC

(direct current) type.

Amplitude of Output voltage should be around 230-240 volts AC.

49

Page 56: Solar Charge Controller

Ultimate output of our Project is:

Figure 6.4 Waveforms of Output of inverter

6.6 COMPONENT DESCRIPTION

IC CD4047

CD 4047 is a low power multivibrator (Astable & Monostable) IC Figure (6.3)

Figure 6.3 Pin Diagram of CD 4047.

From the data sheet of the device

50

Page 57: Solar Charge Controller

General Description:

The CD4047B is capable of operating in either the monostable or astable mode. It

requires an external capacitor (between pins 1 and 3) and an external resistor (between the

pins 2 and 3) to determine the output pulse width in the monostable mode, and the output

frequency in the astable mode. Astable operation is enabled by a high level on the astable

input or low level on the astable input. The output frequency (at 50% duty cycle) at Q and

Q outputs is determined by the timing components. A frequency twice that of Q is available

at the Oscillator Output; a 50% duty cycle is not guaranteed. [9]

Features:

· Wide supply voltage range: 3.0V to 15V.

· High noise immunity: 0.45 VDD (typ.)

· Low power consumption: special CMOS oscillator configuration.

· Monostable (one-shot) or astable (free-running) operation.

· True and complemented buffered outputs.

· Only one external R and C required.

Astable multivibrator features:

· Free-running or getable operating modes.

· 50% duty cycle.

· Oscillator output available.

· Good astable frequency stability.

Block Diagram:

51

Page 58: Solar Charge Controller

Figure 6.4 Block Diagram of CD 4047.

Recommended Operating Conditions:

DC Supply Voltage (VDD) 3V to 15V DC

Input Voltage (VIN) −0.5V to VDD +0.5V DC

Storage Temperature Range (TS) −65°C to +150°C

Operating Temperature Range (TA) −40°C to +85°C

MULTI VIBRATOR

A multivibrator is an electronic circuit used to implement a variety of simple two-

state systems such as oscillators, timers and flip-flops. It is characterized by two amplifying

devices (transistors, electron tubes or other devices) cross-coupled by resistors and

capacitors. There are three types of multivibrator circuit:

52

Page 59: Solar Charge Controller

1. Astable - Circuit is not stable it continuously oscillates from one state to the other.

Due to this, it does not require a input (Clock pulse or other).

2. Monostable – In this one state is stable and other state is not stable. the circuit will

flip into the unstable state for a determined period, but will eventually return to the

stable state. Such a circuit is useful for creating a timing period of fixed duration in

response to some external event.

3. Bistable – In this the circuit remains in either state indefinitely. The circuit can be

flipped from one state to the other by an external event or trigger .This circuit is also

known as a latch or a flip-flop.

The simple Multivibrator circuit consists of two cross-coupled transistors. Resistor-

capacitor network within the circuit is used define the time periods of the unstable states.

Simple astable Circuit –

This circuit shows a typical simple astable circuit, with an output from the collector

of Q1, and an inverted output from the collector of Q2. (Figure 6.4)

Figure 6.4 Astable Multivibrator.

Basic mode of operation

53

Page 60: Solar Charge Controller

The circuit keeps one transistor switched on and the other switched off. Suppose that

initially, Q1 is switched on and Q2 is switched off.

State 1:

4. Q1 holds the bottom of R1 (and the left side of C1) near ground (0 V).

5. The right side of C1 (and the base of Q2) is being charged by R2 from below

ground to 0.6 V.

6. R3 is pulling the base of Q1 up, but its base-emitter diode prevents the voltage from

rising above 0.6.

7. R4 is charging the right side of C2 up to the power supply voltage (+V). Because R4

is less than R2, C2 charges faster than C1.

When the base of Q2 reaches 0.6 V, Q2 turns on, and the following positive feedback loop

occurs:

8. Q2 abruptly pulls the right side of C2 down to near 0 V.

9. Because the voltage across a capacitor cannot suddenly change, this causes the left

side of C2 to suddenly fall to almost −V, well below 0 V.

10. Q1 switches off due to the sudden disappearance of its base voltage.

11. R1 and R2 work to pull both ends of C1 toward +V, completing Q2's turn on. The

process is stopped by the B-E diode of Q2, which will not let the right side of C1

rise very far.

This now takes us to State 2, the mirror image of the initial state, where Q1 is

switched off and Q2 is switched on. Then R1 rapidly pulls C1's left side toward +V, while

R3 more slowly pulls C2's left side toward +0.6 V. When C2's left side reaches 0.6 V, the

cycle repeats. [8]

CENTER TAPPED TRANSFORMER

54

Page 61: Solar Charge Controller

A common topology for DC-AC power converter circuits uses a pair of transistors

to switch DC current through the center-tapped winding of a step-up transformer

(figure6.5):

Figure 6.5 Center-tap transformer.

In electronics, a center tap is a connection made to a point half way along a winding

of a transformer or inductor, or along the element of a resistor or a potentiometer. Taps are

sometimes used on inductors for the coupling of signals, and may not necessarily be at the

half-way point, but rather, closer to one end. A common application of this is in the Hartley

oscillator. Inductors with taps also permit the transformation of the amplitude of alternating

current (AC) voltages for the purpose of power conversion.

METAL OXIDE SEMICONDUCTOR FIELD EFFECT TRANSISTOR

IRF540 N-CHANNEL 100V - 0.055 Ω- 22A TO-220 LOW GATE

CHARGE STripFET™ II POWER MOSFET

Features:

Typical Rds (on) = 0.055w

- Exceptional dv/dt capability.

- 100% avalanche tested.

- Low gate charge.

- Application oriented characterization.

55

Page 62: Solar Charge Controller

Internal schematic diagram:

Figure 6.6 IRF 540

Absolute maximum ratings:

VDS Drain-source Voltage (VGS = 0) 100 V

VDGR Drain-gate Voltage (RGS = 20 KΩ) 100 V

VGS Gate- source Voltage ± 20 V

ID Drain Current (continuous) at TC = 25°C 22 A

ID Drain Current (continuous) at TC = 100°C 15 A

dv/dt Peak Diode Recovery voltage slope 9 V/ns

MOV (Metal Oxide Varistor)

It contains a ceramic mass of zinc oxide grains, in a matrix of other metal oxides

(such as small amounts of bismuth, cobalt, manganese) sandwiched between two metal

plates (the electrodes). The boundary between each grain and its neighbour forms a

diode junction, which allows current to flow in only one direction. The mass of randomly

oriented grains is electrically equivalent to a network of back-to-back diode pairs, each pair

in parallel with many other pairs When a small or moderate voltage is applied across the

electrodes only a tiny current flows caused by reverse leakage through the diode junctions.

When a large voltage is applied, the diode junction breaks down due to a combination

of thermionic emission and electron tunneling, a large current flows .The result of this

56

Page 63: Solar Charge Controller

behavior is a highly-nonlinear current-voltage characteristic, in which the MOV has a high

resistance at low voltages and a low resistance at high voltages.

A varistor remains non-conductive as a shunt-mode device during normal operation

when the voltage across it remains well below its "clamping voltage", so varistors are

typically used to suppress line voltage surges. Follow-through current as a result of a strike

may generate excessive current that completely destroys the varistor. Lesser surges still

degrade it, however. Degradation is defined by manufacturer's life-expectancy charts that

relate current, time and number of transient pulses. The main parameter affecting varistor

life expectancy is its energy (Joule) rating. As the energy rating increases, its life

expectancy typically increases exponentially, the number of transient pulses that it can

accommodate increases and the "clamping voltage" it provides during each transient

decreases. The probability of catastrophic failure can be reduced by increasing the rating,

either by using a single varistor of higher rating or by connecting more devices in parallel.

A varistor is typically deemed to be fully degraded when its "clamping voltage" has

changed by 10%. In this condition it is not visibly damaged and it remains functional (no

catastrophic failure).

In general, the primary case of varistor breakdown is localized heating caused as an

effect of thermal runaway. This is due to a lack of conformity in individual grain-boundary

junctions, which leads to the failure of dominant current paths under thermal stress. If the

energy in a transient pulse (normally measured in joules) is too high, the device may melt,

burn, vaporize, or otherwise be damaged or destroyed. This (catastrophic) failure occurs

when "Absolute Maximum Ratings" in manufacturer's datasheet are significantly exceeded.

57

Page 64: Solar Charge Controller

7. PCB LAYOUT

Figure 7.1 Main PCB Layout

1.Above PCB Layout is prepared in ALTIUM DESIGNER Summer 09 Software.

2.In a single PCB we are successfully designed our DC-DC Converter, Microcontroller

Board, Inverter Circuit with all the necessary protections.

58

Page 65: Solar Charge Controller

3.The PCB is of double layer type with RED lines denote the upper layer and the blue lines

denote the bottom layer of the PCB.

4.Thickness of lines is 2 mm each with minimum spacing between them is 3 mm

8. CONCLUSION

Our objective was to –

1. Cope up with the existing problem of load sheding in rural areas.

2. Make a use of freely available renewable natural sources effectively in

every person hand.

3. Eliminate all the drawbacks related to conventional energy resources (ex.-their

depletion), power generation using these conventional energy resources (ex.- harmful

byproducts, emission of dangerous gases in environment, high production and running

cost, heavy transmission and distribution losses).

4.Save huge capital invested to setup a solar power plant in different locations,

Transmission cost for carrying the generated energy from solar plant to every house in the

country, large piece of land used by these Solar power plants specially in our country where

available land is very less.

5. Save unnecessary wastage of consumer’s money in buying batteries and simultaneously

paying for the use of electricity.

All this drawbacks are successfully eliminated by this project. This project will help

every person to building their own reliable, cost efficient, small spaced mini power plant in

their homes.

Now every home can generate electricity for its personal use saving lots of natural

resources, money which will ultimately improve our country’s economy.

59

Page 66: Solar Charge Controller

9. REFERENCES

1. DPR on “GRID CONNECTED SOLAR PHOTOVOLTIC (SPV) POWER PLANT”,

under Rooftop and other Small Solar Power generation Program (RPSSGP) and Jawaharlal

Nehru National Solar Mission (JNNSM),by PHTO ENERGY SYSTEM LIMITED.

2. MARK Z. JACOBSON (2009). Review of Solutions to Global

Warming, Air Pollution, and Energy Security.

3. VIKAS K. SINGH.DPR on “MICROCONTROLLER BAED SOLAR CHARGER”,

2009

4. KHNCHANDANI (2003) edition, POWER ELECTRONICS.

5. Datasheet of PIC16F876A microcontroller, by MICROCHIP TECHNOLOGIES.

6. Bedford, B. D.; Hoft, R.G. et al. (1964).PRINCIPALES OF INVERTER CIRCUITS.

New York: JOHN WILEY

7. Dr. ULRICH NICOLAI, Dr. TOBIAS REIMANN, Prof. JURGEN PETZOLDT, JOSEF

LUTZ: “Application Manual IGBT & MOSFET Power Modules”.

8. OWEN, EDWARD L. (January/February 1996). “Origins of the Inverter”, IEEE Industry

Applications Magazine: History Department (IEEE).

9. Datasheet of CD4047B, by TEXAS INSTRUMENTS.

60