2 Ekim 2015 Doktora Savunma Sunusu

Preview:

Citation preview

Muhammed Fahri ÜNLERŞEN

FPGA KULLANILARAK DİZİ ANTEN PERFORMANSININ İYİLEŞTİRİLMESİ

İçerik

• Giriş• Literatür• Materyal ve Yöntem• Yapılan Ölçüm ve Testler• Sonuçlar

Anten Nedir?Temel olarak anten, iletim hattında bulunan kılavuzlanmış dalgaları serbest uzayda hareket eden ışımalara çeviren ve/veya tam tersi işlem yapan bir dönüştürücüdür.

Anten Dizisi Nedir?

• 2 veya daha fazla antenin uzayda farklı konumlara yerleştirilmesiyle oluşan ve tek anten gibi kullanılan yapılara anten dizileri denir.

• Anten dizileri geometrilerine göre ;– Düzgün Doğrusal Diziler – Düzgün Dairesel Diziler – Konformal Diziler

• Anten dizileri bir doğru üzerinde olabileceği gibi iki boyutlu da olabilirler.

Anten Dizilerinin Avantajları

• Yalnız anten elemanına göre daha yüksek kazanç sunarlar.

• Eş kanal sönümlemelerine engel olur.• Belirli bir doğrultu dışından gelen sinyalleri

baskılayarak gürültüyü azaltırlar.• Işıma desenleri biçimlendirilebilir (Uzay bölmeli

çoklu erişim (SDMA)’nın temelidir).• Gelen sinyal yönü kestirimi yapabilir.

Akıllı (Smart) Anten• Bir akıllı anten sistemi, ışıma desenini gelen sinyallere göre şekillendirebilen ve ışımanın hangi kullanıcılara doğru oluşturulacağını ayarlayabilen, dizi anten yapılarıdır.

Akıllı Anten

• Akıllı antenler, her ne kadar iletişim hızını artırmış olsalar da yüksek işlem yüküne sahip sinyal işleme algoritmaları haberleşme performansını etkilemektedir.

• Bu nedenle sinyal işleme donanımı akıllı antenlerin performansına oldukça önemli bir etkiye sahiptir.

• Akıllı antenlerin sinyal işleme birimi donanımı olarak alanda programlanabilen kapı dizileri (FPGA) kullanımı akıllı antenlerin performansını artıracaktır.

FPGA (Field Programmable Gate Array)• FPGA’lar donanım tanımlama dili (Hardware

Description Language, HDL) kullanılarak programlanırlar.

• FPGA’lar bakma tabloları (LookUp Tables, LUTs) olarak adlandırılan birimlerden oluşur.

• FPGA’lar hızlarına ve barındırdıkları LUT sayılarına göre sınıflandırılırlar.

• En önemli özellikleri ise paralel işlem yapabilme yetenekleridir.

FPGA Deney SetiDE2-115 ALTERA

Literatür

• Miura ve arkadaşları, 1997 yılında 13000 kapılı 10 adet FPGA kullanarak L bandında (1.542 GHz) uydu sinyalleri için DOA kestirimi yapmışlardır.

• 4 × 4 şeklinde /2 aralıkla yerleştirilmiş 16 adet yuvarlak λyama anten kullanmışlardır.

• Tasarladıkları DSP ile iki boyutlu FFT metodu ile DOA kestirimi yapılmıştır.

• Ayrıca alınan sinyal QPSK metoduna göre demodüle edilmiştir.

• Saniyede 2 Mbit veri işlenmiştir.

Literatür

• Hutchings ve Nelson, 2001 yılında iki alanda 400 MHz işlemcili bir kişisel bilgisayar ve 50 MHz frekansta çalışabilen bir FPGA’yı karşılaştırmışlardır.

• Pasif demet şekillendirme işlemini yine 400 MHz işlemcili bir kişisel bilgisayarla ve 50 MHz frekansta çalışabilen bir FPGA ile gerçekleştirmişlerdir.

• Sonuçta kişisel bilgisayar bir kere şekillendirme yapıncaya kadar FPGA’nın 83 kere şekillendirme yapabildiğini tespit etmişlerdir.

Literatür

• Kim ve arkadaşları, 2003 yılında FPGA kullanarak DOA kestirim algoritmalarından MUSIC algoritmasını gerçekleştirmişlerdir.

• FPGA üzerinde bir CORDIC temelli Cyclic Jacobi işlemci tasarlamışlardır.

• Sistem korelasyon matrisi hesaplayıcı, öz değer ayrıştırıcı, Fourier dönüştürücü ve lokal minimum belirleyiciden oluşmaktadır.

• Korelasyon matrisi 32 saat darbesinde, öz değerler 1836 saat darbesinde ve FFT 1102 saat darbesinde hesaplanmıştır.

Literatür

• Kim ve arkadaşları, 2004 yılında ana demeti Dolph-Chebyshev demeti mantığı ile yönlendirilerek DOA kestirimi yapmışlardır.

• Demet şekillendirme katsayıları, daha önceden hesaplanarak ROM’da saklananmıştır.

• Eş kanal sönümlenmesi ve eklemeli beyaz Gauss gürültüsünde küçük açısal kaymalarla başarılı sonuçlar alınmıştır.

Literatür

• Fang ve arkadaşları, 2005 yılında FPGA temelli ULA anten alıcı sistemi tasarlamışlardır.

• Genel amaçlı gerek demet şekillendirmesi gerekse DOA kestirimi yapan bir platform oluşturmuşlardır.

• Sistem yönleri değiştirilebilen dizi anten elemanları, senkron programlanabilir analog faz kaydırıcıları ve FPGA kontrol kartından oluşmaktadır.

• Deneyler bilgisayar tarafından kontrol edilen bir sinyal jeneratörü ve FPGA çıktılarını bilgisayara aktaran bir ara birim ile yapılmaktadır.

Literatür

• Garcia ve arkadaşları, 2006 yılında 4 elemanlı ULA anten kullanarak DOA kestirimi yapmak için bir sistemi tekrar konfigüre etmişlerdir.

• IF sinyali 64 Msps hızında 12 bit olarak dijitale çevrilmiş ayrıca 128 Msps hızında dijital veriler analoğa çevrilerek giden IF sinyali elde edilmiştir.

• Alınan bilgiler evrensel seri bağlantı (Universal Serial Bus, USB) bağlantısı ile Linux işletim sistemi içerisinde çalışmakta olan bir ara yüze gönderilmiştir.

• Bu ara yüz gelen sinyallerden çeşitli algoritmalar ile DOA kestirimi yapmaktadır.

Literatür

• Garcia ve arkadaşları, 2007 yılında FPGA üzerinde DOA kestiriminin çözünürlüğünü artırmak için bulanık mantık sistemi kurmuşlardır.

• Simülasyonlarda, ULA anten yapısı ile düşük çözünürlüklü DOA kestirim algoritması kullanılmıştır.

• Birbirine açısal olarak yakın ve birbirini etkileyen iki kaynağı ayırt edebilmektir.

• Bulanık mantık algoritmasının birbirine yaklaşan düşük SNR değerine sahip iki kaynağı takip etmede başarılı olduğu belirtilmektedir.

Literatür

• Yao ve arkadaşları, 2008 yılında çoklu alt dizili demet-uzay MUSIC (Multiple sub-array beam-space, MSB-MUSIC) adını verdikleri yeni bir DOA kestirim algoritması geliştirmişlerdir.

• FPGA üzerinde öz değer çözümlemesi için CORDIC tabanlı ayrı iki DSP tasarlamışlardır.

• Bütün bu yapı kullanılarak, göl gibi su birikintilerinin tabanından gelen yankı ile sığ sularda zemin görüntülemenin başarıldığı belirtilmektedir.

Literatür

• Mar ve Lin, 2009 yılında FPGA kullanarak çoklu demet, DOA kestirimi sıfır yönlendirme metotlarını içeren bir dijital demet şekillendirme sistemini SDR mimarisinde tasarlamışlardır.

• Bu yapı kullanılarak yapılan demet şekillendirme işlemi 55 saat darbesinde sonuca ulaşıldığı ve 30 MHz frekansında çalıştığı belirtilmiştir.

• Bir defa DOA kestirimi 1.83 µs’de gerçekleştirildiği belirtilmektedir.

Literatür

• Abusultan ve arkadaşları, 2010 yılında FPGA üzerinde çalıştırılmak üzere Bartlett DOA kestirim algoritmasını kullanmışlardır.

• 8 adet UCA anten yapısı için sinyal jeneratörü kullanılarak 12.5 Msps hızında örnek alan ADC girişleri beslenmiştir.

• Aynı yapı için FPGA’nın sadece FFT operasyonlarında 3000 kere daha hızlı olduğu belirtilmektedir.

• Algoritmanın tüm işlemlerinin toplam süresi FPGA üzerinde 287 µs sürerken işlemci ile hesaplamada bu süre 840000 µs olduğu belirtilmektedir.

Literatür

• Dikmeşe ve arkadaşları, 2011 yılında yaptıkları çalışmada CDMA sistemlerinde sıklıkla kullanılan demet şekillendirme işleminde katsayıların hesaplanması için FPGA ve DSP kullanılması durumunu karşılaştırmışlardır.

• Hesaplamalar için gereken süreleri elde etmişlerdir. • İstenilen ışıma deseni elde etmek için gereken sürenin

DSP ile 10000 µs iken FPGA’lı sistemde 25 µs olduğu belirtilmektedir.

Literatür

• Schaffer ve arkadaşları, 2012 yılında yoldaki kullanıcıları tespit etmek için 5 GHz bandında FPGA altyapısında çalışan bir sistem tasarlamışlardır.

• Sistem araç içerisine yerleştirilerek ve çevresindeki bisiklet, araba gibi nesnelerin hızlarını ve konumlarını belirlemektedir.

• Virtex 4 FPGA cihazı kullanılmıştır. • Deneylerde 100m’ye kadar olan yol kullanıcılarının tespit

edilebildiği belirtilmektedir.

Literatür

• Ghayoula ve arkadaşları 2013 yılında yaptıkları çalışmalarında bir melez algoritmayı FPGA tabanlı bir sistem üzerinde test ettikleri belirtilmektedir.

• Anten dizisi 10 elemandan oluşmaktadır. • FPGA olarak Spartan-3E cihazı üzerinde Dolph–Chebyshev

ışıma deseni şekillendirici kullanılmıştır. • Anten elemanları için gerekli olan kat sayıları

hesaplamaya yarayan bir donanım tasarımının başarıldığı belirtilmektedir.

Literatür

• Inserra ve Tonello, 2014 yılında yaptıkları çalışmada DOA kestirim algoritmalarını deneyebilmek için bir test düzeneği tasarlamışlardır.

• Bu düzenekte kendi ekseni etrafında dönebilen, 4 adet /2 λaralıkla yerleştirilmiş bir ULA anten bulunmaktadır.

• Antenlerden alınan bilgiler FPGA’ya aktarılarak istenilen DOA kestirim algoritması bu yapı içerisinde tasarlanarak test edilebildiği belirtilmektedir.

Materyal Yöntem

• 4 adet monopol anten• 4 kanal F – E RFS • 4 kanal 50 Msps hızında örnekleme yapabilen ADC kartı • Cyclone IV E: EP4CE115F29C7 FPGA cihazını barındıran

Altera DE2-115 geliştirme kartı • PC arayüzü

Gelen Sinyal Yön (DOA) Kestirim Algoritmaları

İncelenen Gelen Sinyal Yönü Kestirim Algoritmaları• Bartlett Metodu• Minimum varyans bozunumsuz tepki (MVDR) metodu• Birinci dereceden ileri kestirim metodu • Çoklu sinyal sınıflandırma (MUSIC) metodu• Minimum örnek metodu• Rotasyonel değişmezlik tekniği ile işaret parametrelerinin

kestirimi (ESPRIT)

Bartlett Metodu

• Mekanik olarak bir antenin çevrilerek ilgili doğrultudaki gücün ölçülmesi ile benzerdir.

• Düşük açısal çözünürlüğü vardır ve parametrik olmayan çözüm sunar.

• Sonuçlar sözde spektrumda verilir. • Çözünürlük anten açıklığına bağlıdır. • Antenlerin konumlama hatalarına karşı güçlüdür

2

( )H

BS R SP

N

Minimum Varyans Bozunumsuz Tepki (MVDR) Metodu

• Optimal demet şekillendirme olarak da bilinir. • Çıkış sinyalinin SNR değerini maksimize eder. • Sonuçlar sözde spektrumda verilir. • Bartlett metodundan daha iyi açısal çözünürlük

özelliklerine sahiptir. • Ancak diğer hiçbir metottan daha iyi değildir.

1

1( ) MVDR HP

S R S

Birinci Dereceden İleri Kestirim Metodu

• Gelecekteki verileri tahmin etmeye temel olacak geçmiş istatistikler kullanımı (autoregressive, özbağlanumlı) metodunu referans alır.

• Yaklaşık eşit güçte ve koherent kaynakların bulunduğu ortamlar için uygun bir kestirim metodudur.

• Sonuçlar sözde spektrumda verilir. • Bartlett ve MVDR algoritmasından daha iyi performansa

sahiptir.

1

1 121

1

H

FOFP HP u R u

u R S

Çoklu Sinyal Sınıflandırma (MUSIC) Metodu

• Popüler yüksek çözünürlüklü öz yapı (eigenstructure) metodudur.

• Öz yapı (eigenstructure) çözümlemesinden dolayı işlem yükü çok fazladır.

• Sonuçlar sözde spektrumda verilir. • Aralarında korelasyon olan kaynakları ayırt edemez. • Ortamda bulunan kaynak sayısının bilinmesi önemlidir. • Ortamda anten sayısından fazla kaynak olması hatalara

sebebiyet verir.

2

1( )( )MUSIC H H

n n

PS Q Q S

Minimum Örnek Metodu

• Sadece ULA anten yapısı için uygulanabilen bir metottur.• Öz yapı (eigenstructure) çözümlemesi ile gürültü uzayı

kullanılarak kestirim yapılır. • Sonuçlar sözde spektrum olarak verilir. • Aralarında korelasyon olan kaynakları ayırt edemez.• Kaynak sayısının anten sayısından fazla olduğu

ortamlardaki gelen sinyal yön kestirimlerine güvenilemez.

_ 2

1( )( . . . )MIN NORM H H

n n

PS Q Q e

Rotasyonel Değişmezlik Tekniği ile İşaret Parametrelerinin Kestirimi

• Yüksek çözünürlüklü öz yapı (eigenstructure) metodudur.• Öz yapı çözümlemesi sebebiyle işlem yükü çok fazladır. • Ortamdaki kaynakların genlik bilgisi yoktur. Gelen sinyal

yönleri parametrik olarak verilir. • Ortamda bulunan kaynak sayısının bilinmesi önemlidir. • Kaynak sayısının yanlış verilmesi hatalı sonuçlara

sebebiyet verir. • Korelasyonlu kaynakların yönlerini doğru tespit edemez.

( ( ( )))arcsin( )2ESPRIT

Arg eig Xkd

Işıma Deseni Şekillendirme

• Butler Matrisi• Blass Matrisi • Rotman lensi • Fourier dönüşüm metodu• Schelkunoff polinom metodu• Klasik faz kaydırma metodu• Dolph-Chebyshev metodu • En küçük kare metodu• Chebyshev and Taylor metodu

Butler Matrisi

• Işıma deseni şekillendirme iletim hattı yapılarından biridir. • Anten sayısı kadar giriş portuna sahiptir. • Anten sayısı 2’nin katları şeklinde ilerler. • Her giriş portu farklı bir yöne ışıma oluşturtur. • Dizi karşısına ışıma yapan bir port bulunmaz. • Girişler birbirinden yalıtılmıştır. • Faz kaydırıcılar kullanıldığı için geniş bant uygulamalarında

kullanışlı değildir. • Kompleks bir yapıya sahiptir. • Mikroişlemci sadece işaret gönderilecek portu seçer.

Blass Matrisi

• Işıma deseni şekillendirme iletim hattı yapısıdır. • Giriş ve çıkış port sayıları ilişkisizdir. • Bir grup gecikme hattı ve yönlü kuplörden oluşur. • Bu nedenle geniş bant yayın uygulamaları için daha

uygundur. • İleti hatları uyumlu sonlandırmalara ihtiyaç duyduğu için

kayıplı bir metottur. • Mikroişlemci sadece işaret gönderilecek portu seçer.

Rotman Lensi

• Tek parça olarak tasarlanan ve ışıma deseni şekillendiren bir iletim hattı yapısıdır.

• Işıma deseni şekillendirme işlemini gecikme ile yaptığı için geniş bant uygulamalarına uygundur.

• Kullanılmayan giriş portlarında gürültü oluşması ve portlarda yansımasız anahtarlar kullanım zorunluluğu pratikteki en büyük sakıncalarındandır.

• Mikroişlemci sadece işaret gönderilecek portu seçer.

Fourier Dönüşümü

• Bu metot istenin yön veya yönlerde ana demet oluşturmak için ULA antenin genlik ve faz değerlerini hesaplamakta kullanılır.

• Hesaplanan genlikler sinyal dijital ortama alınarak uygulanabilir.

• Sabit ışıma desenli metotlara göre en büyük avantajı iletim hatlarında herhangi biri anahtarlamaya ihtiyaç olmaksızın ışıma deseni şekillendirebilmesidir.

• Ancak kullanılan Fourier dönüşüm işlemi ciddi bir işlem yüküne sahiptir.

Schelkunoff Polinomu

• Bu metot ULA antenlerinin genlik ve faz değerlerini belirleyerek ışıma deseninin istenilen yönlerinde sıfırlar oluşmasını sağlar.

• Anten sayısından bir eksik adette sıfır noktası oluşturabilir.

• Bu işlemin temel mantığı ışıma deseni formülünü kökler çarpımı haline çevirmektir.

• Bu metodun en önemli avantajı gürültü kaynağı olduğu bilinen doğrultunun baskılanabilmesidir.

• Kat sayıların hesaplanması işlemi ciddi bir işlem yüküne sahiptir.

Klasik Faz Kaydırma

• Bu metot, ULA antenin doğrultusunu fiziksel olarak değiştirmeye benzemektedir.

• Her bir antene ulaşan sinyal arasında belirli bir faz farkı oluşturarak tek bir ana demetin doğrultusu değiştirilir.

• En büyük avantajı elemanların genlik ve faz katsayılarını hesaplamanın kolay olmasıdır.

• En önemli dezavantajı ise yan kulakların kontrolsüz olmasıdır.

Dolph-Chebyshev

• Chebyshev polinomları kullanılarak sabit değerde yan kulakları olan ışıma desenleri elde etmek için kullanılır.

• Bu polinomler ilk olarak Dolph tarafından kullanılmıştır. • ULA anten elemanlarının genlik değerleri hesaplanırken

çeşitli trigonometrik dönüşümler yapılır. • Bu sebeple bu algoritma oldukça yüksek işlem yüküne

sahiptir.

En Küçük Kareler

• Bu metotta ışıma deseni sentezleme problemi, dijital FIR filtre sentezleme işlemine benzetilerek ULA anten elemanlarının genlikleri hesaplanır.

• Hesaplamada minimuma indirilmeye çalışılan hata en küçük kareler metodu ile hesaplanır.

Chebyshev and Taylor

• Bu metot ana demet genişliği ile yan kulak seviyesi arasında optimum bir ışıma deseni oluşturur.

• İlk yan kulaklar eşit ve belirli bir seviyededir. • Kulak seviyeleri ana demetten uzaklaştıkça düşer. • Hesaplama işlemlerinin ciddi bir yüke sahip olması en

büyük dezavantajıdır.

Tasarlanan Sistem

• ULA antenarasında d kadar mesafe bulunan N adet elemandan oluşmaktadır.

• M adet kaynaktan f0 merkez frekansına sahip A genliğinde θ açısıyla sinyal gelmektedir.

• Antenden alınan sinyaller fs örnekleme frekansı ile analogdan dijitale dönüştürülmekte ve K adet örnek kaymalı kaydedicide saklanmaktadır.

• Gelen sinyalin frekans modülasyonu ile kodlandığı kabul edilirse mdm modülasyon bileşenidir.

• Bu durumda çıkış N × K boyutunda bir uzay-zaman matrisi (UZM) oluşur.

Tasarlanan Sistem

• Dijital sinyalden K adet örnek kaymalı kaydedicide saklanmaktadır.

• Gelen sinyalin frekans modülasyonu ile kodlandığı kabul edilirse mdm modülasyon bileşenidir.

• Bu durumda çıkış N × K boyutunda bir uzay-zaman matrisi (UZM) oluşur.

Tasarlanan Sistem

• Burada k dalga sayısıdır ve değeri 2π/λ dır. • φm ise her bir kaynağın ULA antene sinyali ulaştığı andaki

fazıdır.• P modülasyon katsayısı, fmd ise modülasyon frekansıdır

0 01

1 1( , ) cos(2 ( ) sin( ) )

1

M

m m m mm s

i KiUZM i n A f t kd mdn Nf

md 0cos(2 ( ))ms

imd P f tf

Antenlerden Alınan Sinyallerden Sanal Bileşenin Elde Edilmesi

00 0

1

md 0 md 0

00 0

1

2( ) sin(2 ( ) sin( ) )

2 .sin(2 ( )) 0.0035.sin(2 ( )) 0

2 sin(2 ( ) sin( ) )

Mm

m m m mms s

m md

s s s

M

m m m mms s

f dmddUZM iA f t kd mddt f dt f

dmd f i iP f t f tdt f f f

fdUZM iA f t kd mddt f f

0

25. .2 8

sSANAL

f dUZM dUZMUZMf dt dt

Melez DOA Kestirim Algoritması

Melez DOA Kestirim Algoritması

Melez DOA Kestirim Algoritması

Gelen en yakın iki sinyal arasındaki açı farkı ile üç algoritmanın RMSE değeri değişimi

Yapay Sinir Ağları (ANN) Kullanarak DOA Kestirimi

Yapay Sinir Ağları (ANN) Kullanarak DOA Kestirimi

Matlab ortamında ANN’nin bilmediği konumlar için DOA kestirimi sonucu

Genetik Algoritma Kullanarak Işıma Deseni Şekillendirme

Genetik algoritma ile DBF için kullanılan AID

Genetik Algoritma Kullanarak Işıma Deseni Şekillendirme

Genetik Algoritma Kullanarak Işıma Deseni Şekillendirme

Genetik Algoritma ile elde edilen katsayıların oluşturduğu ışıma deseni

Yapay Arı Kolonisi Algoritması ile Işıma Deseni Şekillendirme

• Karaboğa ve ark., 2004 yılında yaptıkları bir çalışmada dijital IIR filtresi tasarımında kullanmak üzere yapay arı kolonisi (Artificial Bee Colony, ABC) adında bir optimizasyon algoritması geliştirmişlerdir.

• Bu algoritmaya ABC denilmesinin nedeni algoritmanın bal arılarının kolektif tavırlarından esinlenilerek oluşturulmuş olmasıdır.

Yapay Arı Kolonisi Algoritması ile Işıma Deseni Şekillendirme

Parametre Sembol Alt Sınır Üst Sınır Başlangıç Değeri Sınır Aşım İşlemi

Uyartım %10 %100 %50

Faz

ABC algoritmasında ULA antenin parametreleri, başlangıç değerleri, alt ve üst limitler ve limit aşım işlemleri

ABC Algoritması

Kullanılan maske örneği

21.

1n

i i i iiYID AID YID AID

Qn

Yem Kalitesinin Hesaplanması

Yapay Arı Kolonisi Algoritması ile Işıma Deseni Şekillendirme

Ana demeti 0˚ konumunda olan ve SLL değeri -20 dB olan bir AID için ABC algoritması ile elde edilen parametreler ile oluşan ışıma deseni

Yapay Arı Kolonisi Algoritması ile Işıma Deseni Şekillendirme

Ana demeti 0˚ konumunda olan ve SLL değeri -15dB olan, -47˚ ve -27˚ ve 27˚ ve 47˚ bölgelerinde -35dB değerinde sönümleme olan bir AID için ABC algoritması ile elde edilen parametreler ile oluşan ışıma deseni

Yapay Arı Kolonisi Algoritması ile Işıma Deseni Şekillendirme

±40˚ konumunda iki adet ana demeti bulunan ve SLL değeri -13,64dB olan bir AID için ABC algoritması ile elde edilen parametreler ile oluşan ışıma deseni

Tasarlanan Sistem ile Yapılan Ölçümler

Tasarlanan Sistem ile Yapılan Ölçümler

Kurulan sistemle ölçüm çalışması yapılırken alınan bir fotoğraf

Tasarlanan Sistem ile Yapılan Ölçümler

Ölçümlerde alınan bir örneğin filtreli ve filtresiz hali

FPGA Üzerinde Yapılan Ortak İşlemler

• Fiziksel ortamdan alınan sinyaller gerçel değerlere sahiptir.

• Ancak DOA kestirim algoritmaları giriş olarak sinyallerin sanal kısmına da ihtiyaç duyar.

( ) ( )2 2

25.( ) 25.( )8 8

n n n nn

n n n nn

sd od od sddtr

sd od od sddti

0

40 10 252 4 8

sff

FPGA Üzerinde Klasik Demet Şekillendirme Metodu

(( 1) cos( ) )

1

1 . . .n

Nj n kd

nn

AF e n k d cos

2

1

2

1

( ) cos(( 1) cos( )) sin(( 1) cos( ))

( ) sin(( 1) cos( )) cos(( 1) cos( ))

( ) ( ) ( )

N

r n nn

N

i n nn

r i

P Xr n kd Xi n kd

P Xr n kd Xi n kd

P P P

( 1) cos( )

1

( ) .N

j n kdn

n

P X e

FPGA Üzerinde Klasik Demet Şekillendirme Metodu

2

1 1

2 2

3 3

24 4

2

1 1

2

( ) {

.cos_diz 2.cos_diz .sin_diz 2.cos_diz

.cos_diz 4.cos_diz .sin_diz 4.cos_diz

.cos_diz 6.cos_diz .sin_diz 6.cos_diz }

( ) {

.si

r

i

PXr Xi

Xr Xi

Xr Xi

Xr Xi

PXr Xi

Xr

2

3 3

24 4

2 2 2

n_diz 2.cos_diz .cos_diz 2.cos_diz

.sin_diz 4.cos_diz .cos_diz 4.cos_diz

.sin_diz 6.cos_diz .cos_diz 6.cos_diz }

( ) ( ) ( )r i

Xi

Xr Xi

Xr Xi

P P P

FPGA Üzerinde Klasik Demet Şekillendirme Metodu

Gelen Sinyal Açıları

1 adet 12˚

2 adet-78˚ ve 0˚

FPGA Üzerinde Klasik Demet Şekillendirme Metodu

• Tasarlanan sistemde örnekleme frekansı 40 MHz olduğu için elde edilecek iki yeni veri arasındaki zaman farkı 25 ns’dir.

• Sözde spektrum 181 dereceden oluştuğu için 181 MHz frekansında sinyal üreten ikinci bir PLL oluşturulmuş, böylece tüm sözde spektrum taraması 1 µs’de tamamlanmıştır.

• Matlab ortamında DOA kestirimi ortalama 311 µs sürmektedir.

FPGA Üzerinde MVDR Metodu

Korelasyon matrisi hesaplama işlemleri

( , )H

Cov X Y E X E X Y E Y

20

1

1( , ) ( ).( )20 ka ka kb kb

k

R a b Xr iXi Xr iXi

120

1

120

1

1( , ) ( )120

1( , ) ( )120

ka kb ka kbk

ka kb ka kbk

Rr a b Xr Xr Xi Xi

Ri a b Xr Xi Xi Xr

FPGA Üzerinde MVDR Metodu

Matris tersi alma işlemleri

11 12 13 14 11 12 13 14

21 22 23 24 21 22 23 241

31 32 33 34 31 32 33 34

41 42 43 44 41 42 43 44

1 det

a a a a b b b ba a a a b b b b

A Aa a a a b b b bAa a a a b b b b

11 22 33 44 23 34 42 24 32 43 22 34 43 23 32 44 24 33 42

12 12 34 43 13 32 44 14 33 42 12 33 44 13 34 42 14 32 43

13 12 23 44 13 24 42 14 22 43 12 24 43 13 22 44 14 23 42

14 12 24

- - -- - -- - -

b a a a a a a a a a a a a a a a a a ab a a a a a a a a a a a a a a a a a ab a a a a a a a a a a a a a a a a a ab a a a

33 13 22 34 14 23 32 12 23 34 13 24 32 14 22 43

21 21 34 43 23 31 44 24 33 41 21 33 44 23 34 41 24 31 43

22 11 33 44 13 34 41 14 31 43 11 34 43 13 31 44 14 33 41

23 11 24 43 13 21 4

- - -- - -- - -

a a a a a a a a a a a a a a ab a a a a a a a a a a a a a a a a a ab a a a a a a a a a a a a a a a a a ab a a a a a a

4 14 23 41 11 23 44 13 24 41 14 21 43

24 11 23 34 13 24 31 14 21 33 11 24 33 13 21 34 14 23 31

31 21 32 44 22 34 41 24 31 42 21 34 42 22 31 44 24 32 41

32 11 34 42 12 31 44 14 32 41

- - -- - -- - -

a a a a a a a a a a a ab a a a a a a a a a a a a a a a a a ab a a a a a a a a a a a a a a a a a ab a a a a a a a a a

11 32 44 12 34 41 14 31 42

33 11 22 44 12 24 41 14 21 42 11 24 42 12 21 44 14 22 41

34 11 24 32 12 21 34 14 22 31 11 22 34 12 24 31 14 21 32

41 21 33 42 22 31 43 23 32 41 21 32 43

- - -- - -- - -- -

a a a a a a a a ab a a a a a a a a a a a a a a a a a ab a a a a a a a a a a a a a a a a a ab a a a a a a a a a a a a

22 33 41 23 31 42

42 11 32 43 12 33 41 13 31 42 11 33 42 12 31 43 13 32 41

43 11 23 42 12 21 43 13 22 41 11 22 43 12 23 41 13 21 42

44 11 22 33 12 23 31 13 21 32 11 23 32 12 21 33

-- - -- - -- - -

a a a a a ab a a a a a a a a a a a a a a a a a ab a a a a a a a a a a a a a a a a a ab a a a a a a a a a a a a a a a a

13 22 31a a

FPGA Üzerinde MVDR Metodu

MVDR sözde spektrum hesaplama işlemleri

4 4

1 1

1. . ( )Hij i ij j ij MVDR

i j

V S IR S U V SSU

11 12 13 14 1

21 22 23 24 21 2 3 4

31 32 33 34 3

41 42 43 44 4

. .H H H H

IR IR IR IR SIR IR IR IR S

U S S S SIR IR IR IR SIR IR IR IR S

( ) ( )

( ) ( )

ij i j i j ij i j i j ij

ij i j i j ij i j i j ij

Vr SrSr Si Si IRr SrSi Si Sr IRi

Vi SrSr Si Si IRi SrSi Si Sr IRr

FPGA Üzerinde MVDR Metodu

Gelen Sinyal Açıları

1 adet -30˚

2 adet-78˚ ve 0˚

FPGA Üzerinde MVDR Metodu

• 181 MHz frekansında sinyal üreten ikinci bir PLL kullanılmıştır.

• Birinci PLL ile üretilen 40 MHz frekanslı sinyal ile ADC’den değer okunurken ikinci PLL’in ürettiği her darbede sözde spektrumun bir açısı için gelen sinyal durumu hesaplanmaktadır.

• Tasarlanan sistemde iki yeni tam sözde spektrum taraması 1 μs sürmektedir.

• Bu algoritma ile Matlab ortamında DOA kestirimi ortalama 3.064 ms sürmektedir.

FPGA Üzerinde FOFP Metodu

FOFP sözde spektrum hesaplama işlemleri

1

1 121

1

.(.

)H

H

PAYr i PAYiSS SSPAYDA

u R u

u R r i PAYDAiS

11

11

(1,1) (1, 2) (1,3) (1,4) 1(2,1) (2,2) (2,3) (2, 4) 0

1 0 0 0 . . (1,1)(3,1) (3,2) (3,3) (3,4) 0(4,1) (4,2) (4,3) (4, 4) 0

IR IR IR IRIR IR IR IR

pay IRIR IR IR IRIR IR IR IR

PAYr IRr

PAYi IRi

FPGA Üzerinde FOFP Metodu

FOFP sözde spektrum hesaplama işlemleri

1

1 121

1

.(.

)H

H

PAYr i PAYiSS SSPAYDA

u R u

u R r i PAYDAiS

(1,1)(1,1) (1,2) (1,3) (1, 4)(2,1)(2,1) (2, 2) (2,3) (2, 4)

1 0 0 0 . .(3,1)(3,1) (3,2) (3,3) (3,4)(4,1)(4,1) (4, 2) (4,3) (4, 4)

(1,1). (1,1)

SIR IR IR IRSIR IR IR IR

paydaSIR IR IR IRSIR IR IR IR

payda IR S IR

(1, 2). (2,1) (1,3). (3,1) (4,1). (4,1)S IR S IR S

4

1 11

4

1 11

. .

. .

i i i ii

i i i ii

PAYDAr IRr Sr IRi Si

PAYDAi IRr Si IRi Sr

112 2( ) IRrSS

PAYDAr PAYDAi

FPGA Üzerinde FOFP Metodu

Gelen Sinyal Açıları

1 adet 12˚

2 adet-78˚ ve 0˚

FPGA Üzerinde FOFP Metodu

• Bu yapı için 181 MHz frekansında sinyal üreten ikinci bir PLL kullanılmıştır.

• Birinci PLL ile üretilen 40 MHz frekanslı sinyal ile ADC’den değer okunurken ikinci PLL’in ürettiği her darbede sözde spektrumun bir açısı için gelen sinyal durumu hesaplanmaktadır.

• Tasarlanan sistemde iki yeni tam sözde spektrum taraması 1 μs sürmektedir.

• Bu algoritma ile Matlab ortamında DOA kestirimi ortalama 1,418 ms sürmektedir.

FOFP sözde spektrum hesaplama işlemleri

FPGA Üzerinde ABC Algoritması

ABC ışıma deseni sentezleme işlemleri

10(( 1) sin( ) )

1

10

1

10

1

.cos(( 1) .sin( ) )

.sin(( 1) .sin( ) )

nj n kdn

n

r n nn

i n nn

ID U e

ID U n

ID U n

2 2 2r iID ID ID

1812 2 2 2

1

( )( )i i i ii

YK ID Maske ID Maske

FPGA Üzerinde ABC Algoritması

Maske ve ABC algoritmasının 1. ve 2. iterasyon sonucunda elde edilen katsayıların oluşturduğu ışıma deseni

FPGA Üzerinde ABC Algoritması

Maske ve ABC algoritmasının 1. ve 2. iterasyon sonucunda elde edilen katsayıların oluşturduğu ışıma deseni

FPGA Kullanımının Sistem Performansına Etkileri

DOA kestirim algoritmalarının ve ABC algoritmasının PC ve FPGA üzerinde çalıştırılırken hesaplama süreleri

PC ile Hesaplama (µs)

FPGA ile Hesaplama (µs)

PC'nin işlem süresi içerisinde FPGA'nın

yapabildiği işlem sayısı

Klasik demet şekillendirme ile DOA

kestirimi311 1 311

MVDR algoritması ile DOA kestirimi 3.064 1 3.064

FOFP algoritması ile DOA kestirimi 1.418 1 1.418

ABC algoritması ile DBF (her bir iterasyon) 48.000.000 54 888.889

FPGA Kullanımının Sistem Performansına Etkileri

DOA kestirim algoritmalarının ve ABC algoritmasının PC ve FPGA üzerinde çalıştırılırken hesaplama süreleri

Klasik BF MVDR FOFP YAK0

1

2

3

4

5

6

7

8

9

10

1.00E-07

1.00E-06

1.00E-05

1.00E-04

1.00E-03

1.00E-02

1.00E-01

1.00E+00

1.00E+01

1.00E+02

1.00E+03PC

FPGA

Sözde Spektrum Çözünürlüğü İle Hesaplama Süreleri DeğişimiHesaplama Süreleri (ms) 1 derece

çözünürlük0,1 derece çözünürlük

0,01 derece çözünürlük

Klasik Demet Şekillendirme 0,310737 0,975938 11,757588

MVDR 3,064611 23,236947 204,653263

FOFP 1,418529 10,511444 80,30035

1 0.1 0.010

50

100

150

200

250

MVDR

1 0.1 0.010

10

20

30

40

50

60

70

80

90

FOFP

1 0.1 0.010

2

4

6

8

10

12

14

Klasik Demet Şekillendirme

Sonuçlar

• İlk olarak uygulama yapılacak bir sistem tasarlanmıştır. • Gerçek ortamda yapılan ölçümlerden elde edilen veriler

ile hem FPGA üzerinde hem de PC’de DOA kestirim algoritmaları uygulanmıştır.

• Klasik ışıma deseni şekillendirme ile DOA kestiriminde Matlab yazılımı ile yapılan DOA kestirim simülasyonunda yenileme süresi 311 µs olurken FPGA üzerinde 1 µs’de gerçekleştirilmektedir. FPGA üzerinde bu algoritmanın hesaplanma hızının PC’ye göre 311 kat arttığı görülmüştür.

Sonuçlar

• MVDR algoritması için yapılan Matlab simülasyonunda bir kestirim 3064 µs iken FPGA üzerinde 1 µs sürdüğü gözlemlenmiştir. İşlem performansında 3064 kat artış gözlemlenmiştir.

• FOFP algoritması için yapılan Matlab simülasyonunda bir kestirim 1418 µs iken FPGA üzerinde 1 µs sürdüğü gözlemlenmiştir. İşlem performansında 1418 kat artış gözlemlenmiştir.

• FPGA kullanımının DOA kestirim süresini çok ciddi ölçüde düşürdüğü CBF, MVDR ve FOFP algoritmaları için teyit edilmiştir.

Sonuçlar

• Ayrıca MVDR ve FOFP algoritmaları ile oluşturulan melez algoritma kendisini oluşturan algoritmaların DOA kestirim sonuçları ile mukayese edilmiştir.

• Özellikle ortamda anten sayısına yakın sayıda kaynak varken düşük SNR değerlerinde iki kaynak birbirine yaklaşması senaryosu için simülasyon yapılmıştır.

• MVDR 5°, FOFP 4° aralarında fark bulunan kaynakları ayırt edebilmiştir.

• Melez algoritma ise aralarında 1° bulunan iki kaynağı ayırt etmeyi başarmıştır.

Sonuçlar

• DOA kestirimi amacıyla ANN yapısı oluşturulmuştur. ANN’nin sadece ezberlemediğini göstermek için eğitim almadığı senaryolarda testler yapılmıştır.

• Bu durumlarda ANN, bu açının çevresindeki açıları yarım birim yaparak mümkün olan en uygun şekilde sonucu ortaya konulduğu gözlemlenmiştir.

Sonuçlar• Optimizasyon algoritması olan yapay arı kolonisi (ABC)

algoritması ile yine 10 elemanlı bir anten dizisinin genlik ve faz parametreleri Matlab yazılımı ile belirlenmiştir. İstenilen ışıma deseni sınırları ve elde edilen katsayılar ile oluşan ışıma deseni grafiklerle sunulmuştur. Bu işlemler farklı istenilen ışıma deseni sınırlamaları için tekrar edilmiştir. Hesaplamalar için geçen süreler de incelenmiştir.

• ABC algoritması FPGA üzerinde de uygulanmıştır. FPGA 10 elemanlı bir anten dizisinin uyartım ve faz parametreleri hesaplamaktadır. FPGA’da hesaplanan katsayılar ile Matlab yazılımı ile oluşacak ışıma deseni çizdirilmiştir.

Sonuçlar

• FPGA üzerinde ABC algoritması kullanılarak ışıma deseni hesaplama süresi 54 µs olarak ölçülmüştür.

• Matlab ile hesaplama süresi ise 48 s olarak ölçülmüştür. • ABC algoritmasının FPGA üzerinde çalıştırılması

durumunda PC’den 888.888 kat daha hızlı olduğu görülmüştür.

Öneriler

• Bir DOA kestirim algoritmasının sonucu FPGA’da elde edildikten sonra başka bir cihaza aktarılması, performansı oldukça düşürmektedir. Bunun yerine tüm yapının tek parçada toplandığı gömülü bir sistem oluşturulması oldukça önemli performans artışına ve PC gibi fazladan materyallerin azalmasından dolayı da maliyet ve enerji verimliliğine olumlu katkılar sağlayacağı açıktır.

• Burada seçilen çalışma frekansı düşük olduğu için dizi boyutu büyümüş ve portatif yapılar incelenememiştir. Kullanılan ULA anten açıklığı 9 m’dir.

• Daha yüksek kapasiteli FPGA cihazları kullanılarak daha yüksek çözünürlüklü sonuçlar elde etmek mümkün olacaktır.

Teşekkür Ederim

Muhammed Fahri ÜNLERŞENSelçuk Üniversitesi

Recommended