7
Electrochimica Acta 51 (2006) 5445–5451 Electrochemical deposition of copper and ruthenium on titanium Young-Soon Kim a , Hyung-Il Kim a , Joong-Hee Cho a , Hyung-Kee Seo a , Gil-Sung Kim a , S.G. Ansari a , Gilson Khang b , Jay J. Senkevich c , Hyung-Shik Shin a,a Thin Film Technology Laboratory, School of Chemical Engineering, Chonbuk National University, Jeonju 561756, Republic of Korea b Department of Polymer/Nano Science and Technology, Chonbuk National University, Jeonju 561756, Republic of Korea c Brewer Science Inc., Rolla, MO 65401, USA Received 26 October 2005; received in revised form 3 February 2006; accepted 15 February 2006 Available online 5 April 2006 Abstract Copper electrochemical deposition on titanium with a ruthenium seed layer was investigated. The chemicals for the acid-bath ruthenium elec- trochemical deposition were ruthenium(III) chloride hydrate (RuCl 3 ·3H 2 O), hydrochloric acid (HCl), sulfamic acid (NH 2 SO 3 H), and polyethylene glycol. The chemicals for the acid-bath copper electrochemical depositions were copper(II) sulfate hydrate (CuSO 4 ·5H 2 O), sulfuric acid (H 2 SO 4 ), and polyethylene glycol. Results were analyzed by field-emission scanning electron microscopy (FESEM), atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), and Rutherford backscattering spectrometry (RBS). Ruthenium thin film of 30 nm thickness, with equiaxial grains <10 nm, was deposited, on a blanket Ti with a root mean square roughness of 8.3 nm, at 2 V for 90 s. XPS and RBS analyses showed the presence of metallic Ru. The Ti substrate was found stable with respect to ECD of Ru but the Ru/Ti bilayer was not found stable in the Cu acid bath, resulting in the diffusion of Ti into Ru film. The depth profiling studies indicates that Ru film thickness ca. 1.4 nm and deposition time of 10 s can act as a good seed layer. © 2006 Elsevier Ltd. All rights reserved. Keywords: Ruthenium seed layer; Copper; Electrochemical deposition; Rutherford backscattering spectrometry 1. Introduction In the semiconductor industry, aluminum has been the con- ductor of choice, even though superseded by copper due to its lower resistivity and better resistance to electromigration in case of ultra-large scale integrated (ULSI) devices. In case of Cu met- allization, the Cu diffuses rapidly into the interlevel dielectrics (ILDs). Therefore, refractory metal or metal nitrides such as Ti, Ta, TiN, and TaN are used as a diffusion barrier. Sputtered cop- per has limitations for step coverage and cost of ownership for depositing a seed on the barrier stacks. On the other hand, electrochemical deposition (ECD) pro- vides a continuous buildup of metal coating on a substrate in a suitable aqueous solution. Electrochemically deposited copper (ECD Cu) has emerged as the most efficient way to fill nano features that are based on the dual-damascene technology [1,2]. Because of its high filling capability and low process cost we Corresponding author. Tel.: +82 63 270 2438; fax: +82 63 270 2306. E-mail address: [email protected] (H.-S. Shin). can expect ECD Cu a suitable candidate for the interconnect metallization in future technology nodes. ECD Cu requires a seed layer as a conducting path for the electrons that are needed for the redox surface reactions [3]. Josell et al. and Chyan et al. have reported the application of ruthenium for Cu interconnects as well as a good candidate for the seed layer [4,5]. In addition, ruthenium could potentially replace the Cu/Ta/TaN X stack; how- ever, Ru diffuses into Cu during subsequent annealing, which increases the Cu line resistivity [6,8]. Instead, a thin layer of Ru can act as a seed layer to minimally impact Cu line resistivity. Electrochemical deposition of ruthenium is an attractive tech- nique to deposit a conformal thin seed layer because of its good step coverage, low tool cost of ownership, and its amenability to ECD Cu. In our previous studies, we reported growth study of conformal seed layer using ECD Ru on patterned TiN 130 nm trenches [9]. This technology would have to address the Cu con- formal deposition on high aspect ratio (A/R) features with a size of 22 nm and 33 nm nodes, and three-dimensional devices. Reid and Blake undertook ECD Ru with a number of aqueous electrolytes based on simple ruthenium salts and nitrosyl deriva- tives [10]. Nitrosyl sulfamate emerged as the acid-bath reagent. 0013-4686/$ – see front matter © 2006 Elsevier Ltd. All rights reserved. doi:10.1016/j.electacta.2006.02.016

Electrochemical deposition of copper and ruthenium on titanium

Embed Size (px)

Citation preview

Electrochimica Acta 51 (2006) 5445–5451

Electrochemical deposition of copper and ruthenium on titanium

Young-Soon Kim a, Hyung-Il Kim a, Joong-Hee Cho a, Hyung-Kee Seo a, Gil-Sung Kim a,S.G. Ansari a, Gilson Khang b, Jay J. Senkevich c, Hyung-Shik Shin a,∗

a Thin Film Technology Laboratory, School of Chemical Engineering, Chonbuk National University, Jeonju 561756, Republic of Koreab Department of Polymer/Nano Science and Technology, Chonbuk National University, Jeonju 561756, Republic of Korea

c Brewer Science Inc., Rolla, MO 65401, USA

Received 26 October 2005; received in revised form 3 February 2006; accepted 15 February 2006Available online 5 April 2006

Abstract

Copper electrochemical deposition on titanium with a ruthenium seed layer was investigated. The chemicals for the acid-bath ruthenium elec-trochemical deposition were ruthenium(III) chloride hydrate (RuCl3·3H2O), hydrochloric acid (HCl), sulfamic acid (NH2SO3H), and polyethyleneglycol. The chemicals for the acid-bath copper electrochemical depositions were copper(II) sulfate hydrate (CuSO4·5H2O), sulfuric acid (H2SO4),adtasd©

K

1

dloa(Tpd

vs(fB

0d

nd polyethylene glycol. Results were analyzed by field-emission scanning electron microscopy (FESEM), atomic force microscopy (AFM), X-rayiffraction (XRD), X-ray photoelectron spectroscopy (XPS), and Rutherford backscattering spectrometry (RBS). Ruthenium thin film of ∼30 nmhickness, with equiaxial grains <10 nm, was deposited, on a blanket Ti with a root mean square roughness of 8.3 nm, at 2 V for 90 s. XPS and RBSnalyses showed the presence of metallic Ru. The Ti substrate was found stable with respect to ECD of Ru but the Ru/Ti bilayer was not foundtable in the Cu acid bath, resulting in the diffusion of Ti into Ru film. The depth profiling studies indicates that Ru film thickness ca. 1.4 nm andeposition time of 10 s can act as a good seed layer.

2006 Elsevier Ltd. All rights reserved.

eywords: Ruthenium seed layer; Copper; Electrochemical deposition; Rutherford backscattering spectrometry

. Introduction

In the semiconductor industry, aluminum has been the con-uctor of choice, even though superseded by copper due to itsower resistivity and better resistance to electromigration in casef ultra-large scale integrated (ULSI) devices. In case of Cu met-llization, the Cu diffuses rapidly into the interlevel dielectricsILDs). Therefore, refractory metal or metal nitrides such as Ti,a, TiN, and TaN are used as a diffusion barrier. Sputtered cop-er has limitations for step coverage and cost of ownership forepositing a seed on the barrier stacks.

On the other hand, electrochemical deposition (ECD) pro-ides a continuous buildup of metal coating on a substrate in auitable aqueous solution. Electrochemically deposited copperECD Cu) has emerged as the most efficient way to fill nanoeatures that are based on the dual-damascene technology [1,2].ecause of its high filling capability and low process cost we

∗ Corresponding author. Tel.: +82 63 270 2438; fax: +82 63 270 2306.E-mail address: [email protected] (H.-S. Shin).

can expect ECD Cu a suitable candidate for the interconnectmetallization in future technology nodes. ECD Cu requires aseed layer as a conducting path for the electrons that are neededfor the redox surface reactions [3]. Josell et al. and Chyan et al.have reported the application of ruthenium for Cu interconnectsas well as a good candidate for the seed layer [4,5]. In addition,ruthenium could potentially replace the Cu/Ta/TaNX stack; how-ever, Ru diffuses into Cu during subsequent annealing, whichincreases the Cu line resistivity [6,8]. Instead, a thin layer of Rucan act as a seed layer to minimally impact Cu line resistivity.

Electrochemical deposition of ruthenium is an attractive tech-nique to deposit a conformal thin seed layer because of its goodstep coverage, low tool cost of ownership, and its amenability toECD Cu. In our previous studies, we reported growth study ofconformal seed layer using ECD Ru on patterned TiN 130 nmtrenches [9]. This technology would have to address the Cu con-formal deposition on high aspect ratio (A/R) features with a sizeof 22 nm and 33 nm nodes, and three-dimensional devices.

Reid and Blake undertook ECD Ru with a number of aqueouselectrolytes based on simple ruthenium salts and nitrosyl deriva-tives [10]. Nitrosyl sulfamate emerged as the acid-bath reagent.

013-4686/$ – see front matter © 2006 Elsevier Ltd. All rights reserved.oi:10.1016/j.electacta.2006.02.016

5446 Y.-S. Kim et al. / Electrochimica Acta 51 (2006) 5445–5451

Table 1ECD Ru Bath composition and parameters

Temperature 60 ◦CConstant voltage 1.5–5.0 VPolyethylene glycol 5 �l/lNH2SO3H 3.84 g/1HC1 1.75 l/lRuCl3·3H2O 5.18 g/1

However, Reddy and Taimsalu proposed an electrolyte basedon the N-bridged complex of ruthenium(IV) nitrosyl-chloride(RuNC, (NH4)3(RuCl4)N(RuCl4)) for Ru ECD [11]. The reac-tion for the RuNC is:

2RuCl3·3H2O + 2HCl + 4NH2SO3H

→ (NH4)3{(RuCl4)N(RuCl4)}(H2O)2 + 4SO42−

+ 8H+ + H2↑ (1)

The investigation of the basic growth conditions and bathcomposition of Ru electrodeposition in addition to the Cu elec-trodeposition on the Ti barrier layer is of primary importance.In this paper, ruthenium was electrochemically deposited ontoblanket Ti substrates followed by electrochemical deposition ofCu for metallization. The structural and morphological analysiswas carried out using XPS, XRD, AFM, and SEM in additionto the depth profiling of Ru and ECD Cu on the Ti layer.

2. Experimental

An e-beam deposited Ti film of 85 nm was used as sub-strate. The chemicals for the ruthenium electrochemical bathwere RuCl3·3H2O (ruthenium(III) chloride hydrate, 99% Pure,Sigma–Aldrich), HCl (35.0–37.0% hydrochloric acid, SamchunPure Chemical Co. Ltd., Korea), NH2SO3H (sulfamic acid, 99%pacresr6DRp(lfw

Cfaa

e6

X-ray photoelectron spectroscopy (XPS, Model—Kratos Ami-cus). The surface topology of the film was examined by tappingmode atomic force microscope (AFM, Multimode + Bioscope,Digital Instruments/Veeco). A standard X-ray diffractometerwas used with Cu K� radiation at 30 kV/20 mA. The thicknessesof Ti, Ru, and Cu were estimated from Rutherford backscatter-ing spectrometry (RBS) on the 4.0 MeV Dynamitron accelerator(Nisshin high voltage corporation, NT 1700 HS accelerator,using 2.0 MeV 4He ions and a scattering angle of 165◦). Mea-surements were made with 3.0 MeV alpha particles. The RBSdata was simulated using the ERNIE software. The estimatedareal density from RBS measurement was converted into anequivalent thickness by dividing with the bulk atomic densityof titanium, ruthenium, and copper viz. 5.71 × 1022 atom/cm3,7.27 × 1022 atom/cm3, and 8.45 × 1022 atom/cm3, respectively.

3. Results

The FE-SEM images of the Ti substrate and the electrochem-ically deposited Ru films as a function of applied voltage areshown in Fig. 1. It can be seen that the Ti substrate surface is gran-ular with grain diameter ranging from 10 nm to 50 nm (Fig. 1(a)).Fig. 1(b–d) shows the changes in the Ru film surface morphol-ogy grown on the Ti substrate when deposited at three differentvoltages viz. 1.5 V, 2.0 V, and 5.0 V, for 90 s. At 2.0 V, a uni-form surface coverage of the Ru films was obtained (Fig. 1(c)).Chintfibvtewo6titp

Tsaia

9rIriid

ure, Sigma–Aldrich) and 5 �l/l polyethylene glycol was useds a surfactant. The complex salt was prepared with rutheniumhloride, which was ultrasonically activated in hydrochloric acideacted with sulfamic acid for long periods at reflux. The detailedxperimental information and resonance structure of the RuNCalt using ECD Ru can be obtained from our previous workeported elsewhere [9]. The bath solution was maintained at0 ◦C with electrolyte pH value of 1.1 for ECD Ru. The constantC voltages from 1.5 V to 5 V for ECD Ru were applied. Theu bath composition is detailed in Table 1. Prior to electrode-osition of Ru on Ti, first the substrate was cleaned in acetone99% pure), at room temperature for 10 min, to remove oxideayer. The substrate was immersed then into the HCl solutionor 5 min to remove possible contaminants, followed by rinsingith deionized (DI) water and drying with dry nitrogen.Chemicals for the copper electrochemical bath were 0.08 M

uSO4·5H2O (copper(II) sulfate hydrate), 0.44 M H2SO4 (sul-uric acid), and 5 �l/l polyethylene glycol. A constant DC volt-ge of 1.5 V for ECD Cu was applied by maintaining the solutiont room temperature.

The microstructures of samples were examined by a fieldmission scanning electron microscope (FE-SEM, JEOL JSM-330F). The surface chemical bonding was analyzed by using

leavage marks and agglomerated grain can be seen at lower andigher applied voltages (Fig. 1(b and d)). The size of particlesn Fig. 1(d) is about 200 nm whereas the surface is inhomoge-eous, at the applied voltage of 5.0 V, which clearly indicateshat the deposited Ru film is non-continuous and cluster-typelm. Hence it can be clearly seen from these images that theest morphology results when Ru was deposited at an appliedoltage of 2.0 V. Therefore, 2.0 V was chosen as the best condi-ion for ECD Ru to compensate for the Ohmic drop under thexperimental conditions employed here [12]. The results agreeith our previous report where we found that the grain growthccurred when the deposition time was increased from 10 s to0 s, with an applied voltage of 2.0 V [9]. Our results also supporthe conclusion of Popov et al. who reported that the electrochem-cal overpotentials and current densities must increase in ordero compensate for the Ohmic drop between two symmetricaloints in a homogeneous field on the anode and cathode.

The X-ray diffraction spectrum of Ru thin film deposited oni for 90 s and 2.0 V is presented in Fig. 2(a). The spectrumhows only two diffraction peaks corresponding to Ru (1 0 1)nd Ti (2 0 0) (JCPDS card No: 6-663). The Ru (1 0 1) peaks broad and asymmetrical indicating smaller grains stressedsymmetrically.

The 3-D AFM image of the Ru film deposited at 2.0 V for0 s is shown in Fig. 2(b). Root mean square (RMS) surfaceoughness of the film is 8.3 nm, estimated from the AFM image.n our earlier investigation, we found that increase in the filmoughness with increasing deposition time (10–60 s) was a little.e. 8.7–9.5 nm [9]. The difference in the surface roughness valuen the two cases can be correlated to the particle size of theeposited film [9].

Y.-S. Kim et al. / Electrochimica Acta 51 (2006) 5445–5451 5447

Fig. 1. FESEM images of (a) the Ti substrate and the electrochemically deposited Ru films for 90 s at (b) 1.5 V, (c) 2.0 V, and (d) 5.0 V.

Fig. 2. (a) XRD pattern and (b) AFM image of the electrochemically depositedRu film for 90 s at 2.0 V.

Fig. 3 shows the XPS spectra of the Ru films deposited at2.0 V for 10 s, 30 s, and 60 s by electrochemical deposition onTi. The wide scan spectra (Fig. 3(a)) show all the standard photo-electron lines of Ru (viz. Ru3s, Ru3p, Ru3d, Ru4s), O1s and C1s[13]. The peak at 531.2 eV, corresponding to O1s can be due tothe water and wet air on the surface while electrochemical depo-sition of Ru. The photoelectron peaks at 462 eV and 484 eV arereferenced to Ru3p3/2 and Ru3p1/2, respectively [13].

Fig. 3(b) shows the high-resolution spectra of C1s and Ru3dpeaks along with the peak fitting using Shirley-type back-ground and Lorentzian and Doniac-Sunsic curves convolutedwith Gaussian. The peaks are relatively symmetrical and aretypically the narrowest lines observed in the spectra. Photo-electron lines of pure metal can, however, exhibit considerableasymmetry due to core–hole coupling with the conduction elec-trons [13,14]. Therefore, as can be seen in Fig. 3(b), the XPSspectra of C1s and Ru3d were further fitted with Doniac-Sunsic(exponential tail) curve to account for the peaks asymmetryarising from core–hole coupling. To remove the surface car-bonaceous species, Chan et al. reported that all samples wereinitially heated in flowing O2 at 400 ◦C for 30 min, followed byreduction in H2 at 300 ◦C to obtain a metallic Ru surface [15].The best fitting of the C1s (because the samples were not treatedfor removal of surface carbonaceous species) and Ru3d spec-trum of the Ru film from 290 eV to 278 eV was achieved withfive peaks at binding energies of: 285.9 eV, 285.0 eV, 284.3 eV,2efwror

81.1 eV, and 280.1 eV. The C1s peak is assigned to a bindingnergy of 285.0 eV and which is most likely due to the sur-ace contamination. Since adventitious C1s (285.0 eV) overlapsith Ru3d3/2 (284.3 eV) therefore the reported literature mainly

elies on Ru3d5/2 (280.1 eV) to differentiate the electronic statesf Ru [16–20]. These two peaks at 284.3 eV and 280.1 eV, sepa-ated by 4.2 eV corresponds to pure Ru metal. Additionally, the

5448 Y.-S. Kim et al. / Electrochimica Acta 51 (2006) 5445–5451

Fig. 3. (a) Wide scan XPS (b) high-resolution narrow scan C1s and Ru3d spectraof the electrochemically deposited Ru films at 2.0 V for 10 s, 30 s, and 60 s.

peaks at 285.9 eV and 281.1 eV can be due to core–hole couplingwhich would yield as asymmetrical broadening of the XPS peaktowards higher binding energy, yielding so-called “shake-up”peaks for photoelectron lines of pure Ru metal [15].

The RBS spectra of the Ti substrate and Ru film (Ru(10)/Ti)deposited for 10 s by electrochemical plating on the Ti blanketfilm is shown in Fig. 4(a). The Ti peak (1.436 MeV) at the Ru thinfilm (Ru(10)/Ti) shifts towards lower energy due to the presenceof the Ru film on the top of it. The 1.710 MeV peak is dueto the Ru layer on the top of Ti. On simulating the spectrumof the Ti substrate (Fig. 4(a)), the thickness of the Ti substrateis found to be 86 nm. Fig. 4(b) shows the simulated spectrumof the Ru(10)/Ti film (Fig. 4(a)), and the thickness of Ti andRu films after simulation was found to be 85.8 nm and 1.4 nm,respectively. This Ti layer is stable with respect to the acid-bath ruthenium at open and applied potentials. Also, there is nooxygen layer on the top, which is in consistent with XPS results.

Fig. 5(a) shows RBS spectra of the Ru film deposited for 30 sby electrochemical plating on the Ti blanket film. Simulating thespectrum of the Ru film (Fig. 5(b)), shows that the thickness ofTi and Ru films are 85.8 nm and 12.4 nm, respectively.

Fig. 4. (a) RBS spectra of the Ti substrate and the electrochemically depositedRu film for 10 s at 2.0 V and (b) simulating the spectrum of the electrochemicaldeposited Ru film for 10 s at 2.0 V in (a).

Fig. 5. (a) RBS spectrum and (b) simulating the spectrum of the electrochemi-cally deposited Ru film for 30 s at 2.0 V.

Y.-S. Kim et al. / Electrochimica Acta 51 (2006) 5445–5451 5449

Fig. 6. (a) RBS spectrum, (b) simulating the spectrum, and (c) depth profilingof the electrochemically deposited Ru film for 60 s at 2.0 V.

Fig. 6(a) shows RBS spectra of the Ru film deposited for 60 sby electrochemical plating on the Ti blanket film. Simulating thespectrum of the Ru film (Fig. 6(b)), gives the thickness of Ti andRu films as 73.6 nm and 26.1 nm, respectively. The reduction of12.4 nm from ca. 86 nm to 73.6 nm of the Ti thickness reveals thatTi was etched during the deposition for 60 s. The depth profilingin Fig. 6(c) indicates that the Ru seed layer intermixed with theTi layer during Ru electrodeposition for 60 s. This indicates thatRu film thickness ca. 1.4 nm with deposition time of 10 s can actas good seed layer. Etching of a barrier layer can be a problem forelectrodepositing solution. Previously, we reported that GroupVIII metals such as Pt, Pd, etc., were stable in the electrolessbath for the deposition of Cu [21,22].

For metallization, copper was electrodeposited for 60 s at1.5 V, on the electrochemically deposited Ru film (10 s depo-sition time). Fig. 7(a) shows RBS spectrum of the Cu film onRu(10 s)/Ti. The peak at 1.559 MeV is due to the Cu layer havingthe thickness of 94 nm. It is also evident from the simulated data,shown in Fig. 7(b) as well as the depth profiling in Table 2, that Tidiffuses into the Ru and Cu film during Cu ECD. Ultrathin noblemetal layers that can activate barrier layers are attractive to elec-troless deposition processes but only if they are not etched in the

Fig. 7. (a) RBS spectrum and (b) simulating the spectrum of the electrochemi-cally deposited Cu film for 60 s at 1.5 V on the electrochemically deposited Ruseed layer for Ru film for 10 s at 2.0 V.

Table 2Depth profiling of the electrochemically deposited Cu film for 60 s at 1.5 V onthe electrochemically deposited Ru seed layer for Ru film for 10 s at 2.0 V

Sublayers Thickness (nm) Composition

1 3 Cu:O = 1:2 (surface oxide)2 88 Cu3 8 Cu:Ru:Ti = 2:1:14 80 Ti5 10000 Si

electroless depositing solution and do not diffuse into the cop-per trench at elevated temperature annealing e.g. >400 ◦C [6–8].Platinum group metals, viz. Ru [4,5,7], Rh [6], Pd [22], Ag, Os,Ir [23,24], Pt, Au as barrier layers may not diffuse during both Cu

Fig. 8. (a) RBS spectrum and (b) simulating the spectrum of the electrochemi-cally deposited Cu film for 100 s at 1.5 V on the electrochemically deposited Ruseed layer for Ru film for 60 s at 2.0 V.

5450 Y.-S. Kim et al. / Electrochimica Acta 51 (2006) 5445–5451

Table 3Depth profiling of the electrochemically deposited Cu film for 100 s at 1.5 V onthe electrochemically deposited Ru seed layer for Ru film for 60 s at 2.0 V

Sublayers Thickness (nm) Composition

1 3 Cu:O = 1:2 (surface oxide)2 237 Cu3 25 Cu:Ru = l:44 9 Cu:Ru:Ti = 1:1:25 6 Ru:Ti = 1:36 61 Ti7 10000 Si

ECD and annealing for ultra-large scale integration. The RBSdepth profiling study indicates that the diffusion of the barrierlayer can be a problem for Cu electrodepositing solution.

Further, copper was electrodeposited for 100 s at 1.5 V, onthe electrochemically deposited Ru film (60 s deposition time).Fig. 8(a) shows the RBS spectrum of the Cu film on Ru(60 s)/Ti.The peak at 1.559 MeV is due to the Cu layer having the thick-ness of 247 nm. It is also evident from the simulated data, shownin Fig. 7(b) as well as the depth profiling in Table 3, that Ti hasdiffused into the Ru and Cu film during Cu ECD. On comparingTables 2 and 3, it is clear that increase in deposition time results

Fdl

in increase of Cu layer thickness, where as the diffusion profileshows similar trend.

Fig. 9(a) shows the FE-SEM image of the Cu film depositedfor 90 s on the Ru(60 s)/Ti substrate. The Cu film is seen rea-sonably uniform but exhibits a rough morphology. The struc-tural analysis of the Cu thin film deposited on Ti for 100 susing X-ray diffraction is shown in Fig. 9(b). The diffrac-tion peaks at 2θ = 43.3◦, 50.4◦ corresponds to 〈1 1 1〉 and〈2 0 0〉 Cu-planes (JCPDS card No. 4-836). The peak intensityratio [I(1 1 1)/I(2 0 0)] was found to be 2.17 whereas the fullwidth at half maximum (FWHM) of peak corresponding to Cu(1 1 1) peak was 0.25◦. Similar results for the intensity ratios[I(1 1 1)/I(2 0 0)] of 2.6 and 2.09 were reported in our earlierreports [21,22].

4. Conclusions

In conclusion it can be said that electrochemical deposi-tion of ruthenium is an attractive technique and a suitable seedlayer candidate material for electroplating of Cu. Rutheniumwas electrochemically deposited onto Ti followed by the elec-trochemical deposition of copper, both at 60 ◦C with acid-basedbath chemistries. Samples were characterized by FE-SEM, XPS,AFM, XRD, and RBS. With increasing applied voltage whiledeposition, FE-SEM results indicated an irregular distributionafih8bsTRiio

A

sa0(adS

R

ig. 9. (a) FESEM image and (b) XRD pattern of the electrochemicallyeposited Cu film for 100 s at 1.5 V on the electrochemically deposited Ru seedayer for 60 s at 2.0 V.

nd inhomogeneous surface consisting of Ru islands on the Tilm. At an optimal applied voltage of 2.0 V the sample wasomogeneous and smooth with a RMS surface roughness of.3 nm. X-ray diffraction showed preferential 〈1 0 1〉 textureut a broad asymmetrical Ru peak at 2θ = 44.0◦. XPS analysishowed the presence of metallic Ru with a thin RuOX layer. Thei substrate was found stable with respect to ECD of Ru but theu/Ti bilayer was not found stable in the Cu acid bath, resulting

n the diffusion of Ti into Ru film. The depth profiling studiesndicates that Ru film thickness ca. 1.4 nm with deposition timef 10 s can act as a good seed layer.

cknowledgments

We are grateful to Nobuaki Ito for assistance in RBS mea-urements. This work was supported by the Korea Sciencend Engineering Foundation (research grant No. R01-2004-00-10792-0) and Korea Ministry of Science and Technologyresearch grant No. 2004-01352). S.G. Ansari would like tocknowledge the financial support from Korean Research Foun-ation and The Korean Federation of Science and Technologyocieties Grant (Brain pool program).

eferences

[1] P.C. Andriacacos, C. Uzoh, J.O. Dukovic, IBM J. Res. Dev. 42 (1998)567.

[2] P.C. Andriacacos, Electrochem. Soc. Interface 8 (1) (1999) 32.[3] S.-W. Lee, F.G. Shi, S.D. Lopatin, J. Electron. Mater. 32 (4) (2003) 272.[4] D. Josell, D. Wheeler, C. Witt, T.P. Moffat, Electrochem. Solid State

Lett. 6 (2003) C143.[5] O. Chyan, T.N. Arunagiri, T. Ponnuswamy, J. Electrochem. Soc. 150

(5) (2003) C347.

Y.-S. Kim et al. / Electrochimica Acta 51 (2006) 5445–5451 5451

[6] M.W. Lane, C.E. Murray, F.R. Mcfeely, P.M. Vereecken, R. Rosenberg,Appl. Phys. Lett. 83 (12) (2003) 2330.

[7] S. Johnston, R. Chebiam, H. Simka, P. Fischer, V. Dubin, AdvancedMetallization Conference 2004 (AMC 2004), San Diego, CA, October19–21, 2004, p. 539.

[8] T.N. Arunagiri, Y. Zhang, O. Chyan, M. El-Bouanani, M.J. Kim,K.H. Chen, C.T. Wu, L.C. Chen, Appl. Phys. Lett. 86 (8) (2005)083104.

[9] Y.-S. Kim, H.-I. Kim, M.A. Dar, H.-K. Seo, G.-S. Kim, S.G. Ansari,J.J. Senkevich, H.-S. Shin, Electrochem. Solid State Lett. 9 (1) (2006)C19.

[10] F.H. Reid, J.C. Blake, Trans. Inst. Met. Finishing 38 (1961) 45.[11] G.S. Reddy, P. Taimsalu, Trans. Inst. Met. Finishing 47 (1969) 187.[12] K.I. Popov, M.G. Pavlovic, E.R. Stojilkovic, Z.Z. Stevanovic, Hydromet-

allurgy 46 (1997) 321.[13] J.F. Moulder, W.F. Stickle, P.E. Sobol, K.D. Bomben, Handbook of X-

Ray Photoelectron Spectroscopy, Physical Electronics, Inc. Eden Praire,MN, 1992.

[14] A. Proctor, P.M.A. Sherwood, Anal. Chem. 54 (1982) 13.[15] H.Y.H. Chan, C.G. Takoudis, M.J. Weaver, J. Catal. 172 (1997) 336.[16] K.S. Kim, N. Winograd, J. Catal. 35 (1974) 66.[17] H.J. Lewerenz, S. Stucki, R. Kotz, Surf. Sci. 126 (1983) 463.[18] J.Y. Shen, A. Adnot, S. Kaliaguine, Appl. Surf. Sci. 51 (1991) 47.[19] C.L. Bianchi, V. Ragaini, M.G. Cattania, Mater. Phys. 29 (1991) 297.[20] W.-C. Fang, J.-H. Huang, C.-L. Sun, K.-H. Chen, O. Chyan, C.-T. Wu,

C.-P. Chen, L.-C. Chen, Electrochim. Acta, submitted for publication.[21] Y.-S. Kim, G.A. Ten Eyck, D. Ye, C. Jezewski, T. Karabacak, H.-S.

Shin, J.J. Senkevich, T.-M. Lu, J. Electrochem. Soc. 152 (6) (2005)C376.

[22] Y.-S. Kim, H.-I. Kim, J.-H. Cho, H.-K. Seo, M.A. Dar, H.-S. Shin, G.A.Ten Eyck, T.-M. Lu, J.J. Senkevich, Electrochim. Acta 51 (2006) 2400.

[23] Y.-S. Kim, J. Shin, J.-H. Cho, G.A. Ten Eyck, D.-L. Liu, S. Pimanpang,T.-M. Lu, J.J. Senkevich, H.-S. Shin, Surf. Coat. Technol., in press.

[24] T.P. Moffat, D. Wheeler, D. Josell, T. Aaltonen, M. Leskela, M. Ritala,Abstract of the 208th ECS Meeting, Abstract No. 588, The Electro-chemical Society, October 16–21, 2005.