123
Fuh-cheng Jong 4-1 第第第 p 第第第第第 n sub. p well

第肆章 p 型井的製作

Embed Size (px)

DESCRIPTION

第肆章 p 型井的製作. p well. n sub. 定義 p 型井. 上 HMDS 後送入 90℃ 烤箱 30 秒。 上光阻。 軟烤 (100℃ 烤箱 90 秒鐘 ) 。 曝光。 硬烤 (120℃ 烤箱 90 秒鐘 ) 。 顯影。 硬烤 (100℃ 烤箱 90 秒鐘 ) 。 檢查 (ADI) 。. PR. PAD oxide. 400 ± 40Å. n well. 1.5 m m. p< 100 >. 開始離子佈植. 硬烤 (125℃ 烤箱 20 分鐘 ) 。 - PowerPoint PPT Presentation

Citation preview

Page 1: 第肆章   p 型井的製作

Fuh-cheng Jong4-1

第肆章 p 型井的製作第肆章 p 型井的製作

n sub.

p well

Page 2: 第肆章   p 型井的製作

Fuh-cheng Jong4-2

• 上 HMDS 後送入 90℃烤箱 30 秒。• 上光阻。• 軟烤 (100℃烤箱 90 秒鐘 ) 。• 曝光。• 硬烤 (120℃烤箱 90 秒鐘 ) 。• 顯影。• 硬烤 (100℃烤箱 90 秒鐘 ) 。• 檢查 (ADI) 。

定義 p 型井定義 p 型井

400±40Å

p<100>

PAD oxide

n well

PR

1.5m

Page 3: 第肆章   p 型井的製作

Fuh-cheng Jong4-3

p<100>

p<100>

n well

PR

開始離子佈植開始離子佈植• 硬烤 (125℃烤箱 20 分鐘 ) 。• 硼離子 (B11) 佈植, 180keV , 7×1012 ions/㎝ 2 , 7o 角。

B11 7×1012 180kev , 7o 角

400±40ÅPAD oxidePR

Page 4: 第肆章   p 型井的製作

Fuh-cheng Jong4-4

• 去除光阻 ( 先以 O2 電漿灰化光阻再去除, 800 瓦、 30 分鐘 、 80 )℃• 以卡洛氏酸去除光阻 (30 分鐘 )• 以 100 : 1 的 HF 預先浸泡清洗 ( 去除新長出來的原生氧化 層 )30 秒。• 清洗晶片: 1. 卡洛式酸清洗 90 秒 2. NH4OH+H2O2+H2O 90 秒 3. HCl+H2O2+H2O 90 秒• 晶片送入爐管加熱把佈植的硼作驅入

去除光阻與驅入去除光阻與驅入

推入晶圓氣體通 N2

800

1000

昇溫氣體通 N2

驅入 ---- 氣體通 N2降溫

氣體通 N2

拉出晶圓氣體通 N2

Page 5: 第肆章   p 型井的製作

Fuh-cheng Jong4-5

硼驅入硼驅入p well 深度大約 3m

400±40Å

p<100>

PAD oxide

n wellp well

1.5m3.0m

以 100:1 的 HF 去除氧化層 (30 秒 )

清洗晶片: 1. 卡洛式酸清洗 90 秒 2. NH4OH + H2O2 + H2O 90 秒 3. HCl + H2O2 + H2O 90 秒

p<100>p well

n well

Page 6: 第肆章   p 型井的製作

Fuh-cheng Jong4-6

長墊層二氧化矽長墊層二氧化矽

p<100>p well

n wellPAD oxide 150±15Å

推入晶圓氣體通 N2+O2

800

920

昇溫氣體通 N2+O2

靜置 ( 等穩定 )氣體通 N2+O2

開始乾氧氧化氣體通 O2

降溫氣體通 N2

拉出晶圓氣體通 N2

Page 7: 第肆章   p 型井的製作

Fuh-cheng Jong4-7

沉積氮化矽層沉積氮化矽層• 780 ℃ SiH2Cl2/NH3 沉積氮化矽 1500±150Å 。• 上 HMDS 後送入 90℃烤箱 30 秒。• 上光阻。• 軟烤 (100℃烤箱 90 秒鐘 ) 。 曝光

SiO2

Si3N4

PR

p<100>p well

n well

Page 8: 第肆章   p 型井的製作

Fuh-cheng Jong4-8

• 硬烤 (120℃烤箱 90 秒鐘 ) 。• 顯影。• 硬烤 (125℃烤箱 20 分鐘 ) 。• 檢查 (ADI) 。• 硬烤 (115℃烤箱 30 分鐘 ) 。

氮化矽圖案氮化矽圖案

PR

SiO2

Si3N4

p<100>p well

n well

Page 9: 第肆章   p 型井的製作

Fuh-cheng Jong4-9

熱氧化與沉積氧化層熱氧化與沉積氧化層• 熱氧化是利用矽晶圓本身與外面提供的氧氣 ( 乾氧 ) 或水氣 ( 溼氧 ) 產生氧化;因此矽晶圓本身會參予反應,在第二章 的 53 頁就提到“每長出厚度 t 的二氧化矽時,就會耗損掉 厚度大約 0.45t 的矽,因此二氧化矽是同時往上與往下成 長出來” 。• 沉積是利用外界提供二氧化矽的原料,藉由物理或化學的 方法,長在矽晶圓上面,因此矽晶圓本身並不會參予反應

矽晶圓

二氧化矽 二氧化矽

矽晶圓 矽晶圓

熱氧化 反應前的矽晶圓 沉積後的

Page 10: 第肆章   p 型井的製作

Fuh-cheng Jong4-10

薄膜特性:• 好的階梯覆蓋能力• 具有充填高深寬比間隙之能力• 好的厚度均勻性• 高的純度及密度• 理想配比可控制• 具有低應力的高薄膜品質• 電性佳• 基板材料和薄膜附著性優越

薄膜特性薄膜特性

Page 11: 第肆章   p 型井的製作

Fuh-cheng Jong4-11

p+ 矽基板p 磊晶層

場氧化層n+ n+ p+ p+

n well

ILD氧化層

氧化層

氮化矽

閘極氧化層側壁氧化層

金屬前氧化層

金屬Ⅱ

金屬Ⅰ

IC 的多層金屬化 -1IC 的多層金屬化 -1

p- p-

多晶矽多晶矽

Page 12: 第肆章   p 型井的製作

Fuh-cheng Jong4-12

IC 的多層金屬化 -2IC 的多層金屬化 -2

p 矽基板

ILD-2

ILD-3

ILD-4ILD-5

M-1

M-2

M-3

M-4

p 磊晶層

ILD-6

STI

n well

ILD-1多晶矽閘極

p well p+

n wellp+n+ p+STI

LI 氧化層n+ n+

VIA

保護層 (PD)金屬層間介電質 (IMD)

STILI 金屬

STILI 金屬

WCVD

Al-Cu

Page 13: 第肆章   p 型井的製作

Fuh-cheng Jong4-13

薄膜沈積技術薄膜沈積技術化學性製程 物理性製程

化學氣相沉積 電鍍 物理氣相沉積 蒸著 旋塗常壓化學氣相沉積(APCVD)

次常壓化學氣相沉積 (SACVD)

電化學沈積 (ECD) 一般稱之為電鍍

直流二極體 燈絲或電子束

旋塗式玻璃 (SOG)

低壓 CVD (LPCVD)

無電鍍電極 射頻 (RF) 分子束磊晶 (MBE)

旋塗式介電質 (SOD)

電漿有關的 CVD :電漿 CVD(PECVD)

高密度電漿 CVD

直流磁控離子化金屬電漿 (IMP)

氣相沈積 (VPE) 及有機金屬 CVD(MOCVD)

直流磁控離子化金屬電漿 (IMP)

Page 14: 第肆章   p 型井的製作

Fuh-cheng Jong4-14

CVD 原料CVD 原料薄膜 材料 薄膜 材料

半導體 多晶矽 SiH4 介電質 氮化氧矽 SiH4, N2,NH3

SiCl2H2 Si3N4 SiH4, N2,NH3

矽 ( 磊晶 )

SiCl3H 導體 W WF6,SiH4,H2

SiH4,O2 WSi2 WF6,SiH4,H2

SiH4,N2O TiN Ti[N(CH3)2]4

介電質 二氧化矽 Si(OC2H5)4,O2 Ti TiCl4

TEOS,O3 Cu (hfac)Cu(tmvs)氮化氧矽 SiH4,N2O,N2,NH3

Page 15: 第肆章   p 型井的製作

Fuh-cheng Jong4-15

連續薄膜

氣體分子

成核島狀物聚結

矽基板

薄膜沉積過程薄膜沉積過程1. 氣體分子到達表面。2. 源材料於晶圓表面移動。3. 源材料於晶圓表面反應。4. 成核。

5. 島狀物成長。6. 島狀物成長合併。7. 連續薄膜。

表面反應

Page 16: 第肆章   p 型井的製作

Fuh-cheng Jong4-16

CVD 的基本化學反應與觀念CVD 的基本化學反應與觀念• 化學作用或熱分解 (裂解 ) 。• 薄膜的材料由外加氣體供應。• CVD 製程的反應物必須為氣相的形式。

基本化學反應:熱裂解:化合物分解 (破壞鍵結或分解 ) ,如果以熱的方式, 則處於無氧的環境。光分解:化合物分解,以輻射能的方式分解鍵結。還原:由分子與氫作用產生化學反應。氧化:原子或分子與氧進行化學反應。氧化還原:結合還原反應與氧化反應,產生兩種新的化合物。

Page 17: 第肆章   p 型井的製作

Fuh-cheng Jong4-17

PECVDLPCVDAPCVD

CVD 反應爐CVD 反應爐

晶圓薄膜反應氣體2

反應氣體 1

鈍氣

氣體注入形式

N2

反應氣體

加熱器

N2 N2 N2N2 N2

晶圓

架構形式

由真空邦浦抽出

三區段加熱線圈

尖峰熱電偶(外部、控制 )

壓力閥

氣體進入

內部輪廓熱電偶

反應氣體

加熱板

電漿

RF 電源

真空邦浦抽出

反應爐

矽晶片溫度

爐管長度

溫度均勻區

Page 18: 第肆章   p 型井的製作

Fuh-cheng Jong4-18

APCVD 、 LPCVD 與 PECVDAPCVD 、 LPCVD 與 PECVD

適合沉積 IMD 、PD 、 PMD

不適合沉積 IMD

適合沉積 PMD適用性

1~8×109(張力或壓縮力 )

1.2~1.8×1010(張力 )23℃在矽晶片上的應力 ( 達因 / ㎝ 2)

均勻良好除 TEOS 外,其餘都不好

階梯覆蓋SixNyHzSi3N4SiO2或 Si3N4組成

300~400700~800沉積溫度 ( )℃1~100.1~1~760壓力 (Torrs)

PECVDLPCVDAPCVD性質

可使用電漿產生的氟自由基清洗反應爐

特點

Page 19: 第肆章   p 型井的製作

Fuh-cheng Jong4-19

CVD 反應爐

矽基板

連續薄膜

8)副產物移除

1) 反應物之質量傳輸

副產物 2) 薄膜先前

物反應

3) 氣體分子 擴散

4) 先前物吸附

5) 先前物擴散進入基板 6) 表面反應

7)副生成物的吸解

出口

氣體輸送

CVD傳輸及反應圖CVD傳輸及反應圖

Page 20: 第肆章   p 型井的製作

Fuh-cheng Jong4-20

沈積之薄膜

矽基板

反應產物

反應物擴散

矽基板

CVD 氣體流CVD 氣體流氣體流

Page 21: 第肆章   p 型井的製作

Fuh-cheng Jong4-21

晶圓表面上之氣流動態晶圓表面上之氣流動態

氣體流

邊界層

氣體流

滯留層

矽基板 矽基板

氣體分子或原子到達邊界層,就會開始產生滯留的狀態,甚至停留了下來,磊晶上去。

Page 22: 第肆章   p 型井的製作

Fuh-cheng Jong4-22

氣體的表面遷移率氣體的表面遷移率• 氣體擴散到邊界層。• 邊界層的氣體被晶片表面吸收與移動。• 氣體在晶片表面移動的能力稱為表面遷移率。• 表面遷移率將影響到薄膜的階梯覆蓋與間隙填充能力。

Page 23: 第肆章   p 型井的製作

Fuh-cheng Jong4-23

CVD 化學反應動力學 -1CVD 化學反應動力學 -1

化學反應速率方程式為:A 為常數Ea 為活化能k 為波滋漫常數T 為晶圓基版溫度

∵化學反應速率∝ e 溫度

而且沉積速率 (D.R.)∝化學反應速率 (C.R.) 沉積速率 (D.R.) ∝邊界層源材料擴散速率 (D) 沉積速率 (D.R.) ∝晶片表面吸附率 (A.R.)

. .Ea

kTC R Ae

H

Ea反應前 反應後

Page 24: 第肆章   p 型井的製作

Fuh-cheng Jong4-24

不理想的沉積區理想的沉積區不理想的沉積區氣相成核區質量傳輸控制區表面反應限制區對溫度很敏感對溫度較不敏感對溫度很敏感

高溫區中溫區低溫區

CVD 化學反應動力學 -2CVD 化學反應動力學 -2

1/ T

ln D

.R.

斜率 = /aE k

表面反應限制區

氣相成核區

質量傳輸控制區

沉積速率 (D.R.)區間:

在氣相成核區沉積,將造成大量的粒子污染晶圓與機台。質量傳輸控制區沉積的優點是沉積速率與溫度無關

沉積速率 ,[B][C] 表晶片表面的源材料濃度

=D [ ][ ]dn

B Cdx

Page 25: 第肆章   p 型井的製作

Fuh-cheng Jong4-25

表面吸附表面吸附• 源材料擴散過邊界層,並到達晶片表面,可能會被晶 片表面吸附,它的吸附機構分成: Ⅰ、物理吸附。 Ⅱ、化學吸附。

到晶片表面距離

束縛能

物理吸附晶片表面 束縛能低

化學吸附束縛能高

Page 26: 第肆章   p 型井的製作

Fuh-cheng Jong4-26

表面吸附 - 物理、化學吸附表面吸附 - 物理、化學吸附• 物理吸附的束縛能比較低,每個分子的吸附能量低於 0.5eV ,它的力量有凡得瓦力與電偶極-電偶極彼此 間的吸引力,大約 400 ℃ 或離子轟擊就足以瓦解物理 吸附力,並讓分子離開晶片表面,因此它比化學吸附 的表面移動率來的高。• 化學吸附的束縛能比較高,晶片表面會與源材料分子 形成化學鍵,每個分子的吸附能量高於 2eV ,由於吸 附能量比較高,所以 450 ℃ 以下也無法打斷它的化學 鍵,不過離子轟擊的能量大約有 10~20eV ,應該足以 打斷化學鍵,並將源材料分子釋放,由於化學鍵束縛 能比較高,因此表面移動率很低。

Page 27: 第肆章   p 型井的製作

Fuh-cheng Jong4-27

表面吸附 - 矽烷表面吸附 - 矽烷

Si

H

H

H

H

• 矽烷的分子結構是一種正三角錐形結構,矽原子恰好 位於這個正三角錐形結構的正中心位置,因此不會對 基板形成任何的物理或化學吸附,不過矽烷很容易分 解成 SiH3 、 SiH2 或 SiH ,並產生活性很高的自由基, 因此會與基板形成化學吸 附或化學鍵。• 基於上述的理由,矽烷很 容易產生投影片 29 頁所提 到的懸突物,造成空洞或 階梯覆蓋不佳。

Page 28: 第肆章   p 型井的製作

Fuh-cheng Jong4-28

深寬比 =懸突 =相似性 =底部階梯覆蓋 =側壁階梯覆蓋 =

CVD 薄膜的階梯覆蓋CVD 薄膜的階梯覆蓋a

b

c

d

結構 h

w矽基板

結構

hw

bc

ab

( )c bb

da

CVD 薄膜

Page 29: 第肆章   p 型井的製作

Fuh-cheng Jong4-29

AB

C

270°

90°

180°

到達角度到達角度• 右下圖的 A 有最大的角度 (270o) , C 的角度最小 (90o) 。• 氣體分子或原子材料到達邊界層,角 A 的角度最大,因此角 A 的氣體分子或原子材料會累積的最多;甚至產生懸突物。• 如果氣體分子或原子材料的表面遷移率比較大的話,角 A 產 生的懸突物將移動到別的地方,形成類似左下圖的均勻分佈

懸突物

不均勻的階梯覆蓋

均勻階梯覆蓋

厚度均勻

Page 30: 第肆章   p 型井的製作

Fuh-cheng Jong4-30

到達角度的修正到達角度的修正

磷玻璃

較小到達角度

矽基板氮化矽二氧化矽

較大到達角度

• 藉由蝕刻的技術,將接觸開口蝕刻程錐形角度 (如下圖 ) , 以便達到更大的到達角度,能讓一些 CVD 製程更容易將 接觸窗口的空洞填滿。

Page 31: 第肆章   p 型井的製作

Fuh-cheng Jong4-31

薄膜沈積的深寬比薄膜沈積的深寬比

500 Å

D

=2

1深寬比 =

500 Å

250 Å深寬比 =

hw

250Åw

h 500Å

•薄膜沈積的深寬比越大,表示洞穴的開口越小,因此間 隙越不容易填滿。

Page 32: 第肆章   p 型井的製作

Fuh-cheng Jong4-32

• 懸突物是因為到達角度效應與低遷移率所造成的。• 當懸突物薄膜厚度增加,將使得到達角度增加而更加惡化。• 當兩邊的懸突物相靠攏,甚至合併,將會使下面的空隙形成 空洞。• 空洞內的氣體將會腐蝕積體電路內的材料,造成可靠性的問 題。• 減少製程的壓力,以提高源氣體材料的平均碰撞路徑,可以 有效減少到達角度與改善階梯覆蓋,因此 LPCVD 階梯覆蓋 效果優於 APCVD 的階梯覆蓋效果。

空洞的形成空洞的形成

介電質金屬 金屬

矽基板介電質金屬金屬

矽基板

空洞介電質

矽基板金屬 金屬

Page 33: 第肆章   p 型井的製作

Fuh-cheng Jong4-33

階梯覆蓋、壓力與移動率階梯覆蓋、壓力與移動率

矽基板 矽基板 矽基板APCVD低遷移率

LPCVD低遷移率

高遷移率

• 高表面遷移率會有好的階梯覆蓋,因此選擇高表面遷移率 的材料,將有效解決階梯覆蓋的問題。• 高表面遷移率也有好的似型性的優點 ( 下圖最右邊 ) 。• 溫度也能提供源材料破壞吸附鍵所需的能量,以提高表面 遷移率。• 對 PECVD 而言,電漿相當於提供能量讓源材料從基片表 面釋放與提高表面遷移率,因此 PECVD 不需要太高的製程 溫度。

金屬 金屬 金屬

Page 34: 第肆章   p 型井的製作

Fuh-cheng Jong4-34

氬離子的濺射氬離子的濺射• 濺射是類似蝕刻製程,一般都在 30mTorr 下,氬離子轟擊 晶圓表面,並移除一部份表面介電質。• 被轟擊而移除的表面介電質將被真空邦浦抽離開反應爐。• 濺射的蝕刻率很低,不過階梯角落的薄膜蝕刻率比一般表 面處的薄膜蝕刻率來的快。階梯角落的薄膜蝕刻的平面斜 率大約為 45 度左右。

Ar+ Ar+ Ar+ Ar+

矽基板

45o

介電質被移除掉的介電質

氬離子的濺射氬離子的濺射• 濺射是類似蝕刻製程,一般都在 30mTorr 下,氬離子轟擊 晶圓表面,並移除一部份表面介電質。• 被轟擊而移除的表面介電質將被真空邦浦抽離開反應爐。• 濺射的蝕刻率很低,不過階梯角落的薄膜蝕刻率比一般表 面處的薄膜蝕刻率來的快。階梯角落的薄膜蝕刻的平面斜 率大約為 45 度左右。

Ar+ Ar+ Ar+ Ar+

矽基板

45o

介電質被移除掉的介電質

Page 35: 第肆章   p 型井的製作

Fuh-cheng Jong4-35

氬離子濺射介電質的回蝕刻氬離子濺射介電質的回蝕刻

沉積 / 蝕刻 / 沉積

沉積

矽基板

沉積

矽基板金屬金屬

蝕刻

矽基板金屬

Ar+Ar+Ar+Ar+Ar+Ar+Ar+

氬離子濺射條件:

50流量 (sccm)

50磁場 (G)

300RF功率 (W)

30壓力 (mTorr)

Page 36: 第肆章   p 型井的製作

Fuh-cheng Jong4-36

薄膜的間隙填充 -1薄膜的間隙填充 -1

註:未掺雜的矽玻璃 (USG)

矽基板 矽基板 矽基板

USG USG USG

Al-Cu Al-CuAl-Cu

沉積 回蝕刻 沉積

• 當有懸浮產生,就可能產生空洞;因此利用氬離子濺射 蝕刻的方法 ( 上一頁投影片 ) ,將晶片的懸浮物回蝕刻消 除,並將開口放大,以提高到達角度 (投影片第 29 頁 ) , 如此可以將間隙回填,這個方法稱為沉積 / 蝕刻 / 沉積 法,這方法一般都用在 IMD 製程。

Page 37: 第肆章   p 型井的製作

Fuh-cheng Jong4-37

10-410-30.940.150.04~0.083×10-4~3×10-5黏附係數

WF6TEOSSiHSiH2SiH3SiH4源材料

薄膜的間隙填充 -2薄膜的間隙填充 -2

矽基板 矽基板矽基板

• 高表面遷移率會有好的階梯覆蓋與似型性,在下圖中, 薄膜幾乎可以完整的把間隙填滿。 O3 與 TEOS CVD 與鎢 的 CVD 就屬於這種。

• 黏附係數越低的源材料,它的表面遷移率就會越大,因 此像 TEOS 、 WF6 、 SiH4 的階梯覆蓋與似型性都很好。

金屬 金屬 金屬 金屬 金屬 金屬

Page 38: 第肆章   p 型井的製作

Fuh-cheng Jong4-38

薄膜的間隙填充 -3薄膜的間隙填充 -3

矽基板矽基板矽基板

金屬

金屬

金屬

金屬

金屬

金屬

金屬

金屬

金屬

• 高密度電漿 CVD 製程的特色是沉積與濺射蝕刻可以同時 在反應爐內完成,缺點是沉積的速度太慢。• 高密度電漿 CVD 的壓力大約幾個mTorr ,透過高密度電 漿的轟擊消除掉懸突物 (所以金屬上面的介電質呈現三角 形 ) ,並且打開較大到達角度,再從底部不斷的往上沉積 。

Page 39: 第肆章   p 型井的製作

Fuh-cheng Jong4-39

TEOS-1TEOS-1• TEOS中文為四乙氧基矽烷。• TEOS 的移動率很高,因此以 TEOS 作為 CVD 原料的階梯覆蓋率與似型性極高。

H

C

C

O

HH

HH

SiO O

C

O

H

C

HH

HH

HCC

HH

HH

H C C

H

H

H

H

Vap

or P

ress

ure

(T

orr)

1

3

10

30

100

10 20 30 40 50 60 70 80 90 100 110

Temperature (°C)TEOS 蒸氣壓 TEOS 分子式

Page 40: 第肆章   p 型井的製作

Fuh-cheng Jong4-40

TEOS-2TEOS-2• TEOS 應用在 STI 、側壁空間層、 PMD 與 IMD 。• TEOS 的移動率很高,因此以 TEOS 作為 CVD 原料的階梯 覆蓋率與似型性極高。• TEOS 的製程系統有 (a) 熱沸式 (b) 氣泡式 (c)注入式三種。

反應爐

恆溫烤箱TEOS

加熱氣體管線

控制器

邦浦

熱沸式 氣泡式 注入式

液態TEOS

載氣氣泡

加熱氣體管線

反應爐

邦浦

恆溫烤箱

控制器

控制器

載氣

控制器

控制器載氣

邦浦

反應爐

加壓氣體

注入閥

液態TEOS

Page 41: 第肆章   p 型井的製作

Fuh-cheng Jong4-41

O3-TEOS USG-1O3-TEOS USG-1

TEOS 的階梯覆蓋 TEOS 的空洞間隙填滿

400℃, O3+TEOS USG 應用在 STI 或 IMD 。 O3 比例多,會有較好的薄膜品質,但若 TEOS 的比例太低,沉積的速度又太慢

左下圖的 TEOS 階梯覆蓋相當完美,薄膜沈積的相當均勻。右下圖的 TEOS空洞間隙填滿也相當完美,幾乎完全看不到有任何的空隙。

3 2 ....ThermalTEOS O SiO

Page 42: 第肆章   p 型井的製作

Fuh-cheng Jong4-42

• STI 以 O3 + TEOS 的反應溫度大約 550℃。• IMD 以 O3 + TEOS 的反應溫度大約 400℃。• 使用 O3 + TEOS 製程,卻都還需要一次 PECVD 的原因是: ① 因為 STI 以 O3 + TEOS 的氧化層是多孔,而且會吸收水氣 ,所以製程上都還需要用一次 PECVD 來封密隔離水氣。 ② 因為以 O3 + TEOS 製程做出的氧化層張力比較大,因此需 要一層有壓縮力的 PECVD 來減緩張力。

O3-TEOS USG-2O3-TEOS USG-2

Page 43: 第肆章   p 型井的製作

Fuh-cheng Jong4-43

PECVD

PEVCD 反應爐

連續薄膜

8.副產物去除

1. 反應物進入反應爐

矽基板

2. 藉由電場將反應物分解

3. 薄膜先前物形成

4. 先前物吸附

5. 先前物擴 散入基板

6. 表面反應

7.副產物吸解

抽出

氣體傳送

RF 產生器

副產物

電極

電極

RF 電場

Page 44: 第肆章   p 型井的製作

Fuh-cheng Jong4-44

PECVD TEOS-1PECVD TEOS-12 5 4 2 2( ) .....Plasma

ThermalSi OC H O SiO • PECVD + TEOS + 氬濺射可以用來當成類似投影片 35 頁 的沉積 / 蝕刻 / 沉積法,做為填滿空隙與平坦化用。• PECVD + TEOS USG 用在當成 IMD 的自旋塗佈的氧化 矽與 O3 + TEOS USG 的阻擋層或覆蓋層。• PECVD TEOS也可以用在 PMD 製程中的 PSG 與 BPSG 。 反應式如下:

不過 PECVD 的電漿可能傷害到閘極氧化層,因此大多數製程是選擇 O3 + TEOS CVD BPSG 或 PSG 。

3 3 2 5 4 2( ) ( ) .....PlasmaThermalPO OCH Si OC H O PSG

TEPO

Page 45: 第肆章   p 型井的製作

Fuh-cheng Jong4-45

2 5 3 2 5 4 2( ) ( ) ....Plasmax yThermalFSi OC H Si OC H O SiO F

( )FTES ( )TEOS ( )FSG

F 比較少F 比較多 r比較大r比較小且 F容易外洩r = 3.93.2 < r < 3.8r ~1

固態固態氣態SiO2SiOxFy(FSG)SiF4

PECVD TEOS-2PECVD TEOS-2• 氟矽玻璃 ( FSG ) 的介電係數 (3.5~3.8) 比 USG 的介電係數 (4.0~4.2) 低,因此作成 IMD 或 PMD 的材料,它的寄生電 容值會比較小,元件傳導線的速度會比較快。• FSG CVD 反應方程式為:

• FSG 、 SiO2 與 SiF4 的特性比較:

Page 46: 第肆章   p 型井的製作

Fuh-cheng Jong4-46

PECVD TEOS-3PECVD TEOS-3• FSG 的填空能力比 USG 好,特別是間隙的角落。• 若利用 PECVD TEOS SiF4 ,就可以不用回蝕刻,並可以 作出無空隙 0.65m 的製程,如果有配合回蝕刻,將可以 作出無空隙 0.35m 的製程。

PECVD TEOS 氧化矽沉積 平坦化回蝕刻

CF4 + O2 + 濺射蝕刻平坦化

Page 47: 第肆章   p 型井的製作

Fuh-cheng Jong4-47

• 當增加 TEOS 的溫度時,從下圖看到它的沉積率隨溫度增加 而增加,但溫度超過 300℃左右,沉積率反而下降。一般沉積 製程時候,所採用的溫度大約在 400~550℃左右,可以看到沉 積率是下降的。• TEOS 隨溫度超過 300℃左右,沉積率反而下降的原因是因為 TEOS 製程是物理吸附作用,因此吸附力很小,鍵能也很低, 當溫度超過 300 ℃ 時,溫度 對吸附力的影響非常大,並 因從溫度穫得的能量得以克 服微弱的鍵能,而離開表面 ,因此才有溫度越高,沉積 率反而下降的現象。

PECVD TEOS-4PECVD TEOS-4

沉積速率

溫度

增加化學反應速率

400~550℃

減低源材料吸收反應物吸附速率

製程窗口

Page 48: 第肆章   p 型井的製作

Fuh-cheng Jong4-48

PECVD TEOS-5PECVD TEOS-5• PECVD TEOS 是在質量傳輸限制區內操作 (投影片第 24 頁 ) , 瓶頸是 TEOS 的流量多寡控制,因此增加 TEOS 流量,將有效 提高沉積速度。• 從第二章第 69 頁投影片的圖 ( 本頁右下圖 )看到當矽過量,理論 上,折射率也應該會增加,不過由於 TESO 分子 ( 本章投影片 第 39 頁 )中的矽與四個氧原子鍵結,因此增加 TEOS 流量並不 會讓折射率增加,從結果來看,它的折射率只有薄膜密度改 變才會有些許的改變,而且 是越緻密,折射率才越高。

沉積速率 折

射率

TEOS 流量製程窗口

氧過量氮過量

4.0

3.0

2.01

1.0氧過量

氧過量氮過量

複晶矽

Si3N4

SiO2氮過量矽過量

矽過量氮氧化矽過渡區氮化物

氧化物1.46

折射率

Page 49: 第肆章   p 型井的製作

Fuh-cheng Jong4-49

PECVD TEOS-6PECVD TEOS-6• 當增加 TEOS 流量時,薄膜厚度應該也會增加,平均每 單位厚度被離子轟擊的數量會比較少,因此它的收縮應 力會比較小,而且薄膜也比較鬆弛,因此蝕刻的速度比 例也會增加 (請參考左下圖 ) 。• 對 PECVD TEOS 而言,增加 RF功率,沉積速度會先增 加,並逐漸減緩,當 RF功率非常高的時候,沉積速度會 開始減小。不過隨 RF功率增加,離子撞擊的力量也會增 加,它的收縮應力也會不斷的增加 (請看右下圖 ) 。

濕蝕刻速率

TEOS 流量

壓縮式應力

製程窗口

RF功率

沉積速率

自由基增加反應速率

離子轟擊降低反應物吸附

Page 50: 第肆章   p 型井的製作

Fuh-cheng Jong4-50

矽烷與 PECVD-1矽烷與 PECVD-1• PECVD 對矽烷製程,溫度越高,矽烷擴散速度越快 ( 與 TEOS 不同,因為矽烷是化學性吸附,所以吸附力很大, 鍵能也很高,溫度在 400℃ 對矽烷的吸附速度幾乎沒有影 響 ) ,沉積速度也越快,而且階梯覆蓋與似型性也越好。

沉積速率

溫度製程窗口

溫度

沉積速率 沉積速率對溫度不敏感區域

沉積速率對溫度敏感區域

Page 51: 第肆章   p 型井的製作

Fuh-cheng Jong4-51

RF功率

沉積速率

自由基增加反應速率

離子轟擊降低反應物吸附

RF功率收縮式應力

製程窗口

• 增加 RF功率對 PECVD 而言, 離子撞擊的力量也會越 大,因此收縮式的應力也會越大 ( 與 PECVD TEOS 類 似 ) ,從左下圖看到,在 RF 比較大的區塊,沉積速度比 較穩定。

矽烷與 PECVD-2矽烷與 PECVD-2

Page 52: 第肆章   p 型井的製作

Fuh-cheng Jong4-52

矽烷與 PECVD-3矽烷與 PECVD-3• 矽烷 PECVD 也是在質量傳輸限制區內操作 (投影片第 24 頁 ) ,瓶頸是矽烷的量多寡,因此單獨增加矽烷流量,將 有效提高沉積速度。• 從第二章第 69 頁投影片的圖 ( 本頁右圖 ) ,當矽越過量, 折射率越會增加。

矽烷流量

沉積速率 折

射率

製程窗口

氧過量氮過量

4.0

3.0

2.01

1.0氧過量

氧過量氮過量

複晶矽

Si3N4

SiO2氮過量矽過量

矽過量氮氧化矽過渡區氮化物

氧化物1.46

折射率

Page 53: 第肆章   p 型井的製作

Fuh-cheng Jong4-53

矽烷與 PECVD-4矽烷與 PECVD-4

濕蝕刻速率

矽烷流量收縮式應力

製程窗口

• 當增加矽烷流量,薄膜厚度也會增加,因此平均每單位 厚度被離子轟擊的數量會比較少,因此它的收縮應力 會比較小,而且薄膜也比較鬆弛,因此蝕刻的速度比 例也會增加。

Page 54: 第肆章   p 型井的製作

Fuh-cheng Jong4-54

自旋塗佈矽玻璃 (SOG)-1自旋塗佈矽玻璃 (SOG)-1• 常用的 SOG 材料有矽酸鹽與矽氧烷;它們的溶劑都是乙醇、 酮或酯類

RnSi(OH)4-n, n=1,2

矽氧烷Si(OH)4

矽酸鹽

R=CH3 、 R = R 或 R = OH

SiO

OO

O H

H

H

H

OO HHR

RSi

Page 55: 第肆章   p 型井的製作

Fuh-cheng Jong4-55

自旋塗佈矽玻璃 (SOG)-2自旋塗佈矽玻璃 (SOG)-2• SOG 一般是在兩個 PECVD 製程中,製程方法大致如下: ①先以 PECVD 沉積一層薄膜作阻擋襯底層。 ②以液態 SOG 旋轉塗佈在晶圓上 (轉速大約 200~500rpm ) ,厚度大約幾千 Å 。 ③80~300℃的預烤,將部份溶劑驅趕出來,其中 Si-O開 始鍵結。 ④將晶圓放入 400~450 ℃ 爐管,並開始固化 SOG ( SOG 厚度會縮減約 5 ~ 15 %) 。 ⑤以蝕刻技術將 SOG 從晶圓表面移除,只留下間隙內 的 SOG ( 有些廠商省略此一步驟 ) 。 ⑥再以 PECVD 沉積一層薄膜遮蓋 SOG ,防止 SOG氣體 逃逸或吸收水份。

Page 56: 第肆章   p 型井的製作

Fuh-cheng Jong4-56

自旋塗佈矽玻璃 (SOG)-3自旋塗佈矽玻璃 (SOG)-3• SOG 的缺點如下: ①製程整合複雜而不易。 ②有粒子污染的問題。 ③薄膜容易破裂或剝落。 ④加熱殘留物溶劑容易逸出。

• SOG內適當添加少量的磷或在矽氧烷類添加 CH3 基, 可以降低 SOG發生龜裂的問題。• SOG未來的發展就是降低介電係數,以降低傳輸信號 的延遲。

Page 57: 第肆章   p 型井的製作

Fuh-cheng Jong4-57

SOG 的回蝕刻SOG 的回蝕刻•金屬圖案後會以 PECVD 沉積二氧化矽,接著塗佈 SOG , 以期讓表面盡量平坦化,再以 425 ℃ 烘烤 50 分鐘,去除 SOG溶劑,並固化 SOG ;接著再以乾蝕刻的技術,去除 上層的 SOG ,只保留低凹槽的 SOG ,最後再以 PECVD 沉積二氧化矽,並遮住 SOG ,防止後面的金屬製程在兩 層金屬層之間產生空洞,儲存毒氣或腐蝕性的氣體,造 成可靠性問題。

Page 58: 第肆章   p 型井的製作

Fuh-cheng Jong4-58

矽基板

矽基板

( )PECVD USGⅠ 阻擋層

( )Ⅱ 自旋塗佈 SOG矽基板

(Ⅲ)SOG固化, SOG縮減

自旋塗佈矽玻璃流程 -1自旋塗佈矽玻璃流程 -1

( )SOGⅣ 回蝕刻矽基板 矽基板

(Ⅴ) PECVD USG 覆蓋

Page 59: 第肆章   p 型井的製作

Fuh-cheng Jong4-59

無回蝕刻無回蝕刻• 無回蝕刻保留 SOG ,直接再沉積二氧化矽,製程會比 較簡單,但比較不容易做,因為兩層金屬層之間會產 生空洞,儲存毒氣或腐蝕性的氣體,所以要小心金屬 的接點與暴露的 SOG 材質可能會有殘存的毒氣或腐蝕 性的氣體。

M2ILD2

M1

M1SOGILD1

PSG

矽基板

Page 60: 第肆章   p 型井的製作

Fuh-cheng Jong4-60

高密度電漿 CVD-1高密度電漿 CVD-1功能:提供能同時滿足沉積 / 蝕刻 / 沉積製程機臺。目地:填充微小間隙。缺點:機台昂貴且淨沉積速度慢。壓力:幾個mTorr 。使用的源材料:①矽烷為矽的源材料。②氧氣為氧的源材料。③氬氣為加強濺射的源材料。其它:反應爐需要冷卻系統。為提高沉積速度,會搭配 PECVD使用。

Page 61: 第肆章   p 型井的製作

Fuh-cheng Jong4-61

高密度電漿 CVD-2高密度電漿 CVD-2• 可以透過 PH3 與 SiF4 來沉積 PSG 與 FSG

• HDP CVD 的控制參數

FSG

PSG

USG 4 2 2 ....SiH O Ar USG H O Ar

4 3 2 ....SiH PH O Ar PSG

4 4 2 ....SiH SiF O Ar FSG

氦的背景壓力與電漿源 RF功率決定薄膜均勻性氦的背景壓力決定晶圓的溫度偏壓 RF功率與電漿 RF 與氦的背景壓力決定薄膜應力SiH4/O2的流量比決定折射率矽烷流量決定HDP CVD 沉積速度

Page 62: 第肆章   p 型井的製作

Fuh-cheng Jong4-62

高密度電漿 CVD-3高密度電漿 CVD-3

矽基板 矽基板

矽基板 矽基板

矽基板 矽基板

Ⅱ、 HDP CVD 填空隙Ⅰ、起始狀態

Ⅳ、 HDP CVD 填空隙Ⅲ、 HDP CVD 填空隙

Ⅵ、 CMP平坦化Ⅴ、 PECVD 填入覆蓋層

Page 63: 第肆章   p 型井的製作

Fuh-cheng Jong4-63

二氧化矽

二氧化矽側壁層複晶矽 複晶矽

側壁層側壁層

矽基板 矽基板

• 左下圖中,使用 O3 與 TEOS 的製程以得到良好的階梯覆蓋 ,再對二氧化矽作等向性蝕刻與複晶矽的高選擇性蝕刻, 就可以得到,側壁層都是用在 LDD 結構,防止熱載子效應

蝕刻前 蝕刻後

Page 64: 第肆章   p 型井的製作

Fuh-cheng Jong4-64

內連線延遲和縮小技術內連線延遲和縮小技術2.5

2.0

1.5

1.0

0.5

0 .5 1.0 1.5 2.0大小尺寸 (m)

延遲時間

(

10

9

)

內連線延遲 (RC)閘極延遲

Page 65: 第肆章   p 型井的製作

Fuh-cheng Jong4-65

金屬沉積前的介電質 (PMD)-1金屬沉積前的介電質 (PMD)-1• 低介電係數寄生電容小 RC延遲小信號傳送速度快。• 阻擋鹼金簇元素能力強不怕鈉、鉀離子污染的可靠性問 題。• 無空洞間隙。• 可以表面平坦化後面的微影黃光才沒有景深 (解析度 ) 的 問題。• 一般都是用掺雜磷的矽玻璃 (PSG) ,或掺雜磷硼的矽玻璃 (BPSG) 。• 在做掺雜磷的矽玻璃或掺雜磷硼的矽玻璃之前,要先沉積 一層阻擋層 ( 用 USG 約 1000Å 或氮化物 200Å) 。• 使用掺雜磷或磷硼的矽玻璃的原因是因為可以用磷酸捕抓 鈉、鉀離子與降低矽玻璃的回流溫度。

Page 66: 第肆章   p 型井的製作

Fuh-cheng Jong4-66

金屬沉積前的介電質 (PMD)-2• BPSG 的 APCVD 方程式為:

• BPSG 的 PECVD 方程式為:

4( ) 3( ) 2( ) 2 4( ) 2 ( )

2 6( ) 2( ) 2 3( ) 2( )

4 4 2

3

g g s g g

g g s g

SiH PH SiO C H H O

B H O B O H

2 5 4( ) 2( ) 2 4( ) 2 ( )

3 3( ) 2 5( ) 3 3( )

2 5 3( ) 2 3( ) 2 5 2 5( )

( ) 4 2

2 ( ) 3

2 ( ) 3

g s g g

g s g

g s g

Si OC H SiO C H H O

TEOS

PO OCH P O CH OCH

TEPO

B OC H B O C H OC H

TEB

Page 67: 第肆章   p 型井的製作

Fuh-cheng Jong4-67

• PSG 的磷濃度越濃,矽玻璃回流的溫度就可以越低。

• 在 1100℃、 N2 的環境下,回火 20 分鐘,可以看到含磷成 份越高,回流的效果越好 ( 角越小 ) 。

0%

4.6%

2.2%

7.2%

金屬沉積前的介電質 (PMD)-3金屬沉積前的介電質 (PMD)-3

Page 68: 第肆章   p 型井的製作

Fuh-cheng Jong4-68

• PSG 的磷濃度與角的關係為 。

• 當小於 45o ,磷要大於 6wt% ,但磷若高於 8wt% 以上,磷 會吸收空氣中的濕氣,成為磷酸,腐蝕積體電路上的金屬 ,所以磷的濃度範圍大約 6~8wt% 。• BPSG 的 A×B 的意思是指磷與硼的重量百分比濃度為 Awt% : Bwt%• BPSG 的硼濃度如果太濃,可能會吸收空氣中的濕氣,成 為硼酸,並在磷硼玻璃表面形成 H3BO3 的粒子污染,造 成元件缺陷。此外, BPSG 的磷硼濃度上限為 5×5 。

10 %120

10o wt

金屬沉積前的介電質 (PMD)-4金屬沉積前的介電質 (PMD)-4

Page 69: 第肆章   p 型井的製作

Fuh-cheng Jong4-69

CMPPSG0.18m

750℃回流+ CMPBPSG0.25m

850~900℃回流BPSG2~0.35m

1100℃回流PSG> 2m

回流溫度平坦化PMD尺寸回流前 4×4 BPSG回流後

PMD 製程技術發展

BPSG 在 850℃的回流效果,右圖就是在氮氣環境下,以磷硼重量百分比 4×4完成。

金屬沉積前的介電質 (PMD)-5金屬沉積前的介電質 (PMD)-5

Page 70: 第肆章   p 型井的製作

Fuh-cheng Jong4-70

金屬沉積前的介電質 (PMD)-6金屬沉積前的介電質 (PMD)-6• 當元件縮的更小時,因為 LPCVD 的高溫製程 (> 700℃ )將 可能造成溫度對下面元件掺雜雜質過度擴散,使元件無法 正常操作的問題。• PECVD 的低溫製程將取代 LPCVD 的 PMD 製程。

• PECVD操作在高溫的 PMD 製程優點: Ⅰ、 PECVD 的沉積速度較 LPCVD快。 Ⅱ、好的薄膜品質。 Ⅲ、好的階梯覆蓋。 Ⅳ、生成的 SiNxHy 有低的氫濃度。• PECVD 在 PMD 製程可能產生的問題是 PECVD 的電漿可能 會傷害到閘極氧化層。

Page 71: 第肆章   p 型井的製作

Fuh-cheng Jong4-71

金屬層間介電質 (IMD)金屬層間介電質 (IMD)• 大多數的 IMD都是使用 USG 。• IMD 的要求: Ⅰ、低溫 ( 不超過 450℃,否則金屬線會熔化 ) 。 Ⅱ、低介電係數寄生電容小 RC延遲小信號傳送速度快。 Ⅲ、無空洞間隙。 Ⅳ、可以表面平坦化後面的微影黃光才沒有景深 (解析度 ) 的 問題。• 技術使用上,有: Ⅰ、 TEOS (減少間隙與空洞的問題 ) 。 Ⅱ、沉積 / 蝕刻 / 沉積 (減少間隙問題 ) 。 Ⅲ、 CMP(平坦化 ) 。 Ⅳ、自旋塗佈氧化矽 (SOG) 。 Ⅴ、 HDP CVD(缺點:速度慢 ) 。 Ⅵ、可用高沉積率的 PECVD 來覆蓋 HDP CVD 填充後的薄膜。

Page 72: 第肆章   p 型井的製作

Fuh-cheng Jong4-72

鈍化保護介電質 (PD)-1鈍化保護介電質 (PD)-1• 積體電路最上面一層保護介電質稱為鈍化保護介電質。• PD 的要求: Ⅰ、提供抵抗封裝可能造成的機械強度的壓力。 Ⅱ、抵抗濕氣對積體電路的破壞。 Ⅲ、阻擋鹼金簇元素對積體電路的傷害。 Ⅳ、低溫 ( 不超過 400℃,否則下面的金屬線會熔化 ) 。所以一般都利用 PECVD( 可以低溫完成 ) 沉積 Si3N4 。

如果封裝材料為陶瓷材料 ( 氧化鋁 ) , PD 就可以只使用二氧化矽。註:應力的問題會讓 Si3N4 無法附著在鋁線上面,因此要先長 一層氧化物,除減緩 Si3N4 的應力之外,並提高 Si3N4 的 附著力。

Page 73: 第肆章   p 型井的製作

Fuh-cheng Jong4-73

鈍化保護介電質 (PD)-2鈍化保護介電質 (PD)-2• PECVD 沉積氮化矽的反應式為:

• PECVD 產生的氮化矽包含氫的成份大約 20% 原子比。

• EPROM 的浮動閘必需要能吸收紫外光,才能抹除浮動閘 內的電子,因此 EPROM 的 PD 是:

• 紫外光可以透射過 SiOxNy ,並且有氮化矽的特性,因此又 可以作為 PD 的材料。

4 2 3 2 3 ......Plasmax yThermal

SiH N NH SiN H H NH

4 2 3 2 2 2 ......Plasmax yThermal

SiH N NH N O SiO N H O N

Page 74: 第肆章   p 型井的製作

Fuh-cheng Jong4-74

氮化矽沉積 -1氮化矽沉積 -1

• 750℃用 LPCVD 或 300℃的 PECVD 可以沉積氮化矽。• 氮化矽可用來當阻擋層 (防水或鈉離子 ) 。• 氮化矽可用來防止下面的矽被氧化。• 若以 PECVD 製造的氮化矽,可用來做製程中的最後護層 ( 因為製造的溫度低 ) 。 • 氮化矽的沉積活化能為 1.8eV ,結構為非晶。

~2×109dynes/㎝ 2

105~1021 -㎝106~6×106V/㎝PECVD

1010dynes/㎝ 21016-㎝107V/㎝7LPCVD

張力氮化矽電阻係數氮化矽崩潰電場氮化矽介電係數

Page 75: 第肆章   p 型井的製作

Fuh-cheng Jong4-75

氮化矽沉積 -2氮化矽沉積 -2

7502 2 3 3 4 23 4 6 6

oCSiCl H NH Si N HCl H

LPCVD :高溫,適用於前段製程

PECVD :低溫,適用於後段製程

3004 3 23

oCSiH NH SiNH H 300

4 2 22 2 3oCSiH N SiNH H

崩潰電場 介電係數 阻擋力SiO2 >107V/㎝ 3.9 對水與 Na+ 阻擋力極

差Si3N4 >107V/㎝ 7.0 對水與 Na+ 阻擋力極

Page 76: 第肆章   p 型井的製作

Fuh-cheng Jong4-76

蝕刻氮化矽 -1蝕刻氮化矽 -1• RIE蝕刻,主蝕刻率為每分鐘 2.8kÅ ,選擇比為 2.1 ,過蝕 刻率為每分鐘 600Å ,選擇比為 5 。• 主蝕刻蝕刻氣體為 SF6 ,流量為 20sccm ,壓力為 120mTorr ,以 200 瓦的功率,總共大約 16~18 秒,過蝕刻的蝕刻氣體 也是 SF6 ,流量為 12sccm ,壓力為 175mTorr ,功率大約 80 瓦。

PR

SiO2

Si3N4

p<100>p well

n well

Page 77: 第肆章   p 型井的製作

Fuh-cheng Jong4-77

蝕刻氮化矽 -2蝕刻氮化矽 -2• 去除光阻 ( 先以 O2 電漿灰化光阻再去除, 800 瓦、 30 分鐘 、 80 ) ℃ 。• 以卡洛氏酸去除光阻 (30 分鐘 ) 。• 檢查 (AEI) 。

SiO2

Si3N4

p<100>p well

n well

Page 78: 第肆章   p 型井的製作

Fuh-cheng Jong4-78

定義 p 型場氧化層佈值定義 p 型場氧化層佈值• 上 HMDS 後送入 60℃烤箱 30 秒。• 上光阻。• 軟烤 (100℃烤箱 90 秒鐘 ) 。• 曝光。• 硬烤 (120℃烤箱 90 秒鐘 ) 。• 顯影。• 硬烤 (100℃烤箱 90 秒鐘 ) 。• 檢查 (ADI) 。

SiO2

Si3N4

p<100>p well

n well

PR

Page 79: 第肆章   p 型井的製作

Fuh-cheng Jong4-79

• 硬烤 (125℃烤箱 20 分鐘 ) 。• 硼離子 (B11) 佈植, 25keV , 5×1013 ions/㎝ 2 , 7o 角。

p 型場氧化層的通道阻絕佈值p 型場氧化層的通道阻絕佈值

B11 5×1013 25kev , 7 度角

SiO2

Si3N4

p<100>p well

n well

PR

Page 80: 第肆章   p 型井的製作

Fuh-cheng Jong4-80

p 型反碰透佈植p 型反碰透佈植• 硬烤 (125℃烤箱 20 分鐘 ) 。• 硼離子 (B11) 佈植, 150keV , 1.2×1012 ions/㎝ 2 , 7o 角。

B11 1.2×1012 150kev , 7 度角

SiO2

Si3N4

p<100>p well

n well

PR

Page 81: 第肆章   p 型井的製作

Fuh-cheng Jong4-81

反碰透 (APT)-1反碰透 (APT)-1• 反碰透就是 anti punch through ,目地是為了防止MOS 元件的源極與汲極因外接的電壓造成的空乏區大到超過 通道的長度,造成源極與汲極產生類似短路的現象。• 把源極與汲極之間的基體層濃度打濃,以減少空乏區寬 度。

p 型矽

n+ n+

p 型矽

n+ n+空乏區

APT

VDD VDD

Page 82: 第肆章   p 型井的製作

Fuh-cheng Jong4-82

• 空乏區寬度的公式是 ,因此如果能 提高低濃度端的濃度,空乏區寬度就會越小,也就是碰 透電壓 VD 會越大。

反碰透 (APT)-2反碰透 (APT)-202 ( )Si bi D

D

V VW

qN

Page 83: 第肆章   p 型井的製作

Fuh-cheng Jong4-83

反碰透 (APT)-3反碰透 (APT)-3

p 型矽

p pn+ n+

45o

VT調整Halo接面

光阻

利用離子佈值,以低能量且低電流, 45 度大角度佈值,佈值在源、汲極之間,形成一個環狀的雜質,以減少源、汲極之間被空乏區空乏掉。

Page 84: 第肆章   p 型井的製作

Fuh-cheng Jong4-84

反碰透 (APT)-4反碰透 (APT)-4退化型井 (retrograde well) :• 退化型井又稱為垂直式調變井,井在利用垂直方向掺雜不同 的濃度,減少栓鎖發生與防止碰透現象。• 退化型井又有雙重式井結構與三重式井結構。

p+ 矽基板p+埋層

n 型雜質 p 型雜質

p++n++n well p well

p+ 矽基板p+埋層

n 型雜質 p 型雜質

p+n+n well p well

雙重式井結構 三重式井結構

n++ p++

Page 85: 第肆章   p 型井的製作

Fuh-cheng Jong4-85

• 所謂的通道阻絕 (channel stop) 一般都用在 LOCOS 的地方 ,而 LOCOS 是以“鳥嘴”來提高相隔鳥嘴兩端的元件的絕 緣性。提高絕緣性的方法就是先在預備長“鳥嘴”的地方先 佈植一層與基體相同型態的雜質,這樣可以提高以 “鳥嘴” 為閘極氧化層的寄生電晶體的截止電壓,使得這個寄生電 晶體更不容易導通,而達到彼此絕緣的效果。

通道阻絕 -1通道阻絕 -1

理論上的 MOS元件 寄生的 MOS元件

p sub

n+ n+ n+ n+ n+ n+ 通道阻絕

Page 86: 第肆章   p 型井的製作

Fuh-cheng Jong4-86

通道阻絕 -2通道阻絕 -2• 由於 MOS 的截止電壓公式是:

當增加基體濃度時, B也會增加,因此截止電壓也隨之 增加,因此利用這個技巧以防止寄生的 MOS 導通。• 由於硼在熱氧化的雜質再分佈,比較喜歡留在矽,而不 喜歡留在二氧化矽內,但磷恰好相反,因此對於掺雜磷 的基體而言,熱氧化自然就會產生通道阻絕特性,但硼 卻是相反,反而讓二氧化矽與矽的界面處,矽的硼濃度 反而降低,因此反而更要加強通道阻絕特性,因此濃度 要多打一些,才有預期的效果。

0 0

2 (2 )2

f m ot s A B BST ms B

Q Q Q qN VV

C C

Page 87: 第肆章   p 型井的製作

Fuh-cheng Jong4-87

SiO2

Si3N4

p<100>p well

n well

去除光阻去除光阻• 去除光阻 ( 先以 O2 電漿灰化光阻再去除, 800 瓦、 30分鐘 、 80 ) ℃ 。• 以卡洛氏酸去除光阻 (30 分鐘 ) 。• 清洗晶片: 1. NH4OH+H2O2+H2O 90 秒 2. HCl+H2O2+H2O 90 秒

提高後面的FOX 品質

Page 88: 第肆章   p 型井的製作

Fuh-cheng Jong4-88

通道阻絕

場氧化層 (FOX) 氧化兼回火場氧化層 (FOX) 氧化兼回火

推入晶圓氣體通 N2+O2

800

900

昇溫氣體通 N2+O2

靜置 ( 等穩定 )氣體通 N2+O2

開始濕氧氧化氣體通 H2+O2

預備氧化氣體通 O2

正式濕氧氧化氣體通 H2+O2+TLC

完成氣體通 O2

降溫氣體通 N2

拉出晶圓氣體通 N2

SiO2

Si3N4

p<100>p well

n wellAPT

6.5k±300Å

鳥嘴

Page 89: 第肆章   p 型井的製作

Fuh-cheng Jong4-89

場氧化層 (FOX) 氧化場氧化層 (FOX) 氧化鳥嘴 (FOX) 的化學反應式為:

3 4 2 2 36 3 4Si N H O SiO NH 產生的 NH3 會向墊層氧化層與矽的界面擴散並再度起化學變化:

3( ) ( ) 3 4( ) 2( )4 6g s s gNH Si Si N H

造成墊層氧化層與矽表面形成白色的氮化矽化合物,稱為白帶 (white ribbon) ;為了去除這層白帶,因此須要用增加犧牲氧化層清洗。

氮化矽

鳥嘴區域

墊氧化層矽基板

SiO2SiO2

白帶

Page 90: 第肆章   p 型井的製作

Fuh-cheng Jong4-90

剝除氮化矽剝除氮化矽• 50 : 1 的 BOE 60 秒 ( 去除在氮化矽上面的 SiNxOy)• 160℃的磷酸浸泡 70 分鐘 (剝除氮化矽 )• 檢查晶背是否有未清除乾淨的氮化矽粒子 ( 可能會造成日 後黃光曝光時的景深問題 ) 。

SiO2

p<100>p well

n well

Page 91: 第肆章   p 型井的製作

Fuh-cheng Jong4-91

去除墊層氧化層去除墊層氧化層• 以 100 : 1 的氫氟酸水溶液去除墊層氧化層

p<100>p well

n well

Page 92: 第肆章   p 型井的製作

Fuh-cheng Jong4-92

犧牲氧化層 (SAC)犧牲氧化層 (SAC)• 以濕氧長出 350±20Å 的氧化層,這層犧牲氧化層的目的是 為了讓原先長在墊層氧化層與矽界面的白帶能利用後面去 除犧牲氧化層時,一併去除。

p<100>p well

n well

推入晶圓氣體通 N2+O2

800

900

昇溫氣體通 N2+O2

靜置 ( 等穩定 )氣體通 N2+O2

開始濕氧氧化氣體通 H2+O2

預備氧化氣體通 O2

正式濕氧氧化氣體通 H2+O2+TLC

完成氣體通 O2

降溫氣體通 N2

拉出晶圓氣體通 N2

350±20Å

Page 93: 第肆章   p 型井的製作

Fuh-cheng Jong4-93

• BF2 , 25keV全面性佈植, 3.1×1012 ions/㎝ 2 , 0o 角。• BF2 , 25keV只會打在晶圓表面。

調整 npMOS 的截止電壓 -1調整 npMOS 的截止電壓 -1

p<100>p well

n well

B11 3.1×1012 25kev , 0 度角

Page 94: 第肆章   p 型井的製作

Fuh-cheng Jong4-94

調整 npMOS 的截止電壓 -2調整 npMOS 的截止電壓 -2• 上 HMDS 後送入 60℃烤箱 30 秒。• 上光阻。• 軟烤 (100℃烤箱 90 秒鐘 ) 。• 曝光。• 硬烤 (120℃烤箱 90 秒鐘 ) 。• 顯影。• 硬烤 (100℃烤箱 90 秒鐘 ) 。• 檢查 (ADI) 。

p<100>p well

n well

PR

Page 95: 第肆章   p 型井的製作

Fuh-cheng Jong4-95

• BF2 , 25keV , 3.3×1012 ions/㎝ 2 , 0o 角。

p<100>p well

n well

PR

調整 npMOS 的截止電壓 -3調整 npMOS 的截止電壓 -3

B11 3.3×1012 25kev , 0 度角

SAC

Page 96: 第肆章   p 型井的製作

Fuh-cheng Jong4-96

p<100>p well

n well

• 去除光阻 ( 先以 O2 電漿灰化光阻再去除, 800 瓦、 30分鐘 、 80 ) ℃ 。• 以卡洛氏酸去除光阻 (30 分鐘 ) 。• 清洗晶片: 1. NH4OH+H2O2+H2O 90 秒 2. HCl+H2O2+H2O 90 秒 3. 100 : 1 的 HF 清洗 ( 去除 SAC)20 秒。

去除光阻與 SAC去除光阻與 SAC

Page 97: 第肆章   p 型井的製作

Fuh-cheng Jong4-97

p<100>p well

n well

閘極氧化層閘極氧化層• 目標 200±20Å

推入晶圓氣體通 N2

850

950

昇溫氣體通 N2+O2

靜置 ( 等穩定 )氣體通 N2+O2

開始乾氧氧化氣體通 O2+TCE

降溫氣體通 N2

拉出晶圓氣體通 N2

600

200±20Å

1000

昇溫氣體通 N2

回火(讓閘極氧化層更緻密

,並減少缺陷 )氣體通 N2

Page 98: 第肆章   p 型井的製作

Fuh-cheng Jong4-98

閘極氧化層品質閘極氧化層品質• 決定 MOS 的特性是否成功或失敗的最主要關鍵是在閘極 氧化層的品質。

0 0

2 (2 )2

f m ot s A B BST ms B

Q Q Q qN VV

C C

0ox

ox

Cd

, Qm 、 Qf 與 Qot 是閘極氧化層的電荷

Wm

QoxQm

Qn

QWm=-qNdWm

p 型半導體氧化層金屬Na+

K+

Qot± ± ±Qm

金屬二氧化矽

Qf

× × × × × × × × × Qit+ + + + + +

Page 99: 第肆章   p 型井的製作

Fuh-cheng Jong4-99

• 以 SiH4 620℃、 LPCVD 沉積複晶矽,作為閘極。

• 厚度目標: 600±50Å 。• 晶背也同時沉積部份的複晶矽,這些複晶矽可能因為 平坦度不夠,將對後面的微影製程對準造成困擾,因 此必需去除。

4( ) ( ) 2( )2g s gSiH Si H

薄複晶矽沉積薄複晶矽沉積

p<100>p well

n well

600±50Å

晶背複晶矽

Page 100: 第肆章   p 型井的製作

Fuh-cheng Jong4-100

晶背蝕刻晶背蝕刻

p<100>p well

n well

光阻

• 晶圓表面先塗上光阻,光阻厚度大約 1.8m ,經軟、 硬烤後,不經曝光與顯影,以乾蝕刻去除背部的複晶 矽 ( 第貳章 194~198 頁 ) 。• 以 O2 + SF6 電漿蝕刻晶圓背部的複晶矽。• 以 10 : 1 的 BOE 再作一次清除程序。• 光阻以 O2 電漿灰化清除,再以卡洛氏酸清洗一次。• 以 SEM 檢查 AEI 是否有清除乾淨。

Page 101: 第肆章   p 型井的製作

Fuh-cheng Jong4-101

定義埋層接點 -1定義埋層接點 -1• 晶圓表面先塗上 HMDS 。• 烤乾 (90℃、 30 分鐘 )• 塗上光阻 (≈9.8kÅ)• 軟烤 (100℃、 90 秒 )• 曝光 與零層的製程相同• 硬烤 (120℃、 90 秒 )• 顯影• 顯影檢查,又稱為 ADI• 烤乾 (100℃、 90 秒 )

埋層接點目地是為了讓後面製程的複晶矽Ⅰ與 n 或 p 型井相接所做的接點。

n 型矽基板

光阻9.8k±50Å

FOX FOX薄複晶矽

n- n-

Page 102: 第肆章   p 型井的製作

Fuh-cheng Jong4-102

• 埋層接點是薄複晶矽與晶片的基體層相接的接點,因此下面 的氧化層都必需蝕刻掉。• 乾蝕刻: (請看第貳章 202 頁 ) ①突破蝕刻: CF4 , 400W , 10 秒, 20mTorr

② 過蝕刻: HBr/Cl2 , 150W , 60 秒, 80mTorr• 光阻以 O2 電漿灰化清除,再以卡洛氏酸清洗一次。• 以 SEM 檢查 AEI ,是否有清除乾淨。

定義埋層接點 -2定義埋層接點 -2

與 95 頁製程相同

n 型矽基板

光阻9.8k±50Å

FOX FOX薄複晶矽

n- n-

Page 103: 第肆章   p 型井的製作

Fuh-cheng Jong4-103

自我對齊自我對齊現今的 MOS 製程,經常會使用複晶矽當成 MOS 的閘極,原因是因為複晶矽可以耐高溫,因此可以拿來當成自我對齊 (Self Align) ,如果是使用鋁來做成 MOS 的閘極,後面的 MOS 製程就不能超過 400

℃ 以上,製程將受到相當的限制,甚至無法搭配後面的自我對齊製程。在複晶矽閘極完成後,必需掺雜高濃度的磷以降低複晶矽閘的阻值,有些甚至還以 CVD 在複晶矽上面再鍍上一層金屬矽化物,以便更進一步降低複晶矽的阻值。

n 型矽基板p+ p+

P31 6×1012 150kev

自我對齊

Page 104: 第肆章   p 型井的製作

Fuh-cheng Jong4-104

金屬閘 (鎢閘 )-1金屬閘 (鎢閘 )-1• 由於元件的縮小化,使得MOS 的訊號延遲變的越來越重要 ,因此複晶矽閘已經無法滿足現代 ULSI速度上的需求了, 因此金屬閘已經重返 ULSI 製程了。• 下圖是金屬閘的製程:

p 型矽

複晶矽

Page 105: 第肆章   p 型井的製作

Fuh-cheng Jong4-105

金屬閘 (鎢閘 )-2金屬閘 (鎢閘 )-2

p 型矽

佈值 n-n- n-

P31

As75

p 型矽

佈值 n-n-n-n+ n+

Page 106: 第肆章   p 型井的製作

Fuh-cheng Jong4-106

p 型矽

n-n-n+ n+

p 型矽

n-n-n+ n+

PSG

金屬閘 (鎢閘 )-3金屬閘 (鎢閘 )-3

Page 107: 第肆章   p 型井的製作

Fuh-cheng Jong4-107

p 型矽

n-n-n+ n+

p 型矽

n-n-n+ n+

去除複晶矽閘

去除閘極氧化層

金屬閘 (鎢閘 )-4金屬閘 (鎢閘 )-4

Page 108: 第肆章   p 型井的製作

Fuh-cheng Jong4-108

沉積氮化矽再沉積 Ta2O5

沉積鉭為阻擋層再沉積鎢

Ta2O5

p 型矽

n-n-n+ n+

p 型矽

n-n-n+ n+

金屬閘 (鎢閘 )-5金屬閘 (鎢閘 )-5

Page 109: 第肆章   p 型井的製作

Fuh-cheng Jong4-109

p 型矽

n-n-n+ n+

Ta2O5

• 以 CMP研磨金屬鎢,再以 CMP研磨金屬鉭,並且終止於 五氧化二鉭。• 金屬退火。

金屬閘 (鎢閘 )-6金屬閘 (鎢閘 )-6

Page 110: 第肆章   p 型井的製作

Fuh-cheng Jong4-110

複晶矽Ⅰ複晶矽Ⅰ• 清洗 ①卡洛氏酸清洗晶圓。 ②將晶圓浸泡在水與氫氟酸比例為 100:1 的氫氟酸溶液 90 秒。 ③SC1 ④SC2• LPCVD 620℃沉積複晶矽Ⅰ 20 分鐘,厚度為 3.2k±200Å 。

註: LPCVD 在 625℃溫度下,矽烷沉積的複晶矽的階梯覆蓋 有不錯的特性。

4( ) ( ) 2( )2g s gSiH Si H

第貳章第 16 頁

使用矽烷製造複晶矽的優點 使用矽烷製造複晶矽的缺點反應溫度比較低 成本高不含腐蝕性的氣體 產生的污染粒子多

Page 111: 第肆章   p 型井的製作

Fuh-cheng Jong4-111

3.2k±200Å600±50Å

p<100>p well

n well

複晶矽Ⅰ的掺雜溫度複晶矽Ⅰ的掺雜溫度推入晶圓氣體通 N2

850

900

昇溫氣體通 N2

靜置 ( 等穩定 )氣體通 N2+O2

開始掺雜氣體通 N2+O2+POCl3

降溫氣體通 N2

拉出晶圓氣體通 N2

結束掺雜氣體通 N2+O2

時間

• 複晶矽掺雜磷製程的溫度條件

Page 112: 第肆章   p 型井的製作

Fuh-cheng Jong4-112

複晶矽Ⅰ的磷掺雜複晶矽Ⅰ的磷掺雜• 複晶矽掺雜磷製程化學反應式:

3 2 2 5 2

2 5 2

4 3 2 6

2 5 4 5

POCl O P O Cl

P O Si P SiO

• 掺雜後的阻值目標: 420±30/□ 。• 從化學反應式知道 P2O5 進到矽內,會產生磷玻璃,並停留 在晶圓的表面造成殘餘物,一般稱為浮渣 (scum) 。• 以 10 : 1 的 BOE 浸泡晶圓 4 分鐘,再以超音波清洗,最後以 卡洛氏酸清洗,去除浮渣等殘餘物。例:磷在 850℃下、在矽的固態溶解度是 3.8×1020(atoms/cm3) , 換算成電阻係數大約是 3 ×10-4-cm 。 假設薄膜截面積的寬度 =L ⇒ t :薄膜的厚度

( / )cs c

LR

tL t

4

8

1 3 1010

3200 10C SR Rt

Page 113: 第肆章   p 型井的製作

Fuh-cheng Jong4-113

• 晶圓表面先塗上 HMDS 。• 烤乾 (90℃、 30 分鐘 )• 塗上光阻 (≈9.8kÅ)• 軟烤 (100℃、 90 秒 )• 曝光 與零層的製程相同• 硬烤 (120℃、 90 秒 )• 顯影• 顯影檢查,又稱為 ADI• 烤乾 (100℃、 90 秒 )

定義複晶矽閘定義複晶矽閘

p<100>p well

n well

光阻

Page 114: 第肆章   p 型井的製作

Fuh-cheng Jong4-114

以 nMOS 為例,截止電壓量測方法如下:將 VS(源極)接地,在汲極端加上固定偏壓 0.1伏特,改變 VG ,從零伏逐漸增加到 2伏為為止,並讀取 ID ; 再以 ID對 VG 的微分圖 (畫紅色線的部份 ) ,可以得到其斜率曲線 (GM)

;找到該曲線的最大值 Gmmax點後,對應於此 nMOS 電流特性曲線上的點,再經過該點的切線與 X軸相交於點( VT , 0),再將求的點VT- 0.05 後,就是截止電壓。

MOS 的截止電壓MOS 的截止電壓

I DS

'TV

0.0 0.2 0.4 0.6 0.8 1.0

0

10

20

30

40

50

VGS

0.1

IDS

Page 115: 第肆章   p 型井的製作

Fuh-cheng Jong4-115

Linear () Region Cut-off Region Saturation Region

JFET |VGD|>|VP| |VGS|<|VP| |VGD|<|VP|

Enhance MOS |VGD|>|VT|且 |VGS|>|VT| |VGS|<|VT| |VGD|< |VT|且 |VGS|>|VT

|

Depletion MOS |VGD|>|VT|且 |VGS|>|VT| |VGS|<|VT| |VGD|<|VT|且 |VGS|>|VT|

JFET 與 MOS 的操作條件JFET 與 MOS 的操作條件

JFET

MOS

22( 1) ( )GS DS DSD DSS

P P P

V V VI I

V V V

2

1 GSD DSS

P

VI I

V

2

2DS

D GS T DS

VI V V V

2

2D GS TI V V

Dm DS

GS

Ig V

V

D

m GS TGS

Ig V V

V

o ox

ox

W

Ld

Page 116: 第肆章   p 型井的製作

Fuh-cheng Jong4-116

• 複晶矽閘直接影響 MOS 的通 道長度與寬度,通道越長或越 窄,導通的電流就會越小,相 反的,通道越短或越寬,導通 的電流就會越大。• 複晶矽閘越寬或越短,就越 大,也就是放大率就越大。• 當MOS 縮小化到 0.25m以下 , MOS 的尺寸大小因為電荷 共享 (charge sharing) 的現象 ,就會開始影響到截止電壓 ;一般有短通道效應、反短 通道效應與窄通道效應等。

MOS 的通道長度與寬度MOS 的通道長度與寬度

VT(V

)

0.4

0.6

0.2

0

-0.2

-0.4

0 0.2 0.4 0.6

nMOS

pMOSVDS=-0.05V

VDS=-1.8V

VDS=1.8V

VDS=0.05V

Lgate(m)

Page 117: 第肆章   p 型井的製作

Fuh-cheng Jong4-117

複晶矽閘的蝕刻複晶矽閘的蝕刻• 112 頁的顯影烘烤後,接著以乾蝕刻將閘極製造出來: 乾蝕刻: ①突破蝕刻: CF4 , 400W , 20mTorr , 10 秒 ②過蝕刻: HBr/Cl2 , 235W , 50mTorr 直到製程終點• 光阻以 O2 電漿灰化清除,再以卡洛氏酸清洗一次。• 以 SEM 檢查 AEI ,是否有清除乾淨。

光阻

p<100>p well

n well

複晶矽Ⅰ薄複晶矽

Page 118: 第肆章   p 型井的製作

Fuh-cheng Jong4-118

電腦電子介面

測試設備

探針卡

晶圓定位(X, Y, Z, )

X-Y移動台 -Z移動台

控制與接收量測數據資料

自動化量測系統系統圖

……

Page 119: 第肆章   p 型井的製作

Fuh-cheng Jong4-119

WAT測試機

WAT測試機

(Photo courtesy of Advanced Micro Devices)

WAT 程式由工程師撰寫,大多數是以 HPBasic語言寫的,但有些機台 (如 Keithley ) 是以C++撰寫的。

Page 120: 第肆章   p 型井的製作

Fuh-cheng Jong4-120

Probe Card

Page 121: 第肆章   p 型井的製作

Fuh-cheng Jong4-121

11

2

3

4

5

6

7

8

9

10

11

12

11

2

3

4

5

6

7

8

9

10

11

12

11

2

3

4

5

6

7

8

9

10

11

12

待量測的元件模阻 (Spine)11

2

3

4

5

6

7

8

9

10

11

12

C1M1 M2 M3 M4 M5

3

4

5

6

7

8

9

10

11

12

R211

2

3

4

5

6

7

8

9

10

11

12

CB11

2

3

4

5

6

7

8

9

10

11

12

R1

2

1111

2

3

4

5

6

7

8

9

10

11

12

13131313

11

2

3

4

5

6

7

8

9

10

11

12

13 13131313

Page 122: 第肆章   p 型井的製作

Fuh-cheng Jong4-122

針痕針痕

過度刮擦引起的金屬線開路

正常的刮擦標記

過度刮擦

Page 123: 第肆章   p 型井的製作

Fuh-cheng Jong4-123

閘極氧化層的 C-V 檢驗閘極氧化層的 C-V 檢驗 目地:量測閘極氧化層的品質 (請參考 97 頁 ) 。

V(V)- 0 +

d

VT

C0

Cmin

V

C0

Cj

V(V)- -V 0 +V +

1.0

0.5

0

0/C C

(c) (b) (a)

(a) 是正常的閘極氧化層(b) 有固定電荷被捕穫,造成 C-V平移(c) 有表面狀態電荷造成 C-V失真

高頻下,量測 C-V曲線。在上圖,可以看到量到的電容是由閘極氧化層電容與空乏電容串聯而成的