60
Copyright ©2010 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners. 450 mm Industry Briefing July 13, 2011 SEMICON West

450 mm Industry Briefing · 2014. 6. 26. · Briefing Agenda Tom Jefferson 450 mm Program Manager. 13 July 2011 8 July 2011 450 mm Briefing ... ISMI ITB is nearing completion

  • Upload
    others

  • View
    3

  • Download
    0

Embed Size (px)

Citation preview

  • Copyright ©2010 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

    450 mm Industry Briefing

    July 13, 2011SEMICON West

  • Copyright ©2010 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

    Opening Remarks

    Richard YoungVice President of Manufacturing Technology

  • 13 July 2011 3

    Momentum is Accelerating

    • Participation in the 450 mm Program has doubled in last 18 months

    • Clear signals are coming from IC device makers

    • Equipment supply base community is signaling a willingness to engage and support transition

    • Interaction between the 450 mm consortium and industry is intensifying in pace and breadth

    • Site expansion at the College of Nanoscale Science and Engineering (CSNE) is in preparation

  • 13 July 2011 4

    Supply Chain Alignment

    • Silicon supply in good shape

    • Test Wafer infrastructure– Cleaner and greater supply from SEMATECH

    • 450 mm equipment delivered and capability expanding – New capability coming to CNSE/SEMATECH– New capabilities also expected at supplier sites – Collaborative cost sharing procurement model in pilot– Required capabilities in development now – most from

    multiple providers

  • 13 July 2011 5

    Consortium Approach

    • Key lessons learned from I300I comprehended– Learning is helping to accelerate timeline

    • Collaboration will continue to be critical for a cost- effective and timely 450 mm transition

    The consortium test bed helps enable a 60% reduction in time to standards versus 300 mm

  • 13 July 2011 6

    Consortium Roles Next Steps

    • Consortia have been effective by creating a platform for consensus building to leverage experience and expertise of a larger community – 450 mm is an industry-wide transition for which we need all the best ideas

    in play• Equipment development and demonstration testing: use lessons learned from

    previous transitions and emphasize a balanced set of objectives – Involve supplier community through supplier training– Continuously refine performance metrics factoring emphasis on cost,

    productivity, or process capability • Align the technology roadmap: collaboratively work with consortium members

    on aligning the technology roadmap requirements for 450 mm introduction.• Publish performance objectives, schedules, and roadmaps providing the

    semiconductor original equipment manufacturers (OEMs) the necessary input for collaboration

    • Set aggressive but achievable resource conservation targets• SEMATECH will use its cost models with consortium members to evaluate,

    validate, and align cost efficiency expectations for the 450 mm transition

  • Copyright ©2010 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

    Briefing Agenda

    Tom Jefferson450 mm Program Manager

  • 13 July 2011 8

    July 2011 450 mm Briefing Agenda

    • 2011 450 mm Program Overview

    • 450 mm Process and Metrology Readiness– Silicon and Starting Materials– Test Wafer Operations– Equipment Development– Demonstration Test Methodology

    • Summary and Key Messages

    • Question and Discussion Session

  • 13 July 2011 999

    450 mm Consortium Mission StatementEnable a cost-effective 450 mm transition through coordination and

    development of infrastructure, guidance, and industry readiness

    450 mm Program Mission and Organization

    MaterialsEquipment

    Guidelines Testing

    450 mm Program Scope

  • 13 July 2011 10

    450 mm Timeline - 2006–present

    2006 2007 2008 2009 2010

    450 mm Program launched

    450 mm lot size (25)/carrier (FOUP)

    defined

    Wafer thickness defined (925 µm)

    1st sc-Si wafers

    1st sintered wafers

    1st metrology tool

    1st process tool

    450 mm EPMs

    2011

    450 mm standards: loadport/FOUP/ wafers

    NY funds 450 mm Program

    1st wafers processed at supplier sites

  • 13 July 2011 11

    450 mm Progress - 2010–>2011

    Jul-2010 Jul-2011Wafers ~440 wafers loaned to 25

    suppliers•~750 wafers loaned to >40 suppliers

    Equipment •3 450 mm tools operational or on order for consortium cleanroom•450 mm development underway for

  • 13 July 2011 1212

    450 mm Momentum is Accelerating

    Record capex leads to 28% fab equipment growth in 2011, says SEMI

    March 3, 2011: “…For the first time, SEMI's World Fab Forecast data identify seven facilities in the near future that are candidates for 450 mm readiness …”

    Splinter Seeks Consensus on 450-mm TransitionMay 24, 2011: “…Applied will step up its 450-mm-related equipment development … will have its 450-mm tools ready ‘when the customers need them’…”

    TSMC KICKS OFF 450 mm WAFER FAB PLANFebruary 11, 2011: “…to invest in 450 mm wafer fab, slated to come on line in 2015 after entering into pilot run in 2013 …”

  • 13 July 2011 13

    05

    1015202530354045

    Dec'09 Jul'10 Dec.10 Jul'11

    # CompaniesEngaged with450mm Program

    Engaged: Providing equipment, materials, or services to the 450 mm Program

    450 mm Momentum is Accelerating

  • 13 July 2011 1414

    Recent 450 mm Progress

    Q2’11

    FOUPs/MACs

    450 mm Stocker

  • 13 July 2011 151515

    450 mm Program Strategy and Projected Scope

    ~30 tools for test wafer generation

    15–20 test wafer tools mature enough to be demo tools

    ~80 additional

    demo tools

    supportsSilicon and Test Wafer Generation

    1IDM Equipment Selections for 450 mm Pilot

    Lines

    4

    Equipment Demonstration

    2Equipment

    Development+ =

    3

    450 mm Consortium Scope

  • 13 July 2011 16

    Expanded Cleanroom Capacity at CNSE

  • Copyright ©2010 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

    Silicon and Starting Materials

    Mike Goldstein (Intel)

  • 13 July 2011 18

    450 mm Silicon Supply Update

    • Silicon suppliers have installed 450 mm dedicated equipment and are manufacturing developmental test wafers in large volume, improving the wafer quality.

    • Hundreds of wafers have been shipped successfully in multi application carriers (MACs).

    • Cleaning methodology has been improved. Current 90 nm particle levels are below 200 per wafer. Further improvement is expected after tools enabling detection

  • 13 July 2011 19

    Wafer Geometry - Kuroda Precision Industries

    SORI 12.1um GBIR 0.686um

    ERO - Start -0.108m

    Cross PV 0.540um

  • 13 July 2011 20

    Wafer Geometry- Kobelco

  • 13 July 2011 21

    Improved Mechanical Test Wafers

    • NMM has developed an improved mechanical test wafer with a sag similar to single crystal wafers.

    21

    240°ring support

    120°

    5Φ450/Φ440

    Shape of supporter

    Gravitational sag measurement system

  • 13 July 2011 22

    450 mm Wafer Bank

    • The 450 mm consortium offers wafer loans to suppliers for development of 450 mm equipment and components.

    • Initiated in Q1’08, the Wafer Bank has provided a total of 720 wafers to over 40 different organizations.

    • The Wafer Bank is currently transitioning from bare wafer loans to processed wafers in support of tool development.

    22

  • 13 July 2011 2313 July 2011 23

    Quartz Crucibles• Single crystal silicon ingots are pulled out of molten silicon in a quartz

    crucible.

    • 450 mm crystal growing brings new challenges in size and robustnessfor crucible manufacturers. For the same crystal length as a 300 mm ingot:

    – The polysilicon charge required to grow the ingot is ~2.4x larger.– The crystal pull time is almost double.

    • Several companies are manufacturing quartz crucibles. I would like to recognize the help of Japan Super Quartz and Heraeus Quarzglas who were supportive of the program from the beginning.

    23

  • 13 July 2011 2413 July 2011 24

    Quartz Parts • Quartz parts are an essential component of the IC manufacturing cycle.

    • There are two aspects in quartz manufacturing:– Raw materials – tubes, rods, clear and opaque solid materials produced from high purity natural

    or synthetic quartz– Components fabrication, hot and cold – diffusion tubes and boats, domes, rings, windows…

    Components are fabricated according to OEMs’ designs, using lathes, machine center special fixtures, annealing furnaces, and cleaning baths.

    • We would like to recognize the support of two companies in the development: Tosoh Quartz and Heraeus Quarzglas:

    – Tosoh Quartz has supplied quartzware to the semiconductor industry since the 2” wafer generation and is working with OEMs on 450 mm designs and prototypes. Equipment and facilities are ready to support 450 mm wafers manufacturing and cleaning for install (CFI).

    – Heraeus Quarzglas is the largest vertically integrated quartz glass producer in the world for natural and synthetic quartz glass. Currently Heraeus is preparing for 450 mm wafer generation both with raw materials and fabricated parts.

    24

  • 13 July 2011 2525

    Quartzware Scaling

    200 mm 200 mm 300 mm 450 mm300 mm 450 mm

  • 13 July 2011 26

    Summary

    • We are engaged with materials suppliers to support 450 mm development needs.

    • The silicon supply line is in good shape.

    • Consortium ongoing activities include– Materials to enable process test wafer generation activities– Continued silicon suppliers benchmarking – Supporting suppliers’ quality continuous improvement programs – Working with the SEMI silicon wafer committee to generate

    a 450 mm prime wafer spec

    26

  • Copyright ©2010 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

    Test Wafer Operations

    Sang-dong Kwon (Samsung)

  • 13 July 2011 28

    • Current Consortium Cleanroom Operations• Wafer Quality Improvements• Infrastructure Plans• Summary

    Overview

  • 13 July 2011 29

    Consortium 450 mm Operations

    Wafer Sorter

    SC1/SC2 cleaning at high particle removal

    efficiencies

    Enables cleaner silicon shipping

    Automated, clean, safe wafer handling and notch

    alignment

    FOUP WasherWet Clean Tool

  • 13 July 2011 30

    Consortium 450 mm Metrology

    Enables defect measurements down to 90 nm

    Enables thickness measurements for dielectric materials

    Defect/Edge Inspection Thin Film Thickness

  • 13 July 2011 31

    450 mm Wafer Quality is Improving

    2010: >3000 defects/wafer 2011:

  • 13 July 2011 32

    450 mm Stocker• Scheduled for installation in consortium

    cleanroom in August– Enables tracking of stored in-process WIP

    and empty carriers

    450 mm PGV Improvements• Ultra-narrow person-guided vehicles

    (PGVs) developed and in use in consortium cleanroom– Critical for safe 450 mm operations– Reduces the required loading space– Dual-side operations compatible with

    SEMI-compliant FOUPs and MACs

    Improved Consortium 450 mm Infrastructure

  • 13 July 2011 33

    Summary

    • Increased consortium cleanroom operations have resulted in cleaner wafers and better wafer shipping.

    • The 450 mm Program is supporting multiple centralized metrology operations.

    • Factory infrastructure components to enable safe and secure 450 mm operations are being implemented now.

    • Requests for wafer loans are rapidly increasing.

  • Copyright ©2010 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

    450 mm Equipment Development

    Tom Abell (Intel)

  • 13 July 2011 35

    Test Wafer Infrastructure

    • New capabilities coming to CNSE cleanroom.• New cost-sharing procurements have been made

    in three significant areas.• Collaborative experiments are expanding with

    multiple suppliers for tool development and test wafer processing.

    • Requests for Information across the tool set are being sent to understand test wafer and demonstration tool plans.

    • Patterning strategy has been defined for early test wafer generation and demonstration paths.

  • 13 July 2011 36

    New Capability Coming to CNSE

    • 450 mm-capable manual 4-point probe from CDE tested on metal-deposited 450 mm wafers– Shipment expected within weeks

  • 13 July 2011 37

    New Cost-Sharing Equipment Coming to CNSE

    • LPCVD equipment for poly/nitride deposition from EugeneTech has been selected for cost sharing– Tool under construction for end-of-year qualification

    • Advanced defect detection metrology from Hitachi Hi- Tech has been selected for cost sharing– Tool will be capable of

  • 13 July 2011 38

    Collaborative Experiments

    • Work is continuing with tool types external to the consortium– TOX, wet cleans, wet oxide etch, defect inspection, VPD metals

    measurement, ellipsometry, PECVD oxide

    • Experiments are underway and results from new tool types being received– Plasma oxide etch, metal deposition, nanoimprint

    • New test wafer capabilities have been identified for experiments– Implant for resist damage, post-ash wet clean, wet poly etch

    • New capabilities are being actively investigated

  • 13 July 2011 39

    Recent Test Wafer Results

    notch

    SiO2 rate

    Plasma etch of blanket PECVD Oxide

    Thermal oxide growth

    Average: 457.8 nmUniformity: 21.0nm(3), ±3.3%

    notch

    SiO2 thickness(nm)

    2nd run of PECVD OxideOxford Instruments

    Wet oxide etch

    Artifact from previous film

  • 13 July 2011 40

    450 mm Test Wafer Generation - Proof of Concept and Capabilities Sought

    Capabilities Tested and Proven:>90 nm defect detection – at CNSESC1/SC2 wet cleans – at CNSEAdvanced particle wet clean – at supplierAdvanced wet oxide etch – at supplierManual ellipsometer – at ISMI and at supplierManual 4-point probe metrology – to ship to CNSEThermal oxide – at supplierPECVD oxide – at supplierOxide etch – at supplierVPD metals measurement – at labAdvanced defect detection – at supplierTi metallization – at supplierCoater – at supplierNIL – at supplierDielectric dry etch – at supplier

    Capabilities ready to be tested:Implant for photoresist damage – at supplierPost-ash wet clean – at supplier

    Capabilities to be Built and Shipped to Consortium:60% capabilities shown, ready to test or being built

  • 13 July 2011 41

    Cost-Sharing Overview

    • The consortium recognizes that the historical financial risk model for funding a wafer size transition is no longer relevant

    • Risk sharing with tool suppliers is expected to realize the 450 mm transition– Cost sharing is the planned mechanism to lower the financial risk– Realistic cost for building tools is expected

    • Request for Information (RFI) is the first step towards cost sharing– Initial discussion format to cover entire development scope through

    demonstration tools – Preparation for cost-sharing selection process

  • 13 July 2011 42

    RFI Progress• 13 RFIs sent to suppliers to cover 15 capabilities:

    – TOX, PVD Ti/TiN, wet particle cleans, wet oxide etch, wet post-ash clean, dielectric etch, ash, dielectric CMP, track, CD SEM, nanoimprint, automated dielectric film metrology, HE implant, MC implant, HC implant

    • 5 RFI’s in review to be sent soon:– Solvent cleans, backside cleans, TXRF, Cu barrier/seed, Cu plating

    • 10 RFI’s planned for the near future:– Standard lithography, defect review SEM, metal film thickness (pump-

    probe), coater for nanoimprint, optical CD, implant metrology, automated 4- point probe, stress/bow, metals CMP, PVD Ni, W dep

    Sample of returned timelines for test wafer and demonstration toolsCapability Now Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4

    Wet CleansThermal Oxide

    PVDAshCMP

    ETCHCD SEM

    NanoimprintDiel. Flm Thk.

    Test Wafer Tool Demostration Tool

    Availability --> 2011 2012 2013

  • 13 July 2011 43

    Consortium Patterning Strategy

    • Nanoimprint technology (NIL) for test wafer generation with demonstration roadmaps–

  • 13 July 2011 44

    EVG Step and Repeat Imprint Approach

    450 mm S&R Imprint Flow

    EVG 770 Gen II NIL Stepper

    Step and RepeatUV NIL (hard and soft stamps)

    EVG101

    Resist ProcessingCoatResist Stripping

    Imprint die x on wafer

    Cure imprint polymer and de- emboss template

    Move to next die and

    imprint again

  • 13 July 2011 45

    EVG770 Gen II NIL Stepper 450 mm Wafer Processing

    450 mm wafer loaded on the EVG770 wafer stage.

    Imprint die x on wafer

    Cure imprint polymer and de- emboss template

    Move to next die and imprint

    again

  • 13 July 2011 46

    World‘s First 450 mm Step and Repeat Imprinted Wafer with 35 nm Features

    450 mm wafer with 12 imprinted die next to a 300 mm ruler. The replicated structures are 35 nm half-pitch line and space structures 50 nm deep using EVG’s proprietary high resolution hybrid stamp

    technology.

    High resolution replica of the circular hybrid stamp. The 4 boxes contain the 35 nm high

    resolution features

    Close up of a 35 nm line and space box with 10x magnification

  • 13 July 2011 47

    Metrology of Imprinted Features

  • Copyright ©2010 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

    450 mm Demonstration Test Methodology

    Yi-Lang Wu (tsmc)

  • 13 July 2011 49

    Purpose and Benefits of the 450 mm DTM

    • Purpose– Enables data-based device maker equipment selection for

    450 mm pilot lines

    • Benefits– Clear and consistent procedures

    • testing methodology• data collection tools• reporting

    – Tests items scaled up for wafer size transition with focus on the functionality required for demonstration

    – Test methodology for supplier self-assessment before an EMA

  • 13 July 2011 50

    A.24. Manf. Goals

    A.22. FIMA

    EMA Appendix 1 Characteristic

    Checklists

    A.1 Design SpecPassive Data Coll.

    Mech. Dry Cycle

    Gauges Studies

    Test Planning

    Marathon Tests

    Sensitivity (DOE)

    Demonstration Test Method (DTM)

    Equipment Maturity Assessment (EMA)

    Baseline Characterization

    Demonstration Testing

    1 2 3

    DTM Scope

    Results of an EMA will define the appropriate level of testing

  • 13 July 2011 51

    ReportedResults

    DTM

    ReportsResultsChecklists

    Checklists

    Checklists

    Data Collection

    Assessments

    Tests

    Evaluations

    Foundations

    Foun

    dation

    s

    DTM/EMA

    Feedback

    What’s Important

    User, Working, &Advisory Groups

    Supplier Input

    Known orExisting Data

    DTM – Demonstration Test Method

    1. Performance2. Standards3. Guidelines

    Expected Results

    Planning

    Method/Approach

    Equipment Demonstration - Process Overview

  • 13 July 2011 52

    450 mm DTM Supplier Training• Oct 17, 2011– EMA/DTM training for suppliers at ISMI

    Manufacturing Week, Austin, TX

    • Dec (date TBD) – EMA/DTM training for industry and suppliers at SEMICON Japan, Makuhari Messe

    • Supplier participants will learn the demonstration testing process in detail through interactive training:

    – Supplier responsibilities– Consortium responsibilities– Test goals and procedures– Test reports and documentation

  • Copyright ©2010 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

    Closing Remarks and Key Messages

  • 13 July 2011 54

    The Case for Collaboration

    Success of the 450 mm transition depends on comprehensive industry-wide collaboration

    Consortia and related groups have historically been effectiveCollaboration

    - Is a cost-effective approach for pre-competitive development- Leverages the expertise and experience of the larger community

    - Aligns participants on common goals and timelines

    Governments Suppliers

    IC Makers Universities

  • 13 July 2011 55

    Materials and Contact Information

    • Materials from today’s meeting can be found at the following URL: http://ismi.sematech.org/meetings/archives/450 mm

    – Document should be posted by end of July 13.

    • To obtain further information or to engage in opportunities with the 450 mm Program:

    Tom Jefferson450 mm Program [email protected]

  • 13 July 2011 5656

    Key Messages

    • 450 mm Readiness is increasing in all areas of the supply chain and progress is accelerating rapidly

    • Solutions for many of the capabilities required to enable the transition are now in development – most from multiple providers

    • Collaboration will continue to be critical for a cost effective and timely 450 mm transition

  • 13 July 2011 57

    450 mm Forecast is Positive

    Key Messages, cont.

  • 13 July 2011 5858

    Closing Thoughts

    “Don’t be encumbered by history. Go off and do something wonderful.”

    - Robert Noyce

    “Coming together is a beginning; keeping together is progress; working together is success.”

    - Henry Ford

  • 19 July 2011 59

  • 13 July 2011 60

    Questions and Discussion

    450 mm Industry BriefingOpening RemarksMomentum is AcceleratingSupply Chain AlignmentConsortium ApproachConsortium Roles�Next Steps Briefing Agenda�July 2011 450 mm Briefing AgendaSlide Number 9450 mm Timeline - 2006–present450 mm Progress - 2010–>2011450 mm Momentum is AcceleratingSlide Number 13Recent 450 mm Progress450 mm Program Strategy and Projected ScopeExpanded Cleanroom Capacity at CNSESilicon and Starting Materials450 mm Silicon Supply UpdateWafer Geometry �- Kuroda Precision IndustriesSlide Number 20Improved Mechanical Test Wafers450 mm Wafer BankQuartz CruciblesQuartz Parts Slide Number 25SummaryTest Wafer OperationsSlide Number 28Slide Number 29Consortium 450 mm Metrology450 mm Wafer Quality is ImprovingSlide Number 32Summary450 mm Equipment DevelopmentTest Wafer InfrastructureNew Capability Coming to CNSENew Cost-Sharing Equipment Coming to CNSECollaborative ExperimentsRecent Test Wafer Results450 mm Test Wafer Generation�- Proof of Concept and Capabilities SoughtCost-Sharing OverviewRFI ProgressConsortium Patterning StrategyEVG Step and Repeat Imprint ApproachEVG770 Gen II NIL Stepper 450 mm Wafer ProcessingWorld‘s First 450 mm Step and Repeat Imprinted Wafer with 35 nm FeaturesMetrology of Imprinted Features450 mm Demonstration Test MethodologyPurpose and Benefits of the �450 mm DTMDTM ScopeSlide Number 51450 mm DTM Supplier TrainingClosing Remarks and Key MessagesThe Case for CollaborationMaterials and Contact InformationKey MessagesSlide Number 57Closing ThoughtsSlide Number 59Questions and Discussion