79
DEPARTMENT OF TELECOMMUNICATION ENGINEERING Learning Objectives: An understanding of the fundamental courses necessary to attain proficiency in the field of Telecommunication Engineering. A clear comprehension of multi-disciplinary and inter- disciplinary nature of application in Telecommunication engineering and providing a framework for fostering such work. Introducing state of art in the field of Telecommunication engineering by providing avenues for experimentation and learning core and allied courses. Providing the students with a sense of responsibility towards peers, elders and society so that they apply the acquired skills in finding solutions to engineering problems impacting day to day life. Developing students to demonstrate leadership in diverse fields of Engineering. Outcome: Familiarity with the basic concepts of engineering and their application to Telecommunication domain. Ability to apply the acquired knowledge to set up and conduct experiments to validate and verify solutions to engineering problems. Aptitude to understand the requirements of modern day communication system and analyze the trade off in design and implementation of such systems. Use of mathematical concepts needed for modeling, analysis and design of communication systems. 1 PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

5 & 6 Semester

  • Upload
    vantu

  • View
    224

  • Download
    0

Embed Size (px)

Citation preview

DEPARTMENT OF TELECOMMUNICATION ENGINEERING

Learning Objectives: An understanding of the fundamental courses necessary to attain proficiency in the

field of Telecommunication Engineering. A clear comprehension of multi-disciplinary and inter-disciplinary nature of

application in Telecommunication engineering and providing a framework for fostering such work.

Introducing state of art in the field of Telecommunication engineering by providing avenues for experimentation and learning core and allied courses.

Providing the students with a sense of responsibility towards peers, elders and society so that they apply the acquired skills in finding solutions to engineering problems impacting day to day life.

Developing students to demonstrate leadership in diverse fields of Engineering.

Outcome:

Familiarity with the basic concepts of engineering and their application to Telecommunication domain.

Ability to apply the acquired knowledge to set up and conduct experiments to validate and verify solutions to engineering problems.

Aptitude to understand the requirements of modern day communication system and analyze the trade off in design and implementation of such systems.

Use of mathematical concepts needed for modeling, analysis and design of communication systems.

Exhibit leadership and team work effectively with high standards of integrity, personal accountability and respect for others.

Ability to pursue careers in Research, Engineering and Management.

B. E. ECE 2014-18 batch V Semester

1PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Sl. No.

Course Code Course Title Hours / week Credits Page

No.L T P S

1. 14EC301 Communication Engineering I 4 0 0 0 4 32. 14EC302 Digital Signal Processing 4 0 0 0 4 53. 14EC303 VLSI Design 4 0 0 0 4 7

4. 14EC304 Communication Engineering I Lab 0 0 2 0 2 4

5. 14EC305 Digital Signal Processing Lab 0 0 2 0 2 66. 14EC306 VLSI Design Lab 0 0 2 0 2 87. 14EC31X Elective I 4 0 0 0 4 98. 14EC32X Elective II 4 0 0 0 4 19

TOTAL 20 0 6 0 26

Elective I

Sl. No. Course Code Course Title Hours / week Credits Page

No.L T P S

1 14EC311 Information Theory and Coding 4 0 0 0 4 92 14EC312 Network Security 4 0 0 0 4 11

3 14EC313 Digital Switching and Logic Design 4 0 0 0 4 14

4 14EC314 System on Chip Architecture 4 0 0 0 4 165 14EC315 Artificial Neural Networks 4 0 0 0 4 17

Elective II

Sl. No.

Course Code Course Title Hours / week Credits Page

No.L T P S

1 14EC321 Wireless Communication 4 0 0 0 4 192 14EC322 Radar Systems 4 0 0 0 4 213 14EC323 Computer Organization 4 0 0 0 4 22

4 14EC324 Advanced Semiconductor Devices 4 0 0 0 4 23

5 14EC325 Pattern Recognition and Classification 4 0 0 0 4 25

6 14EC326 Linear Systems 4 0 0 0 4 26

2PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Communication Engineering ICourse Code : 14EC301

Applicable for:

5th Semester

Credits: 4 creditsName of the Faculty:Lectures per Week:

4 hours per week

Tutorials per Week:

1 (optional)

Foundation / Core / Elective:

Core

Course Pre-requisites:

Signals & Systems and Probability theory and random processes

Course / Learning Objective

Understand the standard amplitude modulation and performance of analog communication systems. Learn Time Domain and Frequency domain representation of SSBSC and DSBSC. To develop a clear insight into the relations between the input and output ac signals in various stages of a transmitter and a receiver of AM & FM systems. Angle Modulation techniques will be analyzed both in time and frequency domains. Find the relation between Figure of merit and modulation index for amplitude and angle modulated signal under noisy conditions.

Course Description:

The course introduces students to the definition of communication, types of communication, modulation and provides with the detailed study of Amplitude and Frequency modulation. Students will also study noise and its types.

Syllabus Introduction AM: Time-Domain description, Frequency – Domain description. Generation of AM wave: square law modulator, switching modulator. Detection of AM waves: square law detector, envelop detector.Double Side Band Suppressed Carrier Modulation (DSBSC): Time-Domain description, Frequency-Domain representation, Generation of DSBSC waves: balanced modulator, ring modulator. Coherent detection of DSBSC modulated waves. Costas loop; Bandpass Signals and Systems: Review of Fourier transform, Hilbert transform, properties of Hilbert transform, pre-envelope, complex envelope, canonical representation of bandpass signals and systems; Single Side-Band Modulation (SSB): Quadrature carrier multiplexing, Single side-band modulation, Frequency discrimination method for generating SSB wave, Time-Domain description. Phase discrimination method for generating an SSB modulated wave, Time-Domain description. Demodulation of SSB waves; Vestigial Side-Band Modulation (VSB): Frequency – Domain description, Generation of VSB modulated wave, Time - Domain description, Envelop detection of VSB wave plus carrier, Comparison of amplitude modulation techniques, Frequency translation, Frequency division multiplexing; Angle Modulation (FM): Basic concepts, FM, narrow band FM, wide band FM, transmission bandwidth of FM waves, constant average power, generation of FM waves: indirect FM and direct FM. Demodulation of FM waves, FM stereo multiplexing, Phase-locked loop, Nonlinear model of the phase – locked loop, Linear model of the phase – locked loop, Nonlinear effects in FM systems; Noise: Introduction, shot noise, thermal noise, white noise, Noise equivalent bandwidth, Narrow band noise, Noise Figure, Equivalent noise temperature, cascade connection of two-port networks; Noise in Continuous Wave Modulation Systems: Introduction, Receiver model, Noise in DSB-SC receivers, Noise in SSB receivers, Noise in AM receivers, Threshold effect, Noise in FM receivers, FM threshold effect, Pre-emphasis and De-emphasis in FM; Applications: Radio broad casting ,Super heterodyne AM and FM radio receivers, TV receivers.

3PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

References: 1. Simon Haykin (1996), Communication Systems, John Willey, 3rd Edition.2. Simon Haykin (2008), An Introduction to Analog and Digital Communica-tions, John Wiley.3. B. P. Lathi and Zhi Ding (2010), Modern Digital And Analog Communication Systems, OUP, 1st Indian Edition.

Course / Learning Outcomes:

On successful completion of this Course, the students would be able to;1. Analyze the performance of different analog commu-

nication systems.2. Apply the concepts of amplitude modulation & demod-

ulation techniques in developing better circuits of these systems.

3. Apply Frequency modulation techniques to design FM receivers.

4. Calculate Power relations in Amplitude and Fre-quency modulated waves.

5. Analyze different characteristics of receiver. Assessment Tools / Methodology:

As indicated in the Course Information Report / Lesson Plan

14EC304 Communication Engineering Lab I

1. Collector AM and Demodulation using envelope detector 2. Balanced modulation and SSB generation using Diodes or using IC 14963. AM modulation and demodulation using IC4. Transistors mixers – up/down conversions5. Frequency synthesis using PLL6. Frequency modulation using IC 80387. Frequency demodulation 8. Pre-emphasis and de-emphasis9. PAM (modulation and demodulation)10. PWM (modulation and demodulation)11. PPM (modulation and demodulation)12. Study of Flat Top Sampling

4PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Digital Signal ProcessingCourse Code : 14EC302

Applicable for: 5th Semester

Credits: 4 Credits

Name of the Faculty:

As per time table

Lectures per Week: 4 hours per week

Tutorials per Week: NIL

Course Type: Core Subject

Course Pre-requisites:

Signals and Systems

Course Description: This subject Digital Signal Processing basically involves processing of discrete samples of data, the tools like Discrete Fourier transform and its properties are introduced, Fast Fourier transform algorithm implementation is also explained.Digital filters design concept with Butterworth and Chebyshev approximations are discussed in detail. Also design of IIR filters, FIR filters and realization forms gives the complete idea for the student to familiarize the different operations performed by the DSP processor.

Syllabus: Discrete Fourier Transform (DFT): Frequency domain sampling and reconstruction of discrete signals, DFT as a linear transformation, its relationship with other transforms, properties of DFT; Fast Fourier Transform (FFT): Direct computation of DFT, need for FFT, Radix-2 FFT algorithm for computation of DFT and IDFT: decimation-in-time and decimation-in-frequency algorithms; Use of DFT in linear filtering: overlap-save and overlap-add methods; Analog filter design: Design of Butterworth and Chebyshev filters, analog to analog frequency transformations, Time and frequency domain aspects of ideal and non-ideal filters, linear phase, group delay; Design of IIR filters from analog filters: Mapping of transfer function: Approximation of derivative: backward difference and bilinear transformation, impulse invariance, matched z-transform, verification for stability and linearity during mapping. Realization of IIR filters: direct form I and form II, cascade and parallel realizations; Design of FIR filters: Introduction to FIR filters, design of FIR filters using window functions: rectangular, Hamming, Bartlett and Kaiser windows, Hilbert transformer and differentiator, FIR design using frequency sampling technique. Realization of FIR filters: direct form I and form II, cascade, parallel and lattice realizations

Text Books / References:

1. Proakis and Manolakis, Digital Signal Processing: Principles, Algo-rithms and Applications, Pearson education, 4th Ed., New Delhi 2007.

2. L. C. Ludeman, Fundamentals of Digital Signal Processing, John Wiley and Sons, New York, 1986.

3. S. K. Mitra, Digital Signal Processing, TMH, 2nd Ed., 2004.4. Oppenheim and Schaffer, Digital Signal Processing, PHI, 2003.5. Ashok Ambardar, Analog and Digital Signal Processing, Thomas

Learning, 1999.

Course / Learning Outcomes:

On successful completion of this Course, the students would be able to:1. Develop algorithms using Discrete Fourier transform (DFT) and

Fast Fourier to process discrete samples 2. Examine the properties of DFT3. Design and development of Analog Filters4. Design and development of digital IIR filters using Butterworth and

Chebyshev approximations and realization forms

5PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

5. Design and development of FIR filters and realization forms Assessment Tools / Methodology:

As indicated in the Course Information Report / Lesson Plan

14EC305 Digital Signal Processing Lab

PART A - LIST OF EXPERIMENTS USING MATLAB/SCILAB/OCTAVE/WAB1. Verification of Sampling theorem.2. Circular convolution of two given sequences3. Autocorrelation of a given sequence and verification of its properties.4. Cross correlation of given sequences and verification of its properties.5. Sectioned Convolution using Overlap-Add Method6. Sectioned Convolution using Overlap-Save Method7. Computation of N point DFT of a given sequence and to plot magnitude

and phase spectrum.8. Linear convolution of two sequences using DFT and IDFT.9. Circular convolution of two given sequences using DFT and IDFT10.Design and implementation of FIR filter to meet given specifications.11.Design and implementation of IIR filter to meet given specifications.

PART B. LIST OF EXPERIMENTS USING DSP PROCESSOR1. Linear convolution of two given sequences.2. Circular convolution of two given sequences.3. Computation of N- Point DFT of a given sequence4. Impulse response of first order and second order system5. Realization of an IIR filter ( any type ) to meet given specifications .The

input can be a signal from function generator / speech signal .6. Realization of an FIR filter ( any type ) to meet given specifications .The

input can be a signal from function generator / speech signal .7. Noise removal: Add noise above 3kHz and then remove ; Interference

suppression using 400 Hz tone

6PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

VLSI DesignCourse Code : 14EC303

Applicable for: 5th SemesterCredits: 4Name of the Faculty:Lectures per Week: 4 hours per weekTutorials per Week: NilFoundation / Core / Elective:

Core

Course Pre-requisites:

Logic Design and Electronics Devices and Circuits

Course / Learning Objective

The course aims to provide an understanding of the design of digital circuits at switch-level and basic operations of MOSFET. It will familiarize the students with the implementation of digital circuits in Mentor Graphics Tool and also help them verify the RTL schematic by simulation. The key focus will be on the design and implementation of RTL schematic of combinational and sequential circuits.

Course Description: The course provides an overview of the basic operations of MOS Devices & Circuits, inverters, fabrication of MOS devices and basic circuits. It will introduce the concepts and scaling of MOS circuits. It will deal with the designs of data path systems, introduction to semiconductor memory circuits, sequential circuits design.

Syllabus MOS Devices & Circuits: Moore’s Law, Basic MOS Transistors, Enhancement & depletion mode action, V-I Relationship, Aspects of MOS threshold voltage, MOS transistor transconductance & output conductance, pass transistor, transmission gate, alternative forms of pull up for inverters, NMOS inverter, CMOS inverter, Pull up to Pull down ratio of inverter Chain, Noise margin, BI-CMOS inverter, latch up in CMOS circuits ; NMOS and PMOS fabrication, CMOS fabrication, p-well, n-well, twin-tub process, MOS layers, stick diagrams, CMOS design style, Lambda based design rules, contact cuts, layout diagrams, symbolic diagrams; Basic circuits, concepts and scaling of MOS circuits, sheet resistance, area capacitance, delay unit, inverter delays, propagation delays, scaling models, scaling factors, parameters for scaling; Designs of Data path Systems: Multiplexers, bit adder circuits, ripple carry adders, carry look ahead adders, High speed adders and multipliers ; Sequential Circuits Design: Introduction, sequencing static circuit, max-min delay constraints, time borrowing, clock skew, CMOS implementation of the D-latch, CMOS edge-triggered master-slave D flip-flop, counters, shifters (Problems)

References: 1. Douglas A. Pucknell & Kamran Eshraghian (2005), Basic VLSI Design, 3rd Edition, PHI.

2. John P Uyemura, (2002), Introduction VLSI Circuits & Systems, John Wiley.

3. Weste and Harris (YEAR), CMOS VLSI Design, 3rd Edition, Pearson Education.

4. Neil H. E. Weste and K. Eshragian (2000), Principles of CMOS VLSI Design: A Systems Perspective, 2nd Edition, Pearson Education (Asia) , Pvt. Ltd,.

5. Sung-Mo Kang and Yusuf Leblebici (2003), CMOS digital Integrated circuit, 2nd edition, McGraw-Hill.

Course / Learning Outcomes:

On successful completion of this Course, the students would be able to;1. Analyze the operation of MOSFETs and their current-voltage

equations.2. Design of RTL Schematic of combinational circuits and their lay-

out diagrams which are used to fabrication.3. Design of datapath systems of combinational circuits like adders,

7PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

multipliers and implementing in Mentor Graphics Tool.4. Design of various memory cells using MOSFETs.5. Analyze the timing issues related to design of sequential circuits.6. Implement the various

Assessment Tools / Methodology

As indicated in the Course Information Report / Lesson Plan)

EC306 VLSI Design Lab

1. Simulate FET VI characteristics for PMOS and NMOS in TSpice2. Design Inverter in Ledit using basic layers3. Design two input NAND and NOR using PMOS and NMOS transistors 4. Design XOR and XNOR 5. Design AND and OR using instantiation.6. Realization of Boolean expressions.7. Design D,T,JK and Master Slave flip-flops.8. Implement serial and 2 bit parallel adders.9. Implement 4 to 1 Multiplexer.10.Design of 4-bit asynchronous counter.11.Design a arbitrary synchronous counter (sequence generator)12. Design and implement 4 X 4 funnel shifter.

8PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Information Theory and Coding Course Code : 14EC311

Applicable for: 5th SemesterCredits: 4Name of the Faculty:Lectures per Week: 4 hours per weekTutorials per Week: 1Foundation / Core / Elective:

Elective

Course Pre-requisites:

Probability and Random Process Theory

Course / Learning Objective

1. Measure information in terms of probability and entropy, and the re-lationships among conditional and joint entropies.

2. Generate optimal code for symbols based on the probability of oc-currence.

3. Calculate the capacity of a communication channel, with and with-out noise;

4. Develop error correcting codes for communication channel.5. Implementation of encoders and decoders for FEC.

Course Description: This course on Information theory and coding deals with basic information theory concepts such as measure of information, average information content of symbol, entropy, Markov models of information sources, Encoding Source output, Shannon’s Encoding algorithm. This subject covers concepts such as Channel capacity, rate of information, Shannon Hartley law, Properties of entropy, Source Coding, It covers the study of various error control codes like linear block codes, Cyclic codes and convolution codes.

Syllabus Entropy: Introduction, Measure of information, Information content of a message, Uncertainty, Entropy, Joint and Conditional Entropies, Mutual Information, Properties of mutual information, Entropy of symbols in long independent and dependant sequences, Markov statistical model for information sources, Entropy and information rate of Markov sources; Source Coding: Definition of codes, Basic properties of codes, Construction of instantaneous codes, Shannon’s first theorem (Noiseless coding theorem). Encoding of the source output, Shannon’s encoding algorithm. Shannon Fano encoding algorithm, Huffman coding; Communication Channels: Discrete memoryless channels, Capacity of a discrete memoryless channel, Shannon’s theorem on channel capacity, Capacity of channels –symmetric or uniform channels, deterministic and noiseless channels and cascaded channels, Continuous channels. Shannon-Hartley law and its implications; Linear Block Codes: Introduction to algebra. Methods of controlling errors, types of codes, types of errors. Linear Block Codes: Matrix description, Syndrome, minimum distance, error detection and error correction. Decoding using the Standard arrays. Cyclic codes: Encoding using an (n-k) bit shift register. Syndrome calculation, error detection and correction; Convolutional Codes: Time domain approach. Transform domain approach, Tree and Trellis diagrams, Maximum –Likelihood decoding of convolutional codes, The Viterbi Algorithm. Introduction to BCH codes. CRC codes, Burst and random error correcting codes, Turbo codes, LDPC codes.

9PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

References: 1. P. S. Satyanarayana ,(2005) Concepts of Information Theory and Coding, 2nd Revised Edition, Dyanaram Publications, Revised Edition.2. S. Lin and D. J. Costello, Jr., Error Control Coding: Fundamen-tals and Applications, (2011 ) I Indian Edition ,Pearson Education. 3. Cover and J. A. Thomas,(2008) I Edition, 2008.Elements of information theory, Wiley-India,

Course / Learning Outcomes:

On successful completion of this Course, the students would be able to;1. Analyze the given random variables/ Markov chain in terms of their

information content.2. Determine the source code for the given probability mass functions.3. Determine the capacity of the given discrete / Gaussian channel.4. Analyze Hamming/cyclic codes in terms of generator/parity check

matrices or polynomials.5. To determine the message symbol corresponding to a convolu-

tional code using the Viterbi algorithm. Assessment Tools / Methodology:

As indicated in the Course Information Report / Lesson Plan

10PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Network SecurityCourse Code : 14EC312

Applicable for: 5th semesterCredits: 4 creditsName of the Faculty:Lectures per Week:

4 hours per week

Tutorials per Week:

Nil

Foundation / Core / Elective:

Elective

Course Pre-requisites:

Probability Theory

Course / Learning Objective

Understand the different kinds of attacks on information systems, and tech-niques to prevent them or mitigate their effects. To this end, the student is ex-posed to the design of network security protocols based on standard crypto-graphic primitives such as symmetric key cryptography, asymmetric key cryp-tography and one-way hash functions.

Course Description:

The design of network security protocols based on standard cryptographic primitives. Relevant aspects of number theory and computational complexity theory will be introduced.

11PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Syllabus Introduction: Information systems, Attacks on information systems by adver-saries. Threat analysis: Adversarial models and Security attributes or security goals. Trade-offs between security and user convenience and user privacy. Notions of trust. Common-sense principles in security design. Introduction to cryptography, cryptanalysis, and steganography; Number Theory: Prime numbers, Euclid’s greatest common divisor algorithm, Additive inverse and multiplicative inverse, extended Euclid’s algorithm, Algebraic structures: Groups, Rings, Fields, Subgroups, Cyclic groups and Cyclic sub-groups Chi-nese remainder theorem, Euler’s theorem, and Fermat’s theorem; Symmetric Key Cryptography (SKC): Kerckhoff’s principle: Key Domain, Entropy of key. Substitution cipher and Transposition cipher, Block ciphers and Stream ci-phers. Product ciphers, Shannon’s principles of confusion and diffusion, Data Encryption Standard (DES): DES Structure, Multiple DES, DES Security Anal-ysis, Advanced Encryption Standard (AES): Transformations, Key expansion, AES Security analysis. Techniques (ECB, CBC, CFB,OFB, CTR) to use block ciphers to encrypt large files; One-Way Hash (OWH) functions: Characteriza-tion of properties one-way hash functions (pre-image resistance, second-preimage resistance and collision-resistance) via birthday theorems; Message authentication via Message Authentication Codes (MAC): HMAC, NMAC, CMAC. Internal structure of OWH functions: Compression functions, SHA-512, Applications of OWH functions: Message integrity, Password mainte-nance, Message authentication; Asymmetric Key Cryptography (AKC): Computational hardness of integer factorization and Discrete Logarithm Prob-lem (DLP). RSA crypto-system. El-Gamal crypto-system. Digital Signatures, Digital signature algorithms (RSA, El-Gamal, Schnorr, Digital Signature Algo-rithm), Digital signatures via One Time Signatures (OTS); Digital Certificate, Certifying Authority (CA), Certificate Revocation List (CRL) Applications of dig-ital signatures, attacks on digital signatures; Network Security Protocols: En-tity authentication via Smart-cards, Passwords, and Biometrics. Challenge-re-sponse protocols, zero-knowledge protocols, 2-factor authentication via One Time Passwords (OTPs), Authenticated Key Exchange Protocols: Diffie-Hell-man Key Exchange, Station To Station (STS)protocol, Key Management in the Kerberos system, Secure Sockets Layer (SSL) protocol. Secret splitting techniques; Attacks and Defence mecha-nisms on Internet-based systems: Topics to be selected by instructor; Sys-tems Security: Topics to be selected by instructor

References: 1. Behrouz A. Forouzan and Debdeep Mukhopadhyay (2010), Cryptog-raphy and Network Security”, 3rd Ed., Tata McGraw-Hill.

2. James Kurose and Keith Ross (2012), “Computer Networking: A Top Down Approach, 5th Ed., Pearson.

3. William Stalling (2003), Cryptography and Network Security, Pearson Education.

4. Atul Kahate (2003), Cryptography and Network Security, TMH.5. Victor Shoup (2005), A Computational Introduction to Number Theory

and Algebra, Cambridge University Press.6. Alfred Menezes, Paul, Oorschot, and Scott Vanstone (1996), Hand-

book of Applied Cryptography, CRC Press.

12PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Course / Learning Outcomes:

On successful completion of this Course, the students would be able to;1. Perform a security analysis of an information

system to determine the required security at-tributes.

2. Understand different kinds of attacks on infor-mation systems, and design techniques to prevent them or mitigate their effects.

3. Obtain a basic foundation of aspects of num-ber theory applied to cryptography and infor-mation security.

4. Understand the internal construction and per-formance overheads of cryptographic primi-tives based on Symmetric Key Cryptography (SKC), Asymmetric Key Cryptography (AKC), and One-Way Hash (OWH) functions.

5. Design network security protocols using the above cryptographic primitives, and analyse their weaknesses.

Assessment Tools / Methodology:

As indicated in the Course Information Report / Lesson Plan

13PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Digital Switching and Logic DesignCourse Code : 14EC313

Applicable for: 5th SemesterCredits: 4Name of the Faculty:Lectures per Week: 4 hours per weekTutorials per Week: NilFoundation / Core / Elective:

Elective

Course Pre-requisites:

Logic Design and Set Theory

Course / Learning Objective

To understand the design issues of optimal digital circuits at logic level.

Course Description: The course teaches Threshold logic concepts. It helps to understand hazards, fault diagnosis, and hence to design fault tolerant digital circuits. Further, it describes structure and synthesis of sequential machines. This study will help to design optimal digital circuits.

Syllabus Threshold Logic: Introductory Concepts:The Threshold Element, Capabilitis and limitations of logic, Elementary properties, Synthesis of Threshold Networks, Unate Functions, Geometric representations, Linear Seperability, Identification and realization of threshold functions, the map as a tool in synthesizing threshold networks, Problems: Problems on Threshold network, functions, realization of network based on threshold functions; Hazards, Reliable Design, and Fault Diagnosis: Hazard: Static Hazard, Design of Hazard free switching circuits, Fault Detection in Combinational Circuits: The faults. The fault table, covering the fault table, Fault Location Experiments: Preset Experiments, Adaptive Experiments, Designing adaptive Experiments, Boolean Differences: Properties of Boolean differences, Further applications, Fault detection by Path sensitizing: Path sensitizing, Limitations of the method, Detection of multiple faults, experiments for two level AND-OR networks, Systematic generation of minimal fault detection experiments for two-level networks, Fault tolerant Design, Quadded Logic; Capabilities, Minimization, and Transformation of Sequential Machines: The Finite- State Model, Further Definitions, Capabilities and Limitations of Finite – State Machines, State Equivalence and Machine Minimization, Simplification of Incompletely Specified Machines; Structure of Sequential Machines: Introductory Example, Covers, State Assignments Using Partitions, The Lattice of closed Partitions, Reductions of the Output Dependency, Input Independence and Autonomous Clocks, Generation of closed Partitions by state splitting, Information Flow in Sequential Machines, Decompositions, Synthesis of Multiple Machines; State Identifications and Fault-Detection Experiments: Homing Experiments, Distinguishing Experiments, Machine Identification, Fault-Detection Experiments, Design of Diagnosable Machines Second Algorithm for the Design of Fault Detection Experiments, Fault-Detection Experiments for Machines which have no Distinguishing Sequences.

References: 1. ZviKohavi,(1979), Switching and Finite Automata Theory, 2nd Edition. Tata McGraw Hill Edition

2. Parag K Lala,(1985), Fault Tolerant And Fault Testable Hardware Design, Prentice Hall Inc.

3. E. V. Krishnamurthy, (1983), Introductory Theory Of Computer, Macmillan Press Ltd.

4. Mishra and Chandrasekaran, (2004) Theory Of Computer Science – Automata, Languages And Computation, 2nd Edition, PHI.

14PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Course / Learning Outcomes:

On successful completion of this Course, the students would be able to;1. Understand the threshold logic concept.2. Design fault tolerant digital circuits.3. The fault diagnosis and detection algorithms help

students to design testable digital circuits4. Understand finite state machines and structure of

sequential circuits. 5. Design optimized sequential circuits.

Assessment Tools / Methodology:

As indicated in the Course Information Report / Lesson Plan

15PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

System On Chip ArchitectureCourse Code : 14EC314

Applicable for: 5th SemesterCredits: 4 CreditsName of the Faculty:Lectures per Week: 4 hours per weekTutorials per Week: NilFoundation / Core / Elective:

Elective

Course Pre-requisites:

Students having Knowledge about software design, operating systems, computer organization and digital design.

Course / Learning Objective

A System - on - chip (SOC) architecture is an ensemble of processors, memories, and interconnects tailored to an application domain.

Course Description: The computer system designers will be concerned more about the elements of a system tailored to particular applications than with the details of processors and memories. Such designers would have rudimentary knowledge of processors and other elements in the system, level trade - offs that optimize the cost, performance, and other attributes to meet application requirements.

Syllabus Introduction to the System Approach: System Architecture, Components of the system, Hardware & Software, Processor Architectures, Memory and Addressing, System level interconnection, An approach for SOC Design, System Architecture and Complexity; Processors: Introduction , Processor Selection for SOC, Basic concepts in Processor Architecture, Basic concepts in Processor Micro Architecture, Basic elements in Instruction handling, Buffers: minimizing Pipeline Delays, Branches, More Robust Processors, Vector Processors and Vector Instructions extensions, VLIW Processors, Superscalar Processors; Memory Design for SOC: Overview of SOC external memory, Internal Memory, Size, Scratchpads and Cache memory, Cache Organization, Cache data, Write Policies, Strategies for line replacement at miss time, Types of Cache, Split – I, and D – Caches, Multilevel Caches, Virtual to real translation , SOC Memory System, Models of Simple Processor – memory interaction; Interconnect Customization and Configuration: Inter Connect Architectures, Bus: Basic Architectures, SOC Standard Buses , Analytic Bus Models, Using the Bus model, Effects of Bus transactions and contention time. SOC Customization: An overview, Customizing Instruction Processor, Reconfiguration Technologies, Mapping design onto Reconfigurable devices, Instance- Specific design, Customizable Soft Processor, Reconfiguration - overhead analysis and trade-off analysis on reconfigurable Parallelism; Application Studies / Case Studies: SOC Design approach, AES algorithms, Design and evaluation, Image compression – JPEG compression.

References: 1. Michael J. Flynn and Wayne Luk, Computer System Design System-on-Chip Wiely India Pvt. Ltd.

2. Steve Furber (2000), ARM System on Chip Architecture, 2nd Ed., Ad-dison Wesley Professional.

3. Ricardo Reis (2004), Design of System on a Chip: Devices and Com-ponents, 1st Ed.,, Springer

Course / Learning Outcomes: (Atleast five)

On successful completion of this Course, the students would be able to;1.Computer system design2.Fundamental ideas and analytical techniques that are applicable to a range of applications and architectures.3. Aware of complementary treatments on embedded software development.4.And electronic system – level design.

Assessment Tools / Methodology:

As indicated in the Course Information Report / Lesson Plan

16PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Artificial Neural NetworksCourse Code: 14EC315

Applicable for: 5th SemesterCredits: 4 CreditsName of the Faculty:Lectures per Week: 4 hours per weekTutorials per Week: NilFoundation/Core/ Elective:

Elective

Course Pre-requisites: Linear Algebra, Signals and SystemsCourse/Learning Objective

Understand the fundamentals of artificial neural networks and learning, and their role in engineering;

Provide knowledge of supervised learning, unsupervised learning and reinforcement learning;

Derive algorithms for learning. Implement multilayer feedfoward neural networks, radial basis function

networks, and recurrent networks; Provide hands-on experience selected applications.

Course Description: This course provides a comprehensive foundation to artificial neural networks and machine learning with applications to function approximation, pattern recognition, identification and control of dynamical systems. The networks include training single and multilayer feed-forward networks with back propagation learning and extreme learning, training radial basis function networks, Hebbian-based principal component analysis, and recurrent networks with backpropagation in time. Supervised, unsupervised, and reinforcement learning techniques are considered.

Syllabus: Fundamentals of Neural Network: Neuronal model; neural networks as di-rected graphs; feedback; network architectures: feedfoward neural networks (FFNNs) and recurrent networks; Single-layer FFNN: Linear neuron: linear least-squares filter; Wiener filter; adaptive filters: Newton’s, steepest-de-scent, and least mean squares algorithms. Nonlinear neuron: McMulloch-Pitts model; perceptron convergence theorem; limitations; Multilayer FFNN: Back propagation algorithm (BPA): for supervised learning (sequential and batch processing); heuristics; generalization; cross-validation; network prun-ing; limitations. Supervised learning as an optimization problem Extreme learning machines (ELM): sequential and batch processing. Applications: function approximation and pattern classification; a class of recurrent net-works for identification and control of nonlinear systems; Radial-Basis Function Networks: Cover’s theorem on the separability of patterns, inter-polation problem, supervised learning as an ill-posed hypersurface recon-struction problem, regularization theory, regularization networks, Generalized radial-basis function networks, estimation of the regularization parameter, approximation properties of RBF networks, comparison of RBF networks and multilayer perceptrons; learning strategies; Unsupervised Learning: Heb-bian learning. Principal Component Analysis as an unsupervised learning machine: principles of self-organization; principal components analysis; Hebbian-based maximum eigenfilter; Hebbian-based principal components analysis; Recurrent Networks: Dynamical systems; stability of equilibrium states; attractors; neurodynamic models; Hopfield model. Recurrent network architectures; controllability and observability; Back-propagation through time; Reinforcement Learning: Dynamic Programing for optimal control. Bellman’s optimality criterion; Approximate dynamic programming (adaptic critic methods); Policy and value iterations.

References: 1. S. Haykin, (2009), Neural Networks and Learning Machines, 3rd

edition, Prentice Hall of India.2. T. Hagan, H. B. Demuth and M. Beale, (2002), Neural Network

Design, Thomson Learning, 2002.

17PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

3. M. M. Gupta, L. Jin and N. Homma, (2003), Static and Dynamic Neural Networks: From Fundamentals to Advanced Theory, John Wiley-IEEE Press.

4. D. E. Kirk (1970), Optimal Control: An Introduction, Prentice Hall. 5. Selected Journal Papers

Course/Learning Outcomes:

On successful completion of this Course, the students would be able to:1. Describe the basic neuronal models

2. Explain the different structures of neural networks and learning methodologies

3. Use the different structures of neural networks and learning methodologies in applications

4. Derive and examine the properties of the learning algorithms 5.Evaluate the practical considerations in applying neural networks in applications. 6.Design and develop neural network architectures for different applications.

Assessment Tools/ Methodology:

As indicated in the Course Information Report / Lesson Plan

18PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Wireless Communication Course Code : 14EC321

Applicable for: 5th SemesterCredits: 4CreditsName of the Faculty:Lectures per Week: 4 hours per weekTutorials per Week: Nil Foundation / Core / Elective:

Core

Course Pre-requisites:

Undergraduate courses in Digital communication and probability theory and Stochastic processes.

Course / Learning Objective

To gain an understanding of the principles behind the working and design of wireless communication systems and technologies.

Course Description: This course is an introduction to the design, analysis, and fundamental limits of wireless transmission systems. Topics to be covered include: Overview of current wireless systems, path loss and shadowing in a wireless channel, statistical multipath channel models, Capacity of a wireless channel, Diversity, Multiuser DSSS and FHSS systems, Multiuser systems, Cellular systems and infrastructure-based wireless networks, Multicarrier modulation – OFDM and vector coding.

Syllabus Overview of Wireless Communication: History, Wireless Vision, Technical Issues, Current Wireless Systems, Wireless Spectrum, Standards; Path loss and Shadowing: Radio Wave Propagation, Transmit and Receive signal models, Free-space path loss, Ray tracing, Empherical pathloss models, Simplified path loss model, Outage probability under pathloss shadowing, Cell cover area; Statistical Multipath: Statistical Multipath Shadowing Models: Time varying channel impulse response, Narrow band fading models, Wideband fading models; Spread Spectrum: Multi-User DSSS systems, Multi-user FHSS systems; Multiuser Systems: Multiuser channels: uplink and downlink, Multiple Access: FDMA, TDMA, CDMA, SDMA, hybrid techniques; Cellular systems and Infrastructure based wireless networks: Cellular system fundamentals, channel reuse, SIR and user capacity, Interference reduction techniques, dynamic resource allocation, Fundamentals and rate limits; Capacity of wireless channels: Capacity of AWGN, Capacity of Flat fading models, Capacity Vs Receiver diversity; Diversity: Realization of independent fading paths, Receiver diversity, Transmitter diversity; Multicarrier Modulation: Data transmission using multiple carriers, Multicarrier modulation with Overlapping sub channels, Discrete implementation of multicarrier modulation, The DFT and its properties, The cyclic prefix, orthogonal frequency-division Multiplexing, matrix representation of OFDM, vector coding.

References: 1. Andrea Goldsmith (2011), Wireless Communications, Cambridge University Press

2. T. S. Rappaport (2002), Wireless Communications: Principles and Practices, 2nd Edition, Prentice Hall.

3. David Tse and Pramod Vishwanath (2009), Fundamentals of Wireless Communications, Cambridge University Press

19PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Course / Learning Outcomes:

On successful completion of this Course, the students would be able to;

1. Gain the basic working of current wireless systems

2. Determine the Path loss and cell coverage area in wireless systems.

3. Understand the narrowband and wideband fading models of statistical multipath chan-nel models.

4. Use the techniques to allocate resources among multiple users in wireless systems.

5. Understand the basic design principles of cellular systems and channel reuse.

6. Determine the maximum data rate that can be transmitted in wire-less channels.

7. Use the techniques to mitigate the effects of fading in a wireless channel.

8. Understand the latest multicarrier techniques like OFDM and vector coding.

Assessment Tools / Methodology:

As indicated in the Course Information Report / Lesson Plan

20PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Radar SystemsCourse Code : 14EC322

Applicable for: 5th SemesterCredits: 4Name of the Faculty:Lectures per Week: 4Tutorials per Week:Foundation / Core / Elective:

Elective

Course Pre-requisites: Electromagnetic fields and transmission linesCourse / Learning Objective

Overall learning objectives of the course: 1. Deals with introduction to radar systems, basic principle of work-

ing with simple block diagram and range equation.2. Deals with MTI and Pulse Doppler radar and tracking radar.3. Deals with detection of signals at the receiver in the presence of

noise using probability density function and various detection cri-teria. Using matched filter for improving SNR.

4. Deals with introduction to clutter, concepts of land clutter, sea and weather clutter and methods to reject the clutter.

5. Deals functions of receiver, different types of antenna and design principle, Duplexer and displays.

Course Description: This is an introductory course on radar technology. It will give an insight into the basic functioning of a radar and its hardware. Furthermore, this course also throws light on detection of signals, radar clutter and heterodyne radar systems.

Syllabus Introduction to radars: Basic radar, Radar block diagram, radar frequencies, applications of radar, the origins of radar. Radar equation: Radar range equation, radar cross section of targets, System losses; MTI and PULSE Doppler radar: Introduction to Doppler and MTI radar, delay line cancellers, Digital MTI processing, Moving Target detector, Pulse Doppler radar. Tracking radars: Introduction, Monopulse tracking, Conical scan and sequential lobing, tracking in range; Detection of signals in noise: Detection of signals in noise, receiver noise and SNR, probabilities of detection and false alarm, transmitted power, pulse repetition frequency Matched filter receiver, detection criteria, Detectors, Automatic detection; Radar clutter: Introduction, Surface clutter, land clutter, sea clutter; Radar reception: The radar super heterodyne receiver, receiver noise figure, radar antenna, Functions of radar antenna, reflector antenna, Electronically steered phased array antenna, Duplexers and receiver protectors, radar displays

References: 1. Merrill I. Skolnik, Introduction to Radar Systems, Third edition, Tata Mc Graw Hill publication

2. Peyton Z. Peebles, JR, A, Radar Principles, Wiley – Interscience publications

3. Merrill I. Skolnik, Radar HandbookCourse / Learning Outcomes:

On successful completion of this Course, the students would be able to;1.understand the basic functioning of the radar2.understand the application and hardware of different radars like the moving target indicator and the Doppler radar 3.determine the signal to noise ratio and the thresholding re-quired for detection 4.understand the effect of clutter5.understand the application and hardware of the heterodyne radar system

Assessment Tools / Methodology:

As indicated in the Course Information Report / Lesson Plan

21PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Computer OrganizationCourse Code : 14EC323

Applicable for: 5th SemesterCredits: 4Name of the Faculty:Lectures per Week: 4 hours per weekTutorials per Week: NilFoundation / Core / Elective:

Elective

Course Pre-requisites: Basic Electronics, Logic design, MicrocontrollersCourse / Learning Objective

1. To understand the basic organisation and architecture of comput-ers.

2. To efficiently design , implement and test various modules using HDLs.

Course Description: It forms a basis for advanced courses in computer architecture, operating systems, and VHDL and Verilog subjects,pipe lined processors, superscalar and fast computing processors, distributed processing . This course covers the fundamental concepts of CPU, Control Unit, Memory Unit, I/O Unit- organization and architecture. Microprogramming & instruction set. Advanced topics such as risk, super scalar processors, parallel processing and pipelining concepts are introduced.

Syllabus Introduction: Evolution of computer, basic structure and operational and operational concepts of computers performance considerations, Instruction set and programs: prerequisites for assembly language programming, addressing modes, instruction set-classification, machine instruction decoding, case study – Intel processor; Input/Output organization: Accessing I/O devices, program controlled I/O, Interrupts and supporting software and hardware, DMA, design of interface circuits, commercial bus standards; Memory system: Basic concepts and organization of memory, cache and virtual memory concepts, secondary storage devices; Arithmetic and Logic Unit: High speed adders, Booth’s algorithm for multiplication, high speed multipliers, division, arithmetic operations on floating point numbers (IEEE std) Processing unit-Instruction execution, internal functional units and their interconnection, hardware for generating internal control signals, microprogramming approach; Pipelining: Concept, various factors affecting the performance and methods of overcoming them, hardware and software implications, influence of pipelining on instruction set design, introduction to superscalar processors

References: 1. Carl Hamecher, Z Vranesic & Zaky, (20--), Computer organization, Fifth edition, MH

2. J.P. Hayes,(20--), Computer Architecture and Organization, Second edition, Tata McGraw-Hill.

3. Morris Mano (20--), Computer system architecture, Second edition, PHI.

Course / Learning Outcomes:

On successful completion of this Course, the students would be able to;1. Understand the basic computer organization and

architecture based on few case studies.2. Use this knowledge for further advanced cour-

ses.3. Write the microprograms for few instructions.4. Understand the concepts of parallel processing

and pipelining are understood.5. Implement Various modules and verify using

HDLs.Assessment Tools / Methodology:

As indicated in the Course Information Report / Lesson Plan

Advanced Semiconductor Devices

22PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Course Code : 14EC324Applicable for: 5th SemesterCredits: 4Name of the Faculty:Lectures per Week: 4 hours per weekTutorials per Week: NilFoundation / Core / Elective:

Elective

Course Pre-requisites: Basic Electronics EngineeringCourse / Learning ObjectiveCourse Description: Syllabus Crystalline Solids and Semiconductor: Introduction to semiconductor,

crystal structure, translational symmetry and crystal lattice, Reciprocal lattice and Miller Indices, Lattice planes and fundamental types of lattices, e.g., simple cubic, f.c.c. and b.c.c. and more complex crystal lattices like diamond, Si, Ge and GaAs, Bragg-Equation and determination of crystal structure with X-rays, Band Theory of solids (Schrödinger equation for electrons in a crystal lattice, periodic potential and Bloch Theorem, Kronig-Penney Model – Dirac Comb, band structure), direct and indirect semiconductors; Charge Carriers in Semiconductor: Effective mass approximation (what’s the need?), expression of effective mass for materials with parabolic and linear dispersion, What is a “hole” and how does it behave?, intrinsic and extrinsic semiconductors and doping, effect of magnetic field – Hall Effect, electron density in semiconductor (Density of States (DOS), population distribution of charge carriers - Fermi-Dirac statistics), Fermi level, Conductivity in bulk semiconductor (what constitutes current and what makes charges flow?, scattering phenomenon and how to combine effects of different scattering mechanisms, quantum of conductance and genesis of Ohm’s Law); Charge Carrier Dynamics in Semiconductor: Scattering of carriers & relaxation time, carrier mobility, drift & diffusion transport – Einstein Relation, Carrier generation & recombination - optical absorption & emission, Charge injection & Quasi-Fermi level, physics of p-n junction; Electronic Devices: Bipolar Devices (Junction Diode and BJT – Ebers-Moll Model), MOSFET (physics of long and short channel devices), what is nanoscale?MOSFET models like BSIM and EKV, Overview of SOI MOSFET and trigate MOSFET; Photonic devices: LED (Light Emitting Diode), Semiconductor Laser (physics of stimulated emission, structure and operation of laser diode), Photodetector (Photoconductor and Photodiode), p-n Junction Solar Cell.

References: 1. B. G. Streetman and S. K. Banerjee (2006) , Solid State Electronic Devices, Second Edition, Prentice-Hall of India.

2. S. M. Sze (1981), Physics of Semiconductor Devices, John Wiley & Sons

3. Y. Taur and T. H. Ning (1998), Fundamentals of Modern VLSI Devices, Cambridge University Press.

4. N. Dasgupta and A. Dasgupta (2004), Semiconductor Devices: Modelling and Technology, Prentice-Hall of India.

5. Umesh K. Mishra and Jasprit Singh (2008), Semiconductor Device Physics and Design, Springer.

6. Supriyo Datta (1995) , Electronic Transport in Mesoscopic Systems, Cambridge University Press.

7. B. R. Nag (2002), Physics of Quantum Well Devices, Kluwer Academic Publishers, 2002

Course / Learning Outcomes:

On successful completion of this Course, the students would be able to;1.

23PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Assessment Tools / Methodology:

As indicated in the Course Information Report / Lesson Plan

24PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Pattern recognition and classificationCourse Code : 14EC325

Class applicable for: 5th SemesterCredits: 4 CreditsName of the Faculty:Lectures per Week: 4 hours per weekTutorials per Week: NILCourse Type: ElectiveCourse Pre-requisites: NILCourse / Learning Objective

The main objective of this course is to introduce the fundamentals of pattern recognition and classification. In this course students will learn about Bayesian decision theory, Maximum likelihood estimation, Hidden Markov Models, some of the non-parametric techniques. They will also be introduced to linear discriminant functions and unsupervised learning.

Syllabus: Introduction: Machine perception; example; pattern recognition systems; the design cycle; learning and adaptation; Bayesian Decision Theory: Introduction; Bayesian decision theory – continuous features; minimum-error-rate classification; classifiers, discriminant functions, normal density; discriminant functions for the normal density; Bayesian decision theory – discrete features; missing and noisy features; Maximum-likelihood and Bayesian Parameters Estimation: Maximum-likelihood estimation; Bayesian estimation; Bayesian parameter estimation: Gaussian case and general theory; problems of dimensionality; component analysis and discriminants; Hidden Markov models; Non-parametric Techniques: Density estimation; Parzen windows; kn-nearest-neighbour estimation; nearest-neighbour rule; metrics and nearest-neighbour classification; approximation by series expansions; Linear Discriminant Functions: Linear discriminant functions and decision surfaces; generalized linear discriminant functions; two-category linearly separable case; minimizing the perceptron criterion function; relaxation procedures; nonseparable behaviour; minimum squared-error procedures; Ho-Kashyap procedures; multicategory generalizations; Unsupervised Learning and Clustering: Mixture densities and identifiability; maximum-likelihood estimates; application to normal mixtures; unsupervised Bayesian learning; data description and clustering; criterion functions for clustering; hierarchical clustering; on-line clustering; component analysis; low-dimensional representation and multidimensional scaling

Text Books / References:

1. Richard O. Duda, Peter E. Hart and David G. Stork (2001), Pattern Classification, 2nd edition, John Wiley.

2. Eart Gose, Richard Johnsonburg and Steve Joust (2003), Pattern Recognition and Image Analysis, Prentice-Hall of India.

3. Christopher M. Bishop (2007), Pattern Recognition and Machine Learning, 3rd edition, Springer.

Course / Learning Outcomes:

1. Understand the major concepts and techniques in pattern recogni-tion and classification

2. Acquire abilities to solve problems in specialized application areas such as speech recognition, signal classification, etc.

Assessment Tools / Methodology:

As indicated in the Course Information Report / Lesson Plan

25PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Linear SystemsCourse Code: 14EC326

Applicable for: 5th SemesterCredits: 4 CreditsName of the Faculty:Lectures per Week: 4 hours per weekTutorials per Week: NilFoundation/Core/ Elective:

Elective

Course Pre-requisites: Linear Algebra; Signals and SystemsCourse/Learning Objectives:

Understand the concepts of state variables and state-space representation for both continuous- and discrete-time linear systems.

Determine state-variable representations of dynamical systems. Provide knowledge of stability, controllability, observability and

minimality of state-space realisations. Design state-variable feedback controllers, full-order and reduced-

order observers, and observer-based compensators. Course Description: This course provides a comprehensive foundation to the analysis of

linear dynamical systems through the use of the principles of linear algebra. The design of controllers, observers and compensators are treated.

Syllabus: State-space Descriptions (continuous-time and discrete-time): Mo-tivation; concept of state; physical systems and state assignment; Canonical forms for SISO linear time-invariant systems; linear time-varying systems; Linearization of nonlinear systems; input-output maps; Stability of Solutions (continuous-time and discrete-time): Exis-tence and uniqueness; Properties of state-transition matrix; computation of state-transition matrix (linear time-invariant and linear time-varying); modal decomposition; External stability and internal stability; equilibrium points; Stability in the sense of Lyapunov; Lyapunov equation; Control-lability and Observability (continuous-time and discrete-time): Lin-ear time-invariant systems: Motivation, controllability and observability, irreducibility, minimality, reachability and constructability; Transforma-tions among canonical realisations; subspaces; Kalman decomposition; Popov-Belevitch-Hautus tests; effect of sampling. Linear time-varying systems: tests for controllability and observability; Minimum-energy con-trol; State-variable Feedback (continuous-time and discrete-time): Motivation; state-variable feedback and modal controllability; Dead-beat control; Quadratic regulator: deterministic and stochastic; Observers and Compensators (continuous-time and discrete-time): Asymptotic observers; Dead-beat observers; Kalman filter; Combined observer-con-troller compensators; Separation principle; Reduced-order observers; Direct transfer-function design.

References: 1. T. Kailath, (1980), Linear Systems, Prentice-Hall. 2. M. Gopal, (1993), “Modern Control Systems Theory.” New Age In-

ternational Publishers.3. Chi-Tsong Chen, (1984), Linear System Theory and Design, 2nd edi-

tion Holt, Rinehart and Winston. 4. Panos J. Antsaklis and Anthony N. Michel, Linear Systems,

Birkhauser, 2006.5. William T. Brogan, (1990), Modern Control Theory, 3rd edition, Pren-

tice-Hall. 6. Wilson J. Rugh, (1996), Linear System Theory, 2nd edition, Prentice-

Hall. Course/Learning On successful completion of this Course, the students would be able to:

26PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Outcomes: 1. Find state-space representations for both continuous- and discrete-time systems.

2. Predict the controllability and observability properties of the different canonical forms.

3. Compare internal and external stability of dynamical systems.4. Use Lyapunov analysis to investigate the stability of state-space

representations of dynamical systems. 5. Identify the minimal part of state-space realisations. 6. Evaluate the conditions for designing controllers. 7. Design state-variable feedback controllers, full-order and reduced-

order observers, and observer-based compensators. Assessment Tools / Methodology:

As indicated in the Course Information Report / Lesson Plan

27PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

VI SEM

28PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

B. E. ECE 2014-18 batch VI Semester

Sl. No.

Course Code Course Title

Hours / week Credits Page

No.L T P S

1. 14EC351 Communication Engineering II 4 0 0 0 4 30

2. 14EC352 Microwave Engineering 4 0 0 0 4 323. 14EC353 Digital System Design using HDL 4 0 0 0 4 344. 14EC354 Communication Engineering II Lab 0 0 2 0 2 315. 14EC355 Microwave Engineering Lab 0 0 2 0 2 33

6. 14EC356 Digital System Design using HDL Lab 0 0 2 0 2 35

7. 14EC36X Elective III 4 0 0 0 4 368. 14EC37X Elective IV 4 0 0 0 4 47

TOTAL 20 0 6 0 26Electives III

Sl. No.

Course Code Course Title Hours / week Credits Page

No.L T P S

1 14EC361 Computer Networking 4 0 0 0 4 362 14EC362 Optical Fiber Communication 4 0 0 0 4 38

3 14EC363 Synthesis Optimization of Digital Circuits 4 0 0 0 4 40

4 14EC364 Low-Power VLSI Design 4 0 0 0 4 43

5 14EC365 Speech Processing 4 0 0 0 4 44

6 14EC366 Multirate System and Filter Banks 4 0 0 0 4 46

Electives IV

Sl. No.

Course Code Course Title Hours / week Credits Page

No.L T P S

1 14EC371 Satellite Communication 4 0 0 0 4 47

2 14EC372RF and Microwave Circuit Design 4 0 0 0 4

49

3 14EC373 Testing of Digital VLSI Circuits 4 0 0 0 4 504 14EC374 Real Time Operating Systems 4 0 0 0 4 52

5 14EC375 Digital Image Processing 4 0 0 0 4 53

6 14EC376 Computer Vision 4 0 0 0 4 54

29PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Communication Engineering IICourse Code : 14EC351

Applicable for: 6th SemesterCredits: 4Name of the Faculty:Lectures per Week: 4Tutorials per Week: 1Foundation / Core / Elective:

Core

Course Pre-requisites:

Signals & Systems, Digital Signal Processing, Analog Communication and Probability and Random Processes.

Course / Learning Objective

Generate a digital code for the continuous signal to meet the bandwidth of the channel. Understand Nyquist criterion for distortion less base-band binary transmission. Learn the generation for different types of Digital modulation. Develop detection techniques at the receiving end for efficient reconstruction of digital data. Develop multiplexing scheme to transmit more number of signals through one common channel.

Course Description: The Course Describes about The building blocks of a communication system in general and Digital communication system in particular. Also to define the Bandwidth and understand bandwidth consideration. The different line formats to represent the line codes and the advantages and drawbacks of line formats. To understand the process of conversion of Analog signal to Digital signal via Quantization, Companding and Coding. PAM, PCM, DPCM, DM and ADPCM digital Pulse modulation, time division multiplexing, operation of a T1 system. Inter-symbol Interference, interference causes and the corrective measures taken by base band Pulse shaping solutions. The basic principles of Digital Modulation schemes and their Generation & Detection Techniques. The Detection of binary signal optimally in presence of noise, by Matched filter method and Correlation approach. Multi carrier communication and OFDM.

Syllabus Introduction to Digital Communication: Basic signal processing operations in digital communication, Channels for digital communication. Sampling Process: Sampling theorem, Quadrature sampling of BP signal, Reconstruction of a message from its samples, Signal distortion in sampling, Practical aspects of sampling and signal recovery, PAM, TDM; Waveform Coding Techniques: PCM, Channel noise and error probability, quantization noise and SNR, robust quantization, DPCM, DM, ADM, Coding speech at low bit rates, applications; Base-band shaping for data transmission: Discrete PAM signals, power spectra of discrete PAM signals; Base-band pulse shaping: ISI, Nyquist criterion for distortion less base-band binary transmission, correlative coding, eye pattern, base-band M-ary PAM systems, Adaptive equalization for data Transmission; Detection and Estimation: Gram-Schmidt orthogonalization procedure, geometric interpretation of signals. Correlation receiver, matched filter receiver, estimation: concept and criteria, maximum likelihood estimation; Digital Modulation Techniques: Digital Modulation formats, ASK, FSK, PSK, DPSK, QPSK, MSK, M-ary modulation techniques. Fundamentals of equalization, linear equalizers, zero forcing and MMSE equalizers, OFDM.

References: 1.Simon Haykin (2003), Digital Communication, 1h Edition John Wiley.2.Andrea Goldsmith (2005), Wireless Communication, CUP.1st In-dian edition.3.Bernard Sklar (2007), Digital Communications, 2nd edition, Pearson Education.4.B. P. Lathi and Zhi Ding (2010), Modern Digital and Analog Communication Systems, OUP.

30PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Course / Learning Outcomes:

On successful completion of this Course, the students would be able to;1. Analyse the performance capabilities of current digital communi-

cation systems.2. Analyze the Band pass digital modulation and demodulation 3. Develop a solution to overcome errors in baseband transmission.4. Analyse the performance of different types of equalizers used in

receiver communication systems in mitigating the ISI effects.5. Analyse the performance of Digital modulation Techniques such

as ASK, PSK, QPSK and QAM

Assessment Tools / Methodology:

As indicated in the Course Information Report / Lesson Plan

14EC354 Communication Engineering II Lab

1. Time Division Multiplexing and recovery of two band limited signals.2. Demonstration of Pulse code modulation 3. Demonstration of Delta Modulation4. Demonstration of DPCM 5. Base Band Signal generation6. Eye Pattern 7. ASK generation and detection.8. FSK generation and detection.9. PSK generation and detection10. DPSK generation and detection11. QPSK generation and detection12. BER curve for AWGN and Rayleigh flat fading channels.

31PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Microwave EngineeringCourse Code: 14EC352

Applicable for: 6th SemesterCredits: 4 creditsName of the Faculty:Lectures per Week: 4 hours per weekTutorials per Week: 1 (optional)Foundation / Core / Elective:

Core

Course Pre-requisites: Math-1, Math-2, Electromagnetic fields and transmission lines Course / Learning Objective

The main objective of the course is to make the students understand the fundamental concept of propagation and wave guiding of electromagnetic wave. This course will help the students to apply the basic electromagnetic concepts to design microwave components.

Course Description: This subject is in continuation with the earlier course on electromagnetics. Having been introduced to the effect of the circuit dimensions being comparable to the wavelength, this course introduces impedance matching and the need for it. Analysis of rectangular and cylindrical waveguides will be introduced. Waveguide components like cavities, couplers etc are also introduced. An insight is then given into microwave tubes and solid state devices.

Syllabus Impedance matching using Smith Chart: Derivation of the Smith Chart contours, single stub matching, double stub matching; Waveguides and cavities: Solutions of wave equations in rectangular co-ordinates, TE and TM modes in Rectangular Waveguides, Poynting vector, Power transmission and Power losses in rectangular waveguides, Excitation of waveguides, Characteristics of Standard rectangular Waveguides, visualization of the fields inside waveguides, Circular waveguides: Solutions of wave equations in cylindrical coordinates, TE and TM modes in circular waveguides. Microwave Cavities: Rectangular and Circular Cavity resonators, Q factor of a cavity resonator; Microwave circuits and components and microstrip lines: Microwave hybrid circuits: Wave guide Tees: E-Plane tee and H-Plane Tee, Magic Tees, Hybrid Rings, S-Matrices of all these components, Waveguide Corners, Bends and twists.Directional couplers: Two–Hole Directional Couplers, S Matrix of a Directional Coupler, Phase Shifter. Microwave Circulators and Isolators. Micro strip Lines, Parallel Strip Lines, Coplanar strip lines, Shielded strip lines, Dispersion relations; Microwave tubes: Klystrons, Reflex Klystrons, TWT, Magnetrons(cylindrical Magnetron oscillators); Microwave solid state devices: Microwave Diodes: Transfer electron devices: Introduction, GUNN effect diodes – GaAs diode, RWH theory, Modes of operation, Avalanche transit time devices: READ diode, IMPATT diode, Parametric amplifiers, Other diodes: PIN diodes, Schottky barrier diodes.

References: (as relevant)

1. David M Pozar, 1999,  Microwave Engineering, 2nd Edition, John Wiley and sons,

2. Samuel Y. Liao (YEAR), Microwave Devices and Circuits, PHI/Pearson Education.

3. R.K.Shevgaonkar, 2006, Electromagnetic Waves 1st edition, Tata McGraw Hill

Course / Learning Outcomes: (Atleast five)

On successful completion of this Course, the students would be able to;1. Solve impedance matching problems2. Analyze waveguides, eg determine their

cutoff frequency, propagating modes and other parameters

3. Analyze cavities, eg determine their reso-nance frequency and other parameters

4. Design simple microwave circuits5. Have an understanding of microwave

sources

32PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Assessment Tools / Methodology:

As indicated in the Course Information Report / Lesson Plan

14EC355 Microwave Engineering Lab

1. Determination of modes, transit time, elec-tronic tuning range and sensitivity of Reflex klystron oscillator.

2. Measurement of frequency, wavelength, VSWR and Reflection Coefficient.

3. Determination of V-I curve of Gunn diode and signal frequency.

4. Measurement of beam width, gain and radia-tion pattern of Horn antenna.

5. Measurement of beam width, gain and radia-tion pattern of parabola antenna.

6. Determination of Coupling coefficient, inser-tion loss, directivity, isolation and Scattering matrix coefficients of Directional coupler.

7. Determination of Coupling coefficient, inser-tion loss, directivity, isolation and Scattering matrix coefficients of magic tee.

8. Determination of Scattering matrix coeffi-cients of Circulator and Isolator.

9. To measure unknown impedance.10. Determination of Insertion loss, VSWR, and

scattering matrix parameters for variable At-tenuator.

11. Open ended experiments.

33PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Digital System Design Using HDLCourse Code : 14EC353

Applicable for: 6th SemesterCredits: 4Name of the Faculty:Lectures per Week: 4 hours per weekTutorials per Week: NilFoundation / Core / Elective:

Core

Course Pre-requisites:

Logic Design

Course / Learning Objective The main objective of the course is to make the

students understand different modeling techniques to design and verify digital systems using HDL. This course will lay the foundation for writing synthesizable HDL codes.

Course Description: The course introduces: Overview of HDLs, Introduction to Verilog, Gate Level Modeling and Delays, Operators, Expressions, Behavioral Modeling, Tasks, Functions, Modeling Techniques, Synthesis Concepts, Verification, FPGA families.

Syllabus Overview of HDLs, Introduction to Verilog: Evolution of Computer aided digital design, Emergence of HDLs Typical design flow, Importance of HDLs, Design Methodologies , Modules ,Instances, Components of simulation with examples, Lexical Conventions, Number specifications, Strings, Identifier and Keywords, Escaped Identifiers Data Types: Nets, Registers, Vectors, Data Types: Arrays, Memories, System Tasks, Compiler Directives; Gate Level Modeling and Delays, Operators, Expressions: Modules, Ports ,Hierarchical Names- 1, Gate Types, Building Circuits with Gates, Gate Delays, Delay Examples, Continuous Assignment, Implicit Continuous Assignment, Assignment Delays, Expressions, Operators, Operands , Operator Types, Examples; Behavioral Modeling: Structured procedures: initial statement, Structured procedures: always statement (combinatorial), Procedural Assignments, Blocking, Non blocking assignments -1, Examples of Blocking, Non blocking assignments, Timing Controls: Delay Based, Timing Controls: Event Based, Conditional Statements, Multiway Branching: Case, Casex, Casez, Loops, Examples; Tasks, Functions, Modeling Techniques: Sequential and Parallel Blocks Named Blocks, Nested Blocks, Generate Blocks, Tasks, Functions, Procedural Continuous assignments, Overriding parameters, Conditional Compilation and execution, Time scales, Types of Delay Models, Useful System Tasks, Path delay Modeling, Timing Checks, Delay Back Annotations; Synthesis Concepts: Synthesis Design Flow, Coding style for logic synthesis

References: 1. Samir Palnitkar, (2003) Verilog HDL - A Guide to Digital Design and synthesis, Second edition Pearson Education,

IEEE Std 1364-20012. Peter J Ashenden,(2007) Digital Design (Verilog): An

Embedded Systems Approach Using Verilog, Elsevier, 3. Xilinx Application Notes, [Available]: http://www.xilinx.com/support.html

4. Sunburst Design, Inc. White papers on coding guidelines

34PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Course / Learning Outcomes:

Upon successful completion of this course, the students will be able to;1. Understand basics of digital systems, design methodology2. Design combinational and sequential circuits using system Verilog3. Write test cases and test benches for given circuits4. Design of digital systems and its verification5. Synthesize and implement the design using FPGA boards

Assessment Tools / Methodology:

As indicated in the Course Information Report / Lesson Plan

14EC356 Digital System Design using Verilog Lab

Write Verilog Code for the following circuits and their Test Bench for verification, observe the waveform and synthesise the code with technological library with given Constraints. Do the initial timingLab 1: Basic logic gates & Universal Gates.Lab 2: Boolean equation for Binary to Grey code converters.Lab 3: Multiplexor design (4:1) using Parameter construct for variable databus width.Lab 4: Design of Booth Multiplier and comparing it with Xilinx multiplier.Lab 5: Design of Adder/Subtractor and verification using $randomLab 6: Design of D-Flipflop and D-Latch & implement an alarm register block using DFFLab 7: Design of Sequence detector in Verilog and differentiate between Mealy and Moore machine implementationLab 8: Develop a Verilog model of the complex multiplier datapath and control path.Lab 9: Design and Write a verilog model for the controller state machine for the Alarm Clock.Lab 10: Design of 4 bit arbitrary sequence counter and implementation on FPGA.Lab 11: Design and implementation of simple ALU in WIPRO ULK board and displaying the results using LED interface.Lab 12: Character display using LCD display interface using Spartan 6 in WIPRO ULK board.

35PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Computer NetworksCourse Code : 14EC361

Applicable for: 6th SemesterCredits: 4 CreditsName of the Faculty:Lectures per Week: 4 hours per weekTutorials per Week: NilFoundation / Core / Elective:

Elective

Course Pre-requisites:

Nil

Course / Learning Objective

To give the students an in-depth understanding and hands on experience of internet protocols and algorithms. This course aims to enable the students to design and analyze simple computer networks.

Course Description: The course begins with an introduction to the internet architecture and service models. A top down approach is followed to explain the complex operation of sending data from one host to another. The standard application protocols, transmission protocols, networking protocols and MAC layer protocols are covered. This course also introduces the wireless networking concepts.

Syllabus Introduction: Introduction to internet, ISPs and their hierarchy, Access networks and core networks, Concept of protocol stack and TCP/IP model; Application layer: Architectures and service models, HTTP operation, FTP operation, Mail access protocols (SMTP, POP3 and IMAP), DNS: Services, architecture and operation, Peer-to-peer applications; Transport Layer: Basic operations and protocols, Socket programming, Message format: TCP and UDP, Reliable data transferCongestion control, Flow control; Network layer: Router functionalitiesAddressing formats: IPv4 and IPv6, Datagram format: IPv4 and IPv6Network address translation (NAT), NAT Traversal and ICMP, Routing algorithms, Routing protocols: RIP, DV and BGP; Link layer: Role and importance of link layer, Error detection and error correction techniquesSwitching and addressing, Forwarding and filtering, Multiple access protocols, Basic random access techniques, Ethernet: protocol and frame format, Point to Point Protocol (PPP), Virtual Local Area Networking (VLAN); Wireless and mobile networks: Wireless links and network characteristics, IEEE 802.11 standard: Architecture, frame structure, DCF and EDCA, Mobility management in WANs: Operations and Mobile IP, Cellular internet access: 3G and 4G (WiMAX and LTE-A), Managing mobility in cellular networks

References 1.James F Kurose and Keith W Ross (2013) Computer Network-ing: A Top Down Approach, 6th Ed., Pearson Education2.Andrew S. Tanenbaum (2003), Computer Networks, 4th Ed., Prentice Hall3.Schwartz M (1987), Telecommunication Networks, Protocols, Modeling and Analysis, 2nd Ed., Addison-Wesley4.William Stallings (2007), Data and Computer Communications, 8th Ed., Prentice Hall

Course / Learning Outcomes:

On successful completion of this Course, the students would be able to;1. Analyze the internet protocols related to the application layer,

transport layer, network layer and link layer2. Design simple computer networks and analyze packet capture us-

ing Wireshark3. Implement routing algorithms, client and server socket programs4. Solve numerical problems and logical problems in the design of

computer networks5. Apply networking concepts to simple projects in the computer net-

works

36PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Assessment Tools / Methodology:

As indicated in the Course Information Report / Lesson Plan

37PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Optical Fiber CommunicationCourse Code : 14EC362

Class applicable for:

6th Semester

Credits: 4 CreditsName of the Faculty:Lectures per Week: 4 hours per weekTutorials per Week: NILCore/Elective: ElectiveCourse Pre-requisites:

Electronic devices and Circuits, Communication Engineering – I, Communication Engineering - II

Course / learning Objectives

This course provides the basic theory of optical fibers and principle of various components in optical communication system. Student should be able to design the components with specifications for a given fiber optic communication system.

Course Description:

This subject provides the fundamental principles for understanding and applying a wide range of optical fiber technologies to modern communication networks. The sequence of topics takes the student systematically from the underlying principles of components and their interactions with other devices in an optical fiber link, through descriptions of the architectures and performance characteristics of complex optical links. By mastering these fundamental topics the student will be prepared not only to contribute to disciplines such as current optical devices, optical communication link, equipment designs but also to understand quickly any further technology developments for future enhanced networks.

38PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Syllabus: Overview of Optical Fiber Communication: Motivations for Lightwave Communications, Optical Spectral Bands, Fundamental Data Communication Concepts Network Information Rates, WDM Concepts, Key elements of optical Fiber Systems; Optical Fibers: Structures, Waveguiding and Fabrication: The Nature of Light, Basic Optical Laws and Definitions, Optical Fiber Modes and Configurations, Mode Theory for Circular Waveguides, Single mode Fibers. Graded- index Fibers, Fiber Materials, Fiber fabrication, Fiber Optic cables; Topic 3: Signal Degradation in optical fibers: Attenuation, Signal Distortion in Optical fibers, Characteristics of Single Mode fibers International Standards, Specialty Fibers; Optical Sources - Review of Semiconductor Physics, Light Emitting diodes, Laser Diodes; Power Launching and Coupling Source to Fiber Power Launching, Lensing schemes for Coupling Improvement, Fiber- to- Fiber joints, LED coupling to Single Mode Fibers, Fiber Splicing, Optical fiber connectors (Qualitative); Photodetectors Physical principles of photodiodes, Photodetector Noise, Detector Response Time, Avalanche Multiplication Noise, Structure for InGaAs APDs, Temperature effect on Avalanche gain, Comparison of Photodetectors; Optical Receiver Operation Fundamental Receiver Operation, Digital Receiver Performance, Eye Diagrams, Coherent Detection, Burst Mode Receivers, Analog Receivers; Digital Links Point to point links, Link Power Budget, Rise -Time Budget; Analog links – Overview of Analog links, Carrier to Noise Ratio, Multichannel Transmission Techniques, RF over Fiber, Radio over Fiber links, Microwave Photonics; WDM Concepts and Components: Overview of WDM, Passive Optical couplers, Isolators and circulators, Fiber Grating filters, Dielectric thin film filters, Phased array based devices, Diffraction Gratings Active optical components, and Tunable Light sources; Optical Amplifiers: Basic applications and types of Optical amplifiers, Semiconductor Optical Amplifiers. Erbium doped fiber amplifiers, Raman Amplifiers, Wideband Optical Amplifiers; Nonlinear Effects General overview of Nonlinearities, Effective Length and Area, Stimulated Raman scattering, Stimulated Brillouin scattering, Self Phase modulation, Cross Phase modulation. Four wave mixing FWM mitigation, Wavelength Converters, Solitons; Optical Networks: Network concepts, Network topologies, SONET / SDH, High speed light wave links, Optical Add/Drop multiplexing, optical switching, WDM Network examples

Text Books / References:

1. Gerd Keiser (2008), Optical Fiber Communications, 4th Edition, TMH.2. Govind P. Agarwal, Fiber – optic communication systems, 3rd edition,

John Wiley and Sons.3. John M. Senior, Optical Fiber Communication, 2nd edition, PHI.

Course / Learning Outcomes:

On successful completion of this Course, the students would be able to;1. Identify the basic elements of optical fiber transmission link and

configure optical fiber for various fiber modes. 2. Find the different kind of losses, signal distortion in optical wave

guides and other signal degradation factors3. Use the various optical source materials, Laser diodes, LED

structures and find quantum efficiency 4. Apply fiber optical receivers such as PIN , APD diodes and hence

improve noise performance in photo detector, receiver operation and configuration

5. To use and implement fiber optical network components, variety of networking aspects, FDDI, SONET/SDH and operational principles WDM.

Assessment Tools / Methodology:

As indicated in the Course Information Report / Lesson Plan

39PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Synthesis and Optimization of Digital CircuitsCourse Code : 14EC363

Applicable for: 6th SemesterCredits: 4 CreditsName of the Faculty:Lectures per Week: 4 hours per weekTutorials per Week: NILFoundation / Core / Elective:

Elective

Course Pre-requisites:

Digital Circuit Design, any programming language(Matlab/C++)

Course / Learning Objective

The main objective of the course is to1. Understand the basics of Graph Theory2. Application of Graph theory in Digital circuit synthesis algorithms3. understand synthesis flow of the CAD tools4. Analyse the existing synthesis algorithms.5. Apply synthesis algorithms to the digital circuits

Course Description: Lectures will cover the theory and the demo of existing open source CAD tools and problem solving using synthesis algorithms.

Syllabus (No restrictions in the number of Topics)

Introduction to synthesis and optimization: Circuit Models, synthesis and optimization. Background:Notations, undirected graphs, directed graphs, combinatorial optimization:Introduction to decision and optimization problems, algorithms, tractable and intractable problems. Fundamental algorithms: Linear and Integer Programs: Branch and Bound, Tree cover, greedy scheduling algorithms. Graph optimization problems and algorithms: Dijkstra, Bellman Ford Algorithm. Graph coloring algorithms: Vertex coloring, Leftedge algorithms. Boolean algebra: Boolean functions, representation of Boolean functions using Binary Decision diagram, ROBDD, ITE operator (only representations and problems); Architectural or High Level Synthesis and Optimization: Schedule Algorithms: Introduction, a model for scheduling problems, Scheduling without resource constraints: ASAP, ALAP, Latency constrained, Scheduling under timing constraints. Scheduling with resource constraints: Integer linear programming Model, List Scheduling, Hu’s Algorithm, Force directed scheduling, Scheduling Pipe lined circuits: Scheduling with pipelined resources, functional pipelining, loop folding; Logic Level Synthesis and Optimization-Part I: Two Level Combinational Logic Optimization: Logic optimization, principles: Definitions: Multiple output implicant, Multiple output minterm, cover, minimum cover, irredundant cover, prime, essential prime implicant Exact Logic minimization: QM method and Petrick’s method. Heuristic Logic Minimization: operators (Expand, Reduce, Reshape, Irredundant) with examples, Testability Properties, Logic minimization methods: ESPRESSO: Expand, Reduce, Irredundant, Essentials (using K Map and problems); Logic Level Synthesis and Optimization-Part II: Sequential Circuit Optimization: Introduction, Sequential circuit optimization using state based models: State minimization for completely specified finite state machines, State minimization for incompletely specified finite state machines, sequential circuit optimization using network models: Introduction to retiming, cycle time minimization using retiming, RETIME_DELAY algorithm; Logic Level Synthesis and Optimization-Part III: Cell Library Binding: Introduction, Problem formulation and analysis, algorithms for library binding: Covering Algorithms based on structural matching, simple tree based matching, MATCH algorithm, tree based covering, Boolean matching, Rule based library binding, comparisons of Algorithmic and rule based library binding.

References: (as relevant)

1. Giovanni De Micheli, (2003), Synthesis and Optimization of Digital Circuits, Tata

40PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

McGraw-Hill.2. Srinivas Devadas , Abhijit Ghosh , Kurt

Keutzer, (1994), Logic Synthesis, Tata McGraw-Hill.

3. Espesso tool available onlineCourse / Learning Outcomes: (Atleast five)

On successful completion of this Course, the students would be able to;1. Implement existing synthesis algorithm to synthesize digital cir-

cuits. 2. Analyse the performance of algorithms for different applications. 3. Optimize the algorithm to improve the performance of digital cir-

cuits. 4. To develop simple algorithm for architectural, logic synthesis of

digital circuits. 5. Design Simple EDA tool using any high level programming lan-

guageAssessment Tools / Methodology:

As indicated in the Course Information Report / Lesson Plan

41PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Low-Power VLSI DesignCourse Code : 14EC364

Applicable for: 6th SemesterCredits: 4 Name of the Faculty:Lectures per Week: 4 hours per weekTutorials per Week: NilFoundation / Core / Elective:

Elective

Course Pre-requisites:

Logic Design, VLSI Design, Electronics Devices and Circuits

Course / Learning Objective

The course provides in understanding the estimation and analysis of power consumption in various levels of design abstraction in VLSI Design flow. Understanding to design SPICE Model for circuits and probabilistic power analysis of random signals. Power consumption estimation and different methods of reduction of leakage and dynamic powers in Integrated Circuits.

Course Description: The course introduces the need and introduction to Low Power VLSI Design, Simulation Power analysis, Low Power Design Circuit and Logic Level, Low power Architecture & Systems, Special Techniques (Clock networks).

Syllabus Introduction to Low Power VLSI Design: Need for low power VLSI chips, Sources of power dissipation, charging and discharging capacitance, short circuit current in CMOS circuits, CMOS leakage current, static current, basic principles of low power design, Technology and Device Innovation; Simulation Power analysis: SPICE circuit simulators, gate level logic simulation, capacitive power estimation, static state power, gate level capacitance estimation, architecture level analysis, data correlation analysis in DSP systems. Monte Carlo simulation. Probabilistic power analysis, Random logic signals, probability & frequency, probabilistic power analysis techniques, signal entropy; Low Power Design Circuit and Logic Level: Transistor and gate sizing, Special latches and Flip-Flops design, Low power digital cell library. Gate reorganization, logic encoding, state machine encoding, pre-computation logic; Low power Architecture & Systems: Parallel architecture with voltage Reduction, flow graph transformation, Adiabatic computation, pass transistor logic synthesis, asynchronous circuits, Low power techniques for SRAM Design, Power & performance management, switching activity reduction; Special Techniques: Power dissipation in clock distribution, single driver Vs distributed buffers, Power reduction in clock networks , CMOS floating node, low power bus, delay balancing.

References: 1) .Kaushik Roy, Sharat Prasad, (2000), “Low-Power CMOS VLSI Circuit Design” 1st Edition , Wiley,

2) Gary K. Yeap,( 2002) “Practical Low Power Digital VLSI Design”, 1st Edition Kluwer Academic Publishers.

3) Rabaey, Pedram, (1997), “Low power Design Methodologies” 1st

Edition , Kluwer Academic.

42PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Course / Learning Outcomes:

On successful completion of this Course, the students would be able to;1. Analyze various sources of power dissipation in CMOS integrated

circuits like dynamic and static power dissipation.2. Estimate the power in digital circuits.3. Design and realize the gate-level low-power implementation

techniques.4. Design of low power management architectures.5. Design power optimization techniques for System level.6. Design of low power clock distribution networks for Integrated

Circuits.

Assessment Tools / Methodology:

As indicated in the Course Information Report / Lesson Plan

43PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Speech Processing

Course Code : 14EC365Applicable for: 6th Semester

Credits: 4 Credits

Name of the Faculty:Lectures per Week: 4 hours per week

Tutorials per Week: NIL

Foundation / Core / Elective: Elective

Course Pre-requisites: Digital Signal Processing

Course / Learning Objective

The goal of the course is to teach students basics of speech signal processing, analysis and modeling of speech signals driven by ever demanding applications to cater present needs. The course objective is to provide an understanding of discrete-time speech signal processing techniques that are motivated by speech model building, as well as applications such as speech modification, speech enhancement, speech coding, speech recognition, biometrics etc., and to see how signal processing algorithms are driven by both time and frequency-domain representations of speech production, as well as by aspects of speech perception.

Syllabus: Speech Production and Acoustic Phonetics: Anatomy and Physiology of Speech Organs; Articulatory phonetics; Acoustic phonetics; Acoustic theory of speech production, Lossless tube models, and Digital models for speech signals; Coarticulation and Prosody; Hearing and Speech perception: Sound Perception; Response of the ear to complex Stimuli; Perceptually important Features of Speech signals; Models of Speech Perception; Vowel Perception and consonant perception; Duration and phonemic cue; Intonation and other aspects of speech perception; Time domain models for speech processing: Time dependent processing of speech, Short time energy and average magnitude, Short time average zero crossing rate, Speech vs. silence discrimination, Pitch period estimation, Short time autocorrelation function, Short time average magnitude difference function, Median smoothing; Short time Fourier analysis and synthesis: Linear Filtering interpretation, Filter bank summation method, Overlap addition method, Design of digital filter banks, Implementation using FFT, Spectrographic displays, Pitch detection, Frequency-Domain Pitch period estimation, Analysis by synthesis, Analysis synthesis systems; Analysis and synthesis of Pole-Zero Speech Models: All-pole modeling of Deterministic Signals; Linear prediction analysis of Stochastic speech sounds; Basic principles of linear predictive analysis, Solution of LPC equations, Prediction error signal, Frequency domain interpretation, Relation between the various speech parameters, Synthesis based on all-pole modeling, Pole-zero estimation; decomposition of Glottal Flow Derivatives; Homomorphic Signal processing : Homomorphic systems for convolution, Complex cepstrum of speech like sequences, spectral-root Homomorphic filtering; Short-time Homomorphic analysis of periodic sequences, Short-time speech analysis/synthesis using Homomorphic processing, Contrasting Linear prediction and Homomorphic filtering, Pitch detection, Formant estimation, and Homomorphic vocoder; Speech synthesis and Recognition: Principles of speech synthesis, Synthesizer methods, Synthesis of intonation.

44PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Automatic Speech Recognition: Introduction, Speech recognition vs. Speaker recognition, Signal processing and analysis methods, Pattern comparison techniques, Hidden Markov Models.

Text Books / References:

1. Thomas F. Quatieri (2002), Discrete-time Speech Signal Processing: Principles and Practice, Pearson Education (Singapore) Pvt. Ltd.

2. D. O’Shaughnessy (2001), Speech Communications: Human and Ma-chine, Universities Press.

3. L. R. Rabiner and R. W. Schafer (2004), Digital Processing of Speech Signals, Pearson Education (Asia) Pte. Ltd.

4. L. R. Rabiner and B. Juang (2004), Fundamentals of Speech Recogni-tion, Pearson Education (Asia) Pvt. Ltd.

5. J. R. Deller, Jr., J. H. L. Hansen and J. G. Proakis (2000), Discrete-Time Processing of Speech signals, IEEE Press.

Course / Learning Outcomes:

On successful completion of this Course, the students would be able to;

1. Characterize speech signal in relation to production and hearing of speech by humans.

2. Design few basic algorithms for speech analysis 3. Construct/develop speech recognition, synthesis and coding and 4. Illustrate practical aspects of speech algorithms implementation. 5. Design a simple system for speech processing (speech activity

detector, recognizer of limited number of isolated words).

Assessment Tools / Methodology:

As indicated in the Course Information Report / Lesson Plan

45PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Multirate Systems and Filter Banks

Course Code : 14EC366Applicable for: 6th Semester

Credits: 4 Credits

Name of the Faculty: As per time table

Lectures per Week: 4 hours per week

Tutorials per Week: NIL

Foundation / Core / Elective: Elective

Course Pre-requisites: Digital Signal Processing

Course / Learning Objective

This subject involves introduction to the basic principles of DSP. Students will get familiar with the fundamentals of multirate systems such as, interpolation and decimation. Multistage implementation of filters and polyphase decomposition, have been introduced to improve the efficiency of filter design and implementation. In filter banks, they will get to learn uniform DFT filter banks, QMF filter banks. Application of filter banks in communication. An introduction to paraunitary perfect reconstruction filter banks is provided.

Syllabus: Digital Filters: Specifications; FIR and IIR filter designs; allpass filters, special types of filters; IIR filters based on allpass filters; Fundamentals of Multirate Systems: Basic multirate operations, interconnection of building blocks, polyphase representation, multistage implementation, special filters and filter banks; QMF filter banks: Errors created in the QMF bank, alias-free QMF system, power symmetric QMF banks; Maximally decimated filter banks: M-channel filter banks, polyphase representation, perfect reconstruction systems, alias-free filter banks, tree structured filter banks, transmultiplexers; Paraunitary Perfect Reconstruction Filter Banks: Lossless transfer matrices, filter bank properties induced by paraunitariness, two channel paraunitary lattice, M-channel FIR paraunitary QMF banks.

Text Books / References:

1. P.P. Vaidyanathan (2004), Multirate Systems and Filter Banks, Pearson Education.

2. G. Strang and T. Nguyen (1996), Wavelets and Filter Banks, Wellesley-Cambridge.

3. N.J. Fliege (2000), Multirate Digital Signal Processing, John Wiley.4. R. Cristi (2004), Modern Digital Signal Processing, Thomson Learning

Course / Learning Outcomes:

On successful completion of this Course, the students would be able to;1. Design and development of digital FIR and IIR filters 2. Design of interpolators and decimators3. Design and implementation of filters using multi-stage concept4. Construction of DFT filterbanks and quadrature mirror filter banks5. Design of Maximally decimated filter banks transmultiplexers

Assessment Tools / Methodology:

As indicated in the Course Information Report / Lesson Plan

46PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Satellite communicationCourse Code : 14EC371

Applicable for: 6th SemesterCredits: 4Name of the Faculty:Lectures per Week: 4 hours per weekTutorials per Week: NilFoundation / Core / Elective:

Elective

Course Pre-requisites: Communication Engineering I, Communication Engineering IICourse / Learning Objective

The course facilitates an in-depth understanding of modern satellite systems, multiple accesses, modulation and coding techniques. Provides learning of VSAT systems, Direct Broadcast Satellite television and installation considerations, Navigation satellites and position determination concepts.

Course Description: In this course the basic concepts of satellite communication, satellite systems and satellite design are introduced. The students will also get an exposure to the different kinds of payloads, telemetry and launching techniques. Multiple access techniques, navigation techniques, data and video communication techniques are also covered in this course.

Syllabus Introduction: A brief History of Satellite Communications, the Role and Application of Satellite Communication, Frequency allocations for Satellite Services, Indian satellite scenario and applications; Orbital mechanics: Kepler’s laws, Orbital Mechanics, Satellite Look Angles determination, Slant range determination, Basics of eclipse and Doppler frequency,, Orbital perturbations, Orbital effects in communication systems performance, Radiation and van Allen belts, Launching and positioning, satellite drift and station keeping; Satellite link design: Basic transmission theory, Noise figure, Sky and system noise temperatures, Design of up and down satellite links, EIRP, G/T, over all C/N, Threshold and Dynamic range, Design examples; Satellite subsystems: Attitude and orbit control, Telemetry, tracking, and command (TT&C), Power generation, storing and distribution, Communication payloads, Bent pipe and regenerative transponders, Satellite antennas and coverage contours, Reliability and space qualification; Earth station: Introduction, earth station subsystems. Different types of earth stations; Multiple access: Space segment access methods, FDMA, TDMA, CDMA, SDMA, Estimation of number of users, Applications; Error Control for Digital Satellite Links: Channel capacity and error detection and correction coding techniques, Linear block codes, Convolution codes, Concepts of Viterbi decoding, Concepts of Turbo & LDPC coding, Implementation of error detection on satellite links; VSAT Systems: Introduction, Network Architectures, Star and Mesh networks, Access Control Protocols, VSAT Earth Station configuration, Link analysis for a VSAT Star and Mesh Networks, Concepts of system design, Up link power control; Direct broadcast TV & Radio: Concepts of DTH/ DBS TV, System Design, Link analysis, Master Control Station and Uplink, Installation of DBS TV Antennas; Satellite Navigation & Global Navigation Satellite System (GNSS): History of Navigation, Radio and Satellite Navigation, Position Location Principles, Introduction to GPS, GALILEO and GLONOSS, GPS Receivers and Codes, GPS Navigation Message, Signal levels, Timing Accuracy, Receiver Operation, GPS C/A Code Accuracy, Differential GPS, overview of GAGAN, over view of GNSS - Regional Navigation Systems, Indian Regional Navigation system.

47PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

References: 1. Timothy Pratt, Charles Bostian, Jeremy Allnut (YEAR), Satellite Communications, John Wiley & sons

2. Dennis Roddy (YEAR), Satellite Communication, TMH.

3. T.T. Ha (YEAR), Digital Satellite Communication, Mc-CGraw-Hill

4. Gerard Maral, Michel Bousquet (YEAR), Satellite Communication systems, John Wilely & sons.

5. www.isro.org Course / Learning Outcomes:

On successful completion of this Course, the students would be able to;

1. Understand satellite applications 2. Understand the concepts of navigation, broad-

casting TV, VSAT etc3. Get an exposure to various technologies like

access technologies, error control coding, link design etc

4.Understand the requirements for setting up a ground station 5.Install DTH and VSAT antennas

Assessment Tools / Methodology:

As indicated in the Course Information Report / Lesson Plan

48PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

RF and Microwave Circuit DesignCourse Code : 14EC372

Applicable for: 6th SemesterCredits: 4Name of the Faculty:Lectures per Week: 4 hours per weekTutorials per Week: NilFoundation / Core / Elective:

Elective

Course Pre-requisites: Math-1, Math-2, Electromagnetic fields and transmission linesCourse / Learning Objective

The main objective of the course is to understand the fundamental concepts of passive and active RF networks, linear and non-linear components.

Course Description: This subject is an additional course in the field of RF and microwaves. Analysis and design of passive and active RF circuits using S-parameters is introduced. Design of linear and non-linear components like amplifiers, oscillators, mixers and phase shifters is introduced. An introduction to MICs and microwave materials is given.

Syllabus RF network analysis: Introduction to RF and microwaves – applica-tions, analysis of simple circuit, phasor domain, RF impedance match-ing, high frequency parameters, formulation of S-parameters, proper-ties, transmission matrix, generalized S-parameters; Active circuit design: Basic consideration in active networks and design of ampli-fiers, oscillators and detector: Stability consideration, gain considera-tion, Noise consideration; Linear and nonlinear design: Introduction, Types of amplifier, Design of different types of amplifiers, Multistage small signal amplifiers, Design of transistor oscillators, Detector losses, detector design; Mixers Phase shifters: Mixer types, Conver-sion loss for SSB mixers, One diode mixer, Phase shifters, Digital phase shifters, Semiconductor phase shifters; RF and Microwave IC design: RF and microwave IC design, MICs, MIC materials, Types of MICs, Hybrid verses monolithic ICs, Chip materials.

References: 1. Matthew M. Radmanesh (2004), RF and Microwave Electronics Illustrated, Pearson Education edition.2.Reinhold Ludwig, and Pavel Bretchko (2004), RF circuit design theory and applications, Pearson Edu-cation edition.

Course / Learning Outcomes:

On successful completion of this Course, the students would be able to;

1.design impedance matching networks2.design amplifiers, oscillators3.mixers, phase shifters, and MICs4.have an understanding of microwave components5.have an understanding of microwave materials

Assessment Tools / Methodology:

As indicated in the Course Information Report / Lesson Plan

49PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Testing of Digital VLSI CircuitsCourse Code : 14EC373

Class applicable for: 6th SemesterCredits: 4 CreditsName of the Faculty:Lectures per Week: 4 hours per weekTutorials per Week: NilCore/Elective: ElectiveCourse Pre-requisites: Logic DesignCourse / Learning Objective

This course aims at demonstrating the concepts of Testing and applying the various test strategies to VLSI circuits. The theories of memory testing, scan testing and other topics will be explored.

Course Description: This course will cover VLSI testing techniques such as VLSI fault modelling (stuck-at-fault, delay fault), automatic test generation, memory testing, design for testability (DFT). VLSI scan testing and built-in self-test (BIST) will also be covered. Students will learn various VLSI testing strategies and how to design a testable VLSI circuit.

Syllabus: Introduction To Testing And Verification: Introduction to testing, Need for testing, Testing Philosophy, Role of Testing, Digital and Analog VLSI Testing, VLSI Technology Trends Affecting Testing Verification and Validation Definitions; Fault Modeling: Defects, Errors, Faults Functional Versus Structural Testing, Levels of Fault Models, A Glossary of Fault Models, Single Stuck-at Fault, Fault Equivalence, Equivalence of Single Stuck-at Faults Fault Collapsing, Fault Dominance and Checkpoint Theorem; Combinational Circuit Test Generation: Algorithms and Representations, Structural vs. Functional Test, Definition of Automatic Test-Pattern Generator, Search Space Abstractions, Algorithm Completeness, ATPG Algebras, Algorithm Types, Redundancy Identification (RID), Testing as a Global Problem, Definitions. Significant Combinational ATPG Algorithms D-Calculus and D-Algorithm, PODEM, FAN; Delay Test: Delay Test Problem, Path-Delay Test, Test Generation for Combinational Circuits, Number of Paths in a Circuit, Transition Faults, Delay Test Methodologies, Slow-Clock Combinational Test Enhanced-Scan Test, Normal-Scan Sequential Test, Variable-Clock Non-Scan Sequential Test, Rated-Clock Non-Scan Sequential Test, Practical Considerations in Delay Testing; Memory Testing: RAM Fault Models, Test Algorithm for RAMs, Detection of Pattern Sensitive Faults, BIST Techniques for RAM chips, Test Generation and BIST for Embedded RAMs; Boundary Scan Standards: Motivation, Purpose of Standard, System Configuration with Boundary Scan TAP Controller and Port; Sequential Circuit Test Generation: ATPG for Single-Clock Synchronous Circuits, A Simplified Problem Time-Frame Expansion Method, Use of Nine-Valued Logic, Development of Time-Frame Expansion Methods, Approximate Methods, Implementation of Time-Frame Expansion Methods Complexity of Sequential ATPG, Cycle-Free Circuits, Cyclic Circuits Clock Faults and Multiple-Clock Circuits, Asynchronous Circuits Simulation-Based Sequential, Circuit ATPG, CONTEST Algorithm Genetic Algorithms; Design For Testability: Digital DFT And Scan Design: Ad-Hoc DFT Methods, Scan Design, Scan Design Rules, Tests for Scan Circuits, Multiple Scan Registers, Overheads of Scan Design, Physical Design and Timing Verification of Scan, Partial-Scan Design, Variations of Scan; Built-In Self-Test: Random Logic BIST, Definitions BIST Process, BIST Pattern Generation BIST Response Compaction, Built-in Logic Block Observers, Device Level BIST, Test Point Insertion

50PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

References: 1. M. Bushnell and V. D. Agrawal, 2000, Essentials of Elec-tronic Testing for Digital, Memory and Mixed-Signal VLSI Circuits, Kluwer Academic Publishers

2. M. Abramovici, M. A. Breuer and A. D. Friedman, 1990, Digi-tal Systems Testing and Testable Design, IEEE Press

3. P. K. Lala,1997, Digital Circuit Testing and Testability, Aca-demic Press

Course / Learning Outcomes:

On successful completion of this Course, the students would 1. Have the knowledge and be familiar with VLSI testing and

Validation.2. Be familiar with fault modeling, testing strategies for

combinational/sequential circuits, memory3. Be familiar with delay testing, design for testability (DFT),

built-in self-test (BIST) and boundary scan.4. Be able to effectively test VLSI systems using existing test

methodologies, tools and equipment.Assessment Tools / Methodology:

As indicated in the Course Information Report / Lesson Plan

51PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Real Time operating systemsCourse Code : 14EC374

Applicable for: 6th SemesterCredits: 4 Credits

Name of the Faculty:Lectures per Week: 4 hours per weekTutorials per Week: NilFoundation / Core / Elective:

Elective

Course Pre-requisites:

Basics of OS, C programming, Microcontrollers.

Course / Learning Objective

The primary goal of this course is to meet the basics of real-time systems and enable the students with the knowledge and skills necessary to design and develop embedded applications by means of real-time operating systems.

Course Description: A study of concepts, techniques, and standards in embedded operating systems including real time embedded operating systems. Topics include: Introduction. Basic Real-Time Concepts. Real-Time Specification, Real time scheduling policies. Real-Time Kernels. Intertask Communication and Synchronization. Real-Time Memory Management. Multiprocessing Systems, Hardware/ Software Integration. Case Studies.

Syllabus Introduction to Real-Time Embedded Systems: Brief history of Real Time Systems, A brief history of Embedded Systems; System Resources: Resource Analysis, Real-Time Service Utility, Scheduling Classes, The Cyclic Executive, Scheduler Concepts, Preemptive Fixed Priority Scheduling Policies, Real-Time OS, Thread Safe Reentrant Functions; Processing: Preemptive Fixed-Priority Policy, Feasibility, Rate Monotonic least upper bound, Necessary and Sufficient feasibility, Deadline –Monotonic Policy, Dynamic priority policies; I/O Resources: Worst-case Execution time, Intermediate I/O, Execution efficiency, I/O Architecture; Memory: Physical hierarchy, Capacity and allocation, Shared Memory, ECC Memory, Flash file systems; Multi-resource Services: Blocking, Deadlock and livestock, Critical sections to protect shared resources, priority inversion; Soft Real-Time Services: Missed Deadlines, QoS, Alternatives to rate monotonic policy, Mixed hard and soft real-time services, Embedded System Components: Firmware components, RTOS system software mechanisms, Software application components; High availability and Reliability Design: Reliability and Availability, Similarities and differences, Reliability, Reliable Software, Available Software, Design trade offs, Hierarchical applications for Fail-safe design.

References: 1. Sam Siewert, 2007, Real-Time Embedded Systems and Components, Cengage Learning India Edition.

2. Dreamtech Software Team, 2008, Programming for Embed-ded Systems, Jhon Wiley, India Pvt. Ltd

Course / Learning Outcomes:

On successful completion of this Course, the students would be able to;1. Use the multitasking techniques in real-time systems.2. Use real time scheduling policies in applications 3. Design embedded applications using RTOS.

Assessment Tools / Methodology:

As indicated in the Course Information Report / Lesson Plan

52PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Digital Image ProcessingCourse Code : 14EC375

Applicable for: 6th semester Credits: 4Name of the Faculty:Lectures per Week: 4 hours per weekTutorials per Week: NilFoundation/Core/ Elective:

Elective

Course Pre-requisites: Digital Signal Processing, Linear algebraCourse/Learning Objectives

Understand the fundamentals of a digital image Learn the transforms for digital image processing Provide a knowledge of methods for image enhancement, image

filtering and restoration Introduce colour image processing

Course Description: This course provides an introduction to the essential concepts for digital image processing with reference to enhancing the image quality. This course also introduces colour image processing.

Syllabus: Digital Image Fundamentals: What is digital Image Processing, Fun-damental Steps in Digital Image Processing, Components of an Image Processing System, Elements of Visual Perception. Image Sensing and Acquisition, Image Sampling and Quantization, Some Basic Relation-ships Between Pixels, Linear and Nonlinear operations; Image Trans-forms: 2-D orthogonal and Unitary transforms, 1-D and 2-d DFT, Co-sine, Sine, Hadamard, Haar, Slant, Karhunen-Loeve, singular value de-composition; Image Enhancement: Point operations, histogram model-ling, spatial operations, transform operations, multispectral image en-hancement; Image filtering and Restoration: Image observation mod-els, inverse and Wiener filtering, other Fourier domain filters, smoothing and spline interpolation, least square filters; Colour image processing: Colour Fundamentals, Colour Models, Pseudo colour Image Processing, Basics of Full-Colour Image Processing, Colour Transformations, Smoothing and Sharpening, Noise in Colour Images.

References: 1. Anil K. Jain (2005), Fundamentals of Digital Image Processing, Prentice Hall.

2. R. Gonzalaz and Woods (2005), Digital Image Processing, 2nd Ed,. Pearson Education

3. William. K. Pratt (1991), Digital Image Processing, 2nd Ed, WileyCourse/Learning Outcomes:

On successful completion of this Course, the students would be able to:1. Describe the different required fundamental transforms.2. Explain the different image processing algorithms.3. Use different techniques in image enhancement and image

restoration for improving image quality.4. Investigate the best algorithm for enhancing the image.5. Design image processing algorithms for different applications.

Assessment Tools/ Methodology:

As indicated in the Course Information Report / Lesson Plan

53PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….

Computer VisionCourse Code : 14EC376

Applicable for: 6th SemesterCredits: 4 CreditsName of the Faculty:Lectures per Week: 4 hours per weekTutorials per Week: NilFoundation/Core/ Elective:

Elective

Course Pre-requisites: Linear Algebra,Course/Learning Objectives

Understand the fundamentals of computer vision Provide knowledge of photometric image formation. Learn the geometric transforms for camera calibration Provide knowledge of methods for image enhancement, feature

detection and matching, segmentation.Course Description: This course provides the foundations for computer vision which includes

images, and their geometry and appearance formation. Image processing techniques leading to feature detection and image segmentation are treated. Point operators, neighbourhood operators and global operators are considered.

Syllabus: Introduction: What is computer vision? A brief history, Geometric primitives, 2D transformations,3D transformations, 3D to 2D projections, Lens distortions; Photometric image formation, lighting: Reflectance and shading, Optics, The digital camera: Sampling and aliasing, Color, Compression; Point operators: Pixel transforms, Color transforms, Compositing and Matting, Histogram equalization, Linear filtering: Separable filtering; Wavelet Transformation: Interpolation, Decimation, Multi-resolution representations, Wavelets, Global optimization: Regularization; Feature detectors, Feature descriptors, Feature matching, Feature tracking, Edge detection, Edge linking, Lines: Successive approximation, Hough Transform; Segmentation: Active contours, Snakes, Dynamic snakes and condensation, scissors, Level sets, Split and merge: Watershed, Region splitting, Region merging, Graph-based segmentation, Mean shift and mode finding: K-means and mixtures of Gaussians, Mean shift, Normalized cuts.

References: 1. R. Szeliski, “Computer Vision: Algorithms and Applications,” Springer, 2010.

2. Gonzalez and Woods, “Digital Image Processing”, 2nd edition, Prentice Hall of India, 2004

Course/Learning Outcomes:

On successful completion of this course, the student would be able to:1. Describe the different geometric transforms2. Explain the geometric and photometric image formation.3. Use different techniques in image enhancement, feature detec-

tion and matching.4. Develop a segmentation algorithm for an image.5. Design of multi-scale transforms for image processing.

Assessment Tools/ Methodology:

As indicated in the Course Information Report / Lesson Plan

54PESIT Student Handbook 2016-17 Telecommunication Engg. V & VI………………………….