18
WP393 (v1.0) 2011 6 14 japan.xilinx.com 1 © Copyright 2011 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other countries. All other trademarks are the property of their respective owners. FPGA の入力/ 出力 (I/O) 機能は、 多様な性能や機能の 幅広いアプ リ ケーシ ョ ンに対応する よ う に設計する必 要 が あ り ま す。 ザ イ リ ン ク ス が 提 供 す る 7 シリーズ FPGA および Zynq™ エクステンシブル プロセッシン プラットフォーム (EPP) I/O は、高速メ モ リ 、ネ ッ ト ワーク、 ビデオ フラットパネルとセンサーのイン ターフェイス、高速 ADC/DAC コネクティビティ、従 来のインターフェイスなど、 物理的および論理的レベ ルでさまざまな要件を満たすよ うに最適化されていま す。 さ ら に、 高速 DDR3 デバイスへのメモリ インター フェイス構築に有用な新しいハード ブロックが追加さ れています。 このホワイト ペーパーでは、 7 シリーズ アーキテクチャ の新しい I/O 構造が、 広範なアプ リ ケーシ ョ ン要件に対 応するために必要な性能や機能の向上をどのよ う にサ ポート しているかについて説明しています。 ホワイ ト ペーパー : 7 シリーズ FPGA WP393 (v1.0) 2011 6 14 7 シリーズ アーキテクチャの I/Oメモリ インターフェイスとそれらの利点 著者 : Matt Klein

7 シリーズ アーキテクチャの I/O メモリ インター …...2 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日概要 概要 デザインを別のデバイスへ移行する場合に

  • Upload
    others

  • View
    1

  • Download
    0

Embed Size (px)

Citation preview

Page 1: 7 シリーズ アーキテクチャの I/O メモリ インター …...2 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日概要 概要 デザインを別のデバイスへ移行する場合に

WP393 (v1.0) 2011 年 6 月 14 日 japan.xilinx.com 1

© Copyright 2011 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Zynq, and other designated brands included herein are trademarks of Xilinxin the United States and other countries. All other trademarks are the property of their respective owners.

FPGA の入力/出力 (I/O) 機能は、 多様な性能や機能の

幅広いアプ リ ケーシ ョ ンに対応する よ う に設計する必

要があ り ます。 ザイ リ ン ク スが提供する 7 シ リ ーズ

FPGA および Zynq™ エクステンシブル プロセッシン

グ プラ ッ ト フォーム (EPP) の I/O は、高速メモ リ 、ネッ

ト ワーク、 ビデオ フ ラ ッ ト パネルと センサーのイ ン

ターフェイス、 高速 ADC/DAC コネクテ ィ ビテ ィ、 従

来のインターフェ イ スなど、 物理的および論理的レベ

ルでさ まざまな要件を満たすよ う に 適化されていま

す。 さ らに、高速 DDR3 デバイスへのメモ リ インター

フェイス構築に有用な新しいハード ブロ ッ クが追加さ

れています。

このホワイ ト ペーパーでは、7 シ リーズ アーキテクチャ

の新しい I/O 構造が、広範なアプリ ケーシ ョ ン要件に対

応するために必要な性能や機能の向上をどのよ う にサ

ポート しているかについて説明しています。

ホワイ ト ペーパー : 7 シリーズ FPGA

WP393 (v1.0) 2011 年 6 月 14 日

7 シリーズ アーキテクチャの I/O、メモリ インターフェイスとそれらの利点

著者 : Matt Klein

Page 2: 7 シリーズ アーキテクチャの I/O メモリ インター …...2 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日概要 概要 デザインを別のデバイスへ移行する場合に

2 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日

概要

概要

デザインを別のデバイスへ移行する場合に I/O 構造が異なる と、 設計者は非常に困難な作業を強いられ

るこ とがあ り ます。 7 シ リーズ デバイスは、 ザイ リ ンクスの統一 I/O アーキテクチャを採用しているた

め、 Artix™-7、 Kintex™-7、 Virtex®-7 FPGA および Zynq EPP デバイスすべてで同じ機能を提供しま

す。 アーキテクチャが統一されているため、 製品開発および製品展開の投資コス トが削減され、 既存デ

ザインの 7 シ リーズ FPGA への移行も容易です。このホワイ ト ペーパーの Zynq EPP に関する説明は、

Zynq EPP の FPGA 部分について言及しているこ とに留意して ください。

7 シ リーズのも う 1 つの革新的な改善点は I/O の電力効率で、 以前の製品よ り も高度な統合が可能にな

り ます。 7 シ リーズ アーキテクチャの I/O にはユーザー制御や自動制御によるさまざまな電力低減機能

が追加されているため、 I/O 部分の電力を 小限に抑えるこ とで総消費電力を削減し、 電力要件が厳し

いアプリ ケーシ ョ ンに対応できます。

7 シ リ ーズ FPGA の I/O は、 大 1,866Mb/s の DDR3 用のシングルエン ド パフォーマンスや 大

1,600Mb/s の差動 LVDS など、 高の性能を提供するよ う設計されています。 しかし、 I/O の特性と し

て重要なのは性能だけではあ り ません。 さまざまなアプリ ケーシ ョ ンをサポートするには、 柔軟性と多

様な I/O 規格に対応して幅広い性能を実現する必要があ り ます。 これによ り、 7 シ リーズの FPGA ファ

ミ リや Zynq EPP を使用するアプリ ケーシ ョ ンは、 同じファ ミ リの FPGA をターゲッ トにできます。

7 シリーズ FPGA および Zynq EPP における I/O 課題への取り組み 物理的な I/O の機能や構造によって、 さまざまな I/O 規格、終端、および電力低減機能が提供されます。

各 I/O バンクの I/O 数、 およびクロ ッキングや新規 I/O リ ソースに対する I/O の配置は、 FPGA ダイ上

での配置と同様に重要です。 さ らに、 入力/出力の遅延やシ リ アラ イズ/デシ リ アラ イズ機能など、 高度

な I/O 関連の論理機能が広範なアプリ ケーシ ョ ンをサポートする鍵とな り ます。 また、 Phaser (位相調

整)、 I/O PLL (I/O 位相ロッ ク ループ)、 I/O FIFO などの新しい機能ブロ ッ クが追加されているため、

高性能の DDR3 やその他のメモ リ インターフェイスをサポートする優れたインターフェイスが実現し

ます。 図 1 に、 基本的な I/O ブロッ ク と新たに追加された I/O 関連ブロッ クを示します。

Page 3: 7 シリーズ アーキテクチャの I/O メモリ インター …...2 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日概要 概要 デザインを別のデバイスへ移行する場合に

7 シリーズ FPGA および Zynq EPP における I/O 課題への取り組み

WP393 (v1.0) 2011 年 6 月 14 日 japan.xilinx.com 3

物理レベルの I/O物理レベルの I/O は、 各種 I/O 規格 (例 : PCI33/66 に対応し、 LVCMOS、 LVTTL、 LVDS、 SSTL、 お

よび HSTL に完全準拠) に幅広く対応するために、 多様な駆動電圧 (電圧レベル)、 駆動能力、 および受

信機能をサポートする必要があ り ます。 また、 動作中にアサートや削除が可能なさまざまな入力と出力

終端機能もサポートする必要があ り ます。 7 シ リーズ FPGA および Zynq EPP の I/O には、 このほかに

もダイナミ ッ ク電力、 スタティ ッ ク電力、 ユーザー制御電力を削減する機能もあ り ます。

7 シ リーズ アーキテクチャには、 2 種類の I/O があ り ます。

• HP I/O バンク と呼ばれるバンク内にある High Performance (高性能) I/O

• HR I/O バンク と呼ばれるバンク内にある High Range (広範囲) I/O

これらの I/O は、 Virtex-6 アーキテクチャをベースと していますが、 機能およびサポート される電圧範

囲は Virtex-6 よ り も向上しています。 この 2 種類の I/O は、 50 個の I/O で構成される 1 つの I/O バン

ク全体に配置されています。 デバイスによっては、 HP I/O バンクのみ、 HR I/O バンクのみ、 またはそ

の両方を備えたものがあ り ます。 デバイス とパッケージの組み合わせによっては、 バンクにある 50 個すべての I/O が FPGA のボールに接続されない場合があ り ます。 Artix-7、 Kintex-7、 Virtex-7 FPGA、

および Zynq EPP の製品の表に、 デバイス とパッケージの組み合わせに基づいた各 I/O タイプの数を示

しています。

http://japan.xilinx.com/technology/roadmap/7-series-fpgas.htm

http://japan.xilinx.com/publications/prod_mktg/zynq7000/Zynq-7000-hardware-designer-product-table.pdf

X-Ref Target - Figure 1

図 1 : 7 シリーズ FPGA の基本的な I/O ブロックとコネクテ ィビテ ィ

New in 7 Series FPGAs for DDR3 High Performance Interfaces

Enhanced in 7 Series FPGAs

Phaser

I/OFIFO

MMCM

I/O PAD

VCCO

ODELAY

IDELAY

OSERDES

ISERDESn

n

n

n

n

n

DQS I/O

WP393_01_041911

I/O Physical Layer I/O Logical Layer

Basic I/O Block Structure for Single I/O

Page 4: 7 シリーズ アーキテクチャの I/O メモリ インター …...2 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日概要 概要 デザインを別のデバイスへ移行する場合に

4 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日

7 シリーズ FPGA および Zynq EPP における I/O 課題への取り組み

HP I/O バンクおよび HR I/O バンク

HP I/O バンクは、 高 1,866Mb/s の DDR3 インターフェイスや 高 1,600Mb/s の LVDS インターフェ

イス、 そしてその他のチップ間インターフェイスをサポートする高性能アプリ ケーシ ョ ン向けに 適化

されています。 HP I/O バンクの I/O は、 大 1.8V までのインターフェイス規格と互換性があ り、 も

要件の厳しい 高性能インターフェイスに対応します。

HR I/O バン クは、 大 3.3V までの幅広い I/O 規格をサポー ト し ます。 この I/O バン クは、 高

1,066Mb/s の DDR3 インターフェイスを可能にする と同時に、広範なアプリ ケーシ ョ ンで利用できるよ

うに 適化されています。 また、 高 1,055Mb/s の LVDS インターフェイスにも対応しています。 こ

のバンクの I/O は、 新しいインターフェイス と従来のインターフェイスの両方で使用可能です。

7 シ リーズ FPGA は、 HR および HP の両バンクを次のよ うな組み合わせで搭載しています。

• Artix-7 デバイスは 3.3V HR I/O バンクのみを搭載しています。

• Kintex-7 デバイスは 3.3V HR と 1.8V HP の両バンクを搭載していますが、 HR バンクの数が HP

バンクよ り も多いデバイスがあ り ます。

• Virtex-7 FPGA は 3.3V HR と 1.8V HP の両バンクを搭載していますが、 1.8V HP バンクの数が多

いデバイスがあ り ます。

表 1 に、 HP および HR I/O バンクがサポートする機能の概要を示します。 これらのバンクの性能やそ

の他の電気的要件の詳細は、 各デバイス ファ ミ リのデータシート を参照してください。

図 2 に、 HR I/O バンク、 HP I/O バンク、 およびク ロ ッ ク マネージメ ン ト タ イル (CMT) を搭載した

Kintex-7 XC7K160T FPGA の配置図を示します。 CMT は、 こ こ数世代のザイ リ ンクス FPGA で利用

されてきた機能ですが、7 シ リーズではメモ リ インターフェイス関連の機能が強化されています (「CMTおよび Phaser ブロ ッ ク」 )。

表 1 : HR および HP I/O バンクがサポートする機能

機能 HP I/O バンク HR I/O バンク

3.3V I/O 規格(1) N/A サポート

2.5V I/O 規格(1) N/A(2) サポート

1.8V I/O 規格(1) サポート サポート

1.5V I/O 規格(1) サポート サポート

1.35V I/O 規格(1) サポート サポート

1.2V I/O 規格(1) サポート サポート

VCCAUX_IO 電源レール サポート N/A

デジタル制御インピーダンス (DCI) サポート N/A

調整されていないオンダイ終端 (ODT) N/A サポート

IDELAY サポート サポート

ODELAY サポート N/A

ISERDES サポート サポート

OSERDES サポート サポート

メモ :

1. すべての I/O 規格および駆動能力が HP および HR I/O バンクの両方でサポート されているわけではあ り ません。 HP および HR I/O バンクで利用可能な I/O 規格については、 『7 シ リーズFPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471) を参照して ください。

2. LVDS は一般的に 2.5V の I/O 規格とみなされていますが、 HR および HP I/O バンクの両方でサポート されます。

Page 5: 7 シリーズ アーキテクチャの I/O メモリ インター …...2 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日概要 概要 デザインを別のデバイスへ移行する場合に

7 シリーズ FPGA および Zynq EPP における I/O 課題への取り組み

WP393 (v1.0) 2011 年 6 月 14 日 japan.xilinx.com 5

I/O の電力低減機能

メモ リ インターフェイス用の I/O 電力には、 3 つの主要素があ り ます。

• DCI : PCB ト レースのインピーダンスを整合させるために使用

• リ ファレンス入力レシーバー : コア電圧に対して I/O 電圧を調整するために使用

• IDELAY : 信号をクロ ッ クに同期させるために使用

Virtex-6 FPGA で低消費電力を削減するためには、メモ リ書き込み中にト ライステート DCI が終端を自

動的に無効にするこ とによって終端電力が 50% 節約されます。また、Virtex-6 FPGA には、低電力モー

ドのリ ファレンス レシーバーや IDELAY があるため、高性能モード と比較した場合にそれぞれ 70% と50% の電力を節約できます。 これらの機能は、Virtex-5 FPGA の等価インターフェイスで消費される電

力を 50% 節約します。

7 シ リーズ FPGA は、 Virtex-6 アーキテクチャをベースに構築され、消費電力をできるだけ抑えるよ

うに各機能が微調整されています。 デザイン的には、 VCCAUX を 2.5V から 1.8V に下げるこ とによっ

て、 IDELAY や入出力バッファーなど VCCAUX から電源供給するすべての機能で 30% の電力を削減

します。

さ らに、7 シ リーズ FPGA の新機能は、動作中に入力バッファーを無効にできるこ とです。 この機能は、

以前の FPGA に搭載されているダイナミ ッ ク ト ラ イステート DCI 回路の改良版です。 ト ラ イステート

DCI 機能は、未使用の機能をオフ (無効) にする という原理に基づいており、 メモ リへの書き込み中に終

端へ適用されています。前世代の FPGA では入力バッファーは出力もし くはメモリへの書き込み動作中

も電力を消費していましたが、 7 シ リーズ FPGA ではメモ リへの書き込み動作 (出力) 中に入力バッ

ファーを無効にできます。 これによ り書き込みと読み出しの比率が 50% の場合には、 50% の電力削減

が可能になり ます (図 3 参照)。

X-Ref Target - Figure 2

図 2 : Kintex-7 FPGA の I/O バンクと CMT のレイアウト

WP393_02_032911

HR I/O Bank High Range I/O Bank with 50 I/OSupports interfacing at up to 3.3V

HP I/O Bank High Perfomance I/O Bank with 50 I/OSupports interfacing at up to 1.8V

CMT Clock Management Tile

GT

X Q

uad

Transc

eiv

er

Fabric ResourcesIncludes Logic, Block RAM,

DSP, and Core Clocking

HR

I/O

Bank

CM

T

HR

I/O

Bank

CM

T

HR

I/O

Bank

CM

T

HR

I/O

Bank

CM

T

HR

I/O

Bank

CM

T

HP

I/O

Bank

CM

T

HP

I/O

Bank

CM

T

HP

I/O

Bank

CM

TG

TX

Quad

Transc

eiv

er

Fabric ResourcesIncludes Logic, Block RAM,

DSP, and Core Clocking

Fabric ResourcesIncludes Logic, Block RAM,

DSP, and Core Clocking

Fabric ResourcesIncludes Logic, Block RAM,

DSP, and Core Clocking

Fabric ResourcesIncludes Logic, Block RAM,

DSP, and Core Clocking

Page 6: 7 シリーズ アーキテクチャの I/O メモリ インター …...2 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日概要 概要 デザインを別のデバイスへ移行する場合に

6 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日

7 シリーズ FPGA および Zynq EPP における I/O 課題への取り組み

このよ うな機能を活用するこ とで、 メモ リ書き込み中の電力の多くを削減できますが、 メモ リ インター

フェイスが読み出しあるいは書き込みを行っていない場合も考えられます。これはアイ ドル 状態と考え

られ、以前は DCI 終端および入力バッファーの両方がこの状態でも電力を消費していました。 これを受

けて、7 シ リーズ FPGA では、DCI と入力バッファーの一方または両方を無効にする新機能が追加され

ています。 この場合の状態を BUS IDLE ステート といいます。 さ らに、Virtex-6 FPGA の I/O に備えら

れた多数の電力低減機能が 7 シ リーズ FPGA にも搭載されています。 7 シ リーズ FPGA に搭載されて

いるこれらの機能およびその他の電力低減機能の詳細は、 ホワイ ト ペーパー WP389 『28nm プロセス

を採用した 7 シ リーズ FPGA で消費電力を削減』 (日本語版) を参照してください。

論理レベルの I/Oすべての入力および出力は、 組み合わせまたはレジスタ付き と して設定でき、 ダブル データ レー ト

(DDR) がサポート されています。 すべての入力および一部の出力は、 それぞれを 78ps または 52ps 単位 ( リ ファレンス ク ロ ッ ク周波数に依存) で、 大 31 タ ップ分個別に遅延させるこ とができます。 これ

らの遅延は IDELAY や ODELAY とい うプログラム可能なタ ップ遅延ラインと してインプ リ メ ン ト さ

れます。 タ ップ遅延の精度は、

http://japan.xilinx.com/support/documentation/7_series.htm の 7 シ リ ーズ FPGA または Zynq EPP のデータシートに記載された範囲内の IDELAYCTRL リ ファレンス ク ロ ッ クを選択して変更します。 遅

延タ ップ数はコンフ ィギュレーシ ョ ンで設定でき、 各 I/O ごとに使用中にインク リ メン ト またはデク リ

メン トできます。

すべての I/O ブロ ッ クには、 IDELAYE2 と呼ばれるプログラム可能な絶対遅延プリ ミ テ ィブがあ り ま

す。 IDELAY は、 ILOGICE2/ISERDESE2 ブロ ッ クまたは ILOGICE3/ISERDESE2 ブロ ッ クへ接続で

きます。 IDELAYE2 は、 キャ リブレーシ ョ ンされた 31 段階ラ ップアラウンド式のタ ップ精度を持つ遅

延プリ ミ ティブです。 この遅延は、 組み合わせ入力パスやレジスタ付きの入力パスに適用できます。 ま

た、 ファブ リ ッ ク内で直接アクセス可能です。 IDELAY は、入力される信号に対して個別に遅延を与え

るこ とができます。

すべての HP I/O ブロ ッ クには、 ODELAYE2 と呼ばれるプログラム可能な絶対遅延プリ ミ テ ィブがあ

り ます (メモ: この機能は HR I/O ブロ ッ クにはない)。ODELAY は、OLOGICE2/OSERDESE2 ブロ ッ

クへ接続可能な、 キャ リブレーシ ョ ンされた 31 段階ラ ップアラウンド式のタ ップ精度を持つ遅延プリ

X-Ref Target - Figure 3

図 3 : 入力バッファーと DCI 終端の無効化

WP389_18_021011

Tristate

TERMINATION OFF

Input

OBUF

IOBIBUF

IBUF OFF

Output

OE

VCCO

PAD

Memory Write

Tristate

TERMINATION OFF

Input

OBUF

IOBIBUF

IBUF OFF

Output

OE

VCCO

PAD

Memory Read

Page 7: 7 シリーズ アーキテクチャの I/O メモリ インター …...2 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日概要 概要 デザインを別のデバイスへ移行する場合に

7 シリーズ FPGA および Zynq EPP における I/O 課題への取り組み

WP393 (v1.0) 2011 年 6 月 14 日 japan.xilinx.com 7

ミ テ ィブです。 これは、 組み合わせ入力パス、 レジスタ付きの入力パス、 組み合わせ出力パス、 または

レジス タ付きの出力パスに対して適用でき ます。 また、 フ ァブ リ ッ ク内で直接アクセス可能です。

ODELAY は、 出力信号に対して個別に遅延を与えるこ とができます。

ISERDES および OSERDESアプリ ケーシ ョ ンの多くは、デバイス内部で高速なビッ ト シ リ アル I/O と よ り低速なパラレル動作を組

み合わせます。 これには、 I/O 構造内にシ リ アライザーおよびデシ リ アライザー (SerDes) が必要です。

各 I/O ピンには 8 ビ ッ ト の IOSERDES (7 シ リ ーズ FPGA および Zynq EPP では、 ISERDES とOSERDES と呼ばれる) があ り、シングル データ レート (SDR) モードの場合は、プログラム可能なビッ

ト幅 (2、 3、 4、 5、 6、 7、 8 ビッ ト ) でシ リ アルからパラレル、 あるいはパラレルからシ リ アルへデータ

を変換できます。 DDR モードでは、 4、 6、 および 8 ビッ トの幅がサポート されていますが、 さ らに、 2つの隣接したピン (通常は差動 I/O) からの IOSERDES を 1 つずつカスケード接続するこ とで、10 およ

び 14 ビッ トの幅の広い変換がサポート されます。

アプリ ケーシ ョ ン的観点から見る と、ISERDES には 1.25Gb/s LVDS I/O ベースの SGMII インターフェ

イスなどのアプ リ ケーシ ョ ン向けに非同期データ リ カバ リ を可能にする特別なオーバーサンプ リ ング

モードがあ り ます。 ソース同期およびシステム同期のインターフェイスは、 ISERDES、 OSERDES、お

よび入力/出力遅延ブロ ッ クの豊富な機能を使用してインプ リ メ ン ト されます。 7 シ リーズ アーキテク

チャには I/O への専用クロ ッ ク コネクティビティが追加されているため、これらの機能がさらに強化さ

れます。

7 シ リーズおよび Zynq EPP の ISERDES でサポート されているも う 1 つの機能と して Bitslip があ り ま

す。 この機能を使用するこ とによって、 FPGA ファブリ ッ クに入るパラレル データ ス ト リームの順序を

並べ替えるこ とができます。 これは、ト レーニング パターンを含むト レーニング ソース同期インターフェ

イスに使用できます。また ISERDES は、OCLK 入力ピンを含む専用回路を介してス ト ローブ ベースのメ

モリ インターフェイスをサポート し、 ISERDES ブロッ ク内でス ト ローブ ド メ インから FPGA クロ ッ ク

ド メ インへの切り換えを行います。 これによ り、 性能が向上し、 インプリ メンテーショ ンがシンプルにな

り ます。 さ らに ISERDES は、 ネッ ト ワーク インターフェイス、 DDR3 とその他のス ト ローブ ベースの

メモリ インターフェイス、 そして QDR メモリ インターフェイスに対応するモードに加えて非同期イン

ターフェイス用のオーバーサンプリングをサポート しています。

7 シ リーズ FPGA でインプ リ メ ン ト される ISERDES を厳密には ISERDES2 といい、 従来のザイ リ ン

クス FPGA に搭載されている旧バージ ョ ンと区別しています (図 4 参照)。

Page 8: 7 シリーズ アーキテクチャの I/O メモリ インター …...2 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日概要 概要 デザインを別のデバイスへ移行する場合に

8 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日

7 シリーズ FPGA および Zynq EPP における I/O 課題への取り組み

ISERDES2 の逆バージ ョ ンの OSERDESE2 は、 高速ソース同期インターフェイスのインプリ メンテー

シ ョ ンを容易にするよ う設計された、 固有のク ロ ッキングと ロジッ ク リ ソースを備えたパラレル-シ リ

アル コンバーターです。

シ リ アライズ/デシ リ アライズ機能は一般に、SPI4.1 および SPI4.2 の LVDS インターフェイスで使用さ

れます。 DAC、 ADC、 およびフラ ッ ト パネル ディ スプレイのアプリ ケーシ ョ ンには、 10:1 や 14:1 などのよ り 幅の広いシ リ ア ラ イ ズ/デシ リ ア ラ イズ機能が用いられ、 さ らにマス ターと ス レーブの

ISERDES ペアまたは OSERADES ペアのカスケード接続を活用できる LVDS も使用します。

すべての OSERDESE2 モジュールには、 データおよびト ラ イステート制御用の専用シ リ アライザーが

あ り ます。データ シ リ アライザーと ト ラ イステート シ リ アライザーは共に SDR または DDR モードに

設定できます。 大 8:1 までのデータのシ リ アライズが可能です (OSERDESE2 の幅拡張機能を使用し

た場合は 10:1 および 14:1)。 ト ラ イステートのシ リアライズは 大 14:1 です。 専用の DDR3 モードが

高速メモ リ アプリ ケーシ ョ ンをサポート します。 図 5 に、 OSERDESE2 ブロ ッ クの主なコンポーネン

ト と機能をすべて示します。

X-Ref Target - Figure 4

図 4 : ISERDES2 ブロック図

WP393_04_042111

OFB

O

IOBMultiplexers

DDLY SHIFTIN1/2

SHIFTOUT1/2

Q1:Q8CE1

CEModule

Serial-to-Parallel

Converter

BitslipModule

CE2

RST

BITSLIP

D

DYNCLKSEL

CLKB

CLK

DYNCLKDIVSEL

CLKDIV

CLKDIVP

OCLK

Page 9: 7 シリーズ アーキテクチャの I/O メモリ インター …...2 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日概要 概要 デザインを別のデバイスへ移行する場合に

7 シリーズ FPGA および Zynq EPP における I/O 課題への取り組み

WP393 (v1.0) 2011 年 6 月 14 日 japan.xilinx.com 9

これらのブロ ッ クの詳細は、 『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471)を参照し

て ください。

表 2 では、 7 シ リーズ FPGA および Zynq EPP の HP I/O バンク と HR I/O バンクの I/O でサポート さ

れるインターフェイスの一部を示します。

X-Ref Target - Figure 5

図 5 : OSERDES2 ブロック図

WP393_05_033011

OCED1D2D3D4

D5D6D7

OQ

OFBD8

DataParallel-to-Serial

Convert

TCETBYTEIN

T1-T4

CLK

CLKDIV

RST

TFBTBYTEOUTTQ

3-StateParallel-to-Serial

Converter

表 2 : 7 シリーズ FPGA でサポート される I/O インターフェイスの例

アプリケーシ ョ ンシングルエンドまたは差動

HP I/O バンク HR I/O バンク

メモリ インターフェイス シングルエンド 高性能 DDR2、 DDR3、 QDR2+、RLDRAM2、 RLDRAM3、 LPDDR2

高性能 DDR2、 DDR3、 QDR2+、RLDRAM2、 RLDRAM3、 LPDDR2

ネッ ト ワーク インターフェイス

差動 SPI4.2 および SGMII インターフェイ

スの LVDS SPI4.2 の LVDS (ただし、 低性能)

シングルエンド N/A RGMII

特殊な高速インターフェイス 差動 高 1,600Gb/s の ASIC/ASSP N/A

民生用ビデオ (例 : フラ ッ ト パネルおよび

センサー インターフェイス)

差動 1,600Gb/s の標準 LVDS 高 1,055Gb/s の LVDS、sub-LVDS、TMDS、 Mini-LVDS、 PPDS

DAC/ADC シングルエンド、

差動

高性能 DAC/ADC 汎用 DAC/ADC

汎用 I/O シングルエンド 高速プロセッサ I/O および高速フラ ッ

シュ メ モ リ (デュアル/ ク ワ ッ ド SPIなど)

レガシー プロセッサと

フ ラ ッ シ ュ メ モ リ ( 高 3.3V の

LVCMOS を使用)

レガシー フラ ッシュ メモ リ シングルエンド N/A NOR Flash および ZBT SRAM(3.3V/2.5V)

PCI33/66 シングルエンド 使用不可 使用可能

Page 10: 7 シリーズ アーキテクチャの I/O メモリ インター …...2 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日概要 概要 デザインを別のデバイスへ移行する場合に

10 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日

7 シリーズ FPGA および Zynq EPP の高性能メモリ インターフェイス

7 シリーズ FPGA および Zynq EPP の高性能メモリ インターフェイス

7 シ リーズ FPGA および Zynq EPP には、 高性能メモ リ サブシステムへのインターフェイスを単純に

するために設計された新しいブロ ッ クがいくつかあ り ます。

メモリ インターフェイスの設計課題高性能メモ リ インターフェイスを実現するには、 いくつかの課題があ り ます。

• 1,866Mb/s のキャプチャ フ リ ップフロ ップ (FF) で、データ アイの中央にキャプチャ ク ロ ッ ク エッ

ジを位置させる

• プロセス、電圧、温度 (PVT) の影響を受けるデータ アイに対してキャプチャ ク ロ ッ ク エッジを保

持する

• 受信した I/O データをその他の FPGA システムと同期させる (例 : PHY キャプチャ ド メ インと固

定のファブリ ッ ク ク ロ ッキング ド メ イン間の転送)

• メモ リ インターフェイスのデータ ク ロ ッ クを 90° シフ ト させた書き込みクロ ッ クを提供する

メモ リの各バイ トに使用される DQS ク ロ ッ クは不連続であ り、 各バイ トやメモ リ インターフェイスそ

れぞれのランクでタイ ミ ングが異なるため、 上述の 初の 2 つは特に難しい課題とな り ます。

多くの I/O インターフェイスでは、高速でかつジッターのない、同位相のクロ ッ クが求められます。7 シ

リーズ FPGA 以前のアーキテクチャでは、 シ リ アル ト ランシーバーと メモ リ インターフェイス間です

べてのク ロ ッ ク リ ソースが共有されなければな り ません。 ミ ッ ク ス ド モード ク ロ ッ ク マネジャー

(MMCM) のよ うな リ ソースには、 FPGA ファブリ ッ クでは必要ですが、 DDR3 などのメモ リ インター

フェイスのインプ リ メ ン ト には必要のない機能が含まれています。 たとえばメモ リ インターフェイス

は、 不連続で各バイ ト レーンによってタイ ミ ングが異なる DQS エッジに合わせて動作する必要があ り

ます。また、PHY や FPGA ファブ リ ッ クには、任意のさまざまな位相のクロ ッ クがあ り ます。 したがっ

て メモ リ インターフェ イ スに対しては、 7 シ リ ーズ FPGA および Zynq EPP は PHY タ イ ミ ング と

FPGA ファブリ ッ ク タイ ミ ングを切り離します。

7 シ リーズ FPGA および Zynq EPP には新しいハード ブロ ッ ク (Phaser、 I/O FIFO、 I/O PLL) が追加

されているため、 このよ うな難しい課題への対応が容易になり ます。 これらすべてのブロ ッ クは、 機能

強化された CMT の中、 あるいはその隣に配置されます。 CMT には、 Virtex-6 FPGA が備えていた従

来型の MMCM が含まれています。

その他の方法より優れた点7 シ リーズ FPGA および Zynq EPP の新しいブロ ッ ク (Phaser、 I/O FIFO、 I/O PLL) は、 よ り高い精度

でク ロ ッ クのタイ ミ ングを制御できます。 また、 これらのブロ ッ ク と ISERDES や ODERSED ブロ ッ

クを使用した場合、 よ り高い入力周波数 (1,866Mb/s の DDR3 の場合、 高 933MHz) にも対応でき、

Virtex-6 FPGA の場合よ り も細かい位相シフ トが可能になり ます。

高性能メモ リ インターフェイスで使用される新しいハード ウェア ブロ ッ クには、 安定したバイアス電

圧に基づいて PVT が補正された遅延ラインが含まれます。メモ リの読み出しでは、遅延ラインには DQS入力に対してキャ リブレート された初期遅延と動作中の調整に対応する位相検出回路があ り ます。 この

遅延ラインは、 2 つのステージに渡って長い遅延を与えるこ とができ、 専用配線および安定したバイア

ス電圧によるク リーンな信号を出力できます。

メモ リ インターフェイス ジェネレーター (MIG) ツールで生成されたメモ リ インターフェイスは 大

72 ビッ トで構成され、 72 ビッ トの場合には複数の I/O バンクに及びます。 外部メモ リの複数のバンク

および複数の I/O バンクにまたがる複数のクロ ッ ク領域は、メモ リ インターフェイス用の専用 DQS I/Oピンや Phaser ブロ ッ ク との直接接続といった新しいクロ ッキング リ ソースでサポート されます。また、

これらは BUFIO および BUFR (Virtex-6 FPGA で使用) でもサポート され、すべての I/O ク ロ ッキング

要件に対応するよ う MMCM へ接続されます。

その他の優れた点と して、直接接続や高速クロ ッキング リ ソースの専用配線によって、 スキューが適切

に制御されます。

Page 11: 7 シリーズ アーキテクチャの I/O メモリ インター …...2 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日概要 概要 デザインを別のデバイスへ移行する場合に

7 シリーズ FPGA および Zynq EPP の高性能メモリ インターフェイス

WP393 (v1.0) 2011 年 6 月 14 日 japan.xilinx.com 11

CMT および Phaser ブロック

7 シ リーズ アーキテクチャの CMT ブロ ッ クは、 大き く改善されています。 以前のザイ リ ンクス FPGAに搭載されている CMT は多機能 PLL である MMCM のみで構成され、 コア全体に配置されていまし

た。

7 シ リーズ FPGA および Zynq EPP の場合、 各 CMT には MMCM のほかに、 新しい Phaser ブロ ッ ク

と PLL 機能が含まれているため、 特に高性能メモ リ インターフェイスに適しています。 Phaser ブロ ッ

クは、 高性能 I/O PLL、 位相制御ブロ ッ ク、 4 つの Phaser_IN ブロ ッ ク、 4 つの Phaser_OUT ブロ ッ ク

などの複数のブロ ッ クで構成されています。 Phaser_IN ブロ ッ クは、 メモ リ読み出しを DQS と同期さ

せる ために、 DQS 入力に応答し て入力 FIFO と ISERDES ブロ ッ ク の ク ロ ッ ク を生成し ます。

Phaser_OUT ブロ ッ クは、 メモ リ書き込みのタイ ミ ング を生成します。 また、出力 FIFO と OSERDESブロ ッ クのクロ ッ ク も生成し、 ク ロ ッ ク とデータを 90° 位相シフ ト させたまま保持します。

7 シ リーズ アーキテクチャの CMT は I/O カラムの隣に移動されました。つま り、FPGA の左右で各 I/Oバンクに対して 1 つずつ配置されています。 以前のザイ リ ンクス FPGA では、 CMT がコア全体に配置

されていました。 CMT を物理的に I/O の近くに配置するこ とによって、 ク ロ ッ ク パスが短縮し、 バイ

ト レーン DQS からの位相検出リ ファレンス パスとキャプチャ ク ロ ッ ク データ パスの一致が容易にな

り ます。 7 シ リーズ FPGA および Zynq EPP に関するその他の利点と して、 大規模な 72 ビッ ト DDR3メモ リ インターフェイスに PHY レベルで 36 以上のクロ ッ ク ド メ インがあるこ とです。 これらのド メ

インは新しいブロ ッ クで適切に管理されるため、 BUFG、 BUFR、 または MMCM などの汎用クロ ッ ク

リ ソースは不要です。

図 6 に、 I/O バンク、 新しい I/O FIFO、 CMT、 および新しい Phaser ブロ ッ クを拡大した 7 シ リーズ

FPGA の配置図を示します。各 I/O バンクには、I/O およびファブリ ッ ク リ ソースに隣接する入力 FIFO(IN_FIFO) と出力 FIFO (OUT_FIFO) が 4 つずつあり、 1 つのバイ ト レーンで 大 10 個の I/O を接続

します。

X-Ref Target - Figure 6

図 6 : I/O バンク、 I/O FIFO、 CMT、 および Phaser ブロックの拡大図

HR

or

HP

I/O

Ban

k (5

0 I/O

s)

IO_PLL

DQS forRead/Write

MMCM

PHY_Control

Phaser_IN

Phaser_OUT

I/OFIFO

I/OFIFO

I/OFIFO

I/OFIFO

Phaser_IN and Phaser_OUT

Phaser_IN and Phaser_OUT

Phaser_IN and Phaser_OUT

Pha

ser

CM

T

Expanded View ofI/O Bank and CMT

WP393_06_040711

To/

Fro

m M

emor

y C

ontr

olle

r

GT

X Q

ua

dTr

an

sce

ive

r

Fabric ResourcesIncludes Logic, Block RAM,

DSP, and Core Clocking

HR

I/O

Ba

nk

CM

T

HR

I/O

Ba

nk

CM

T

HR

I/O

Ba

nk

CM

T

HR

I/O

Ba

nk

CM

T

HR

I/O

Ba

nk

CM

T

HP

I/O

Ba

nk

CM

T

HP

I/O

Ba

nk

CM

T

HP

I/O

Ba

nk

CM

TG

TX

Qu

ad

Tra

nsc

eiv

er

Fabric ResourcesIncludes Logic, Block RAM,

DSP and Core Clocking

Fabric ResourcesIncludes Logic, Block RAM,

DSP, and Core Clocking

Fabric ResourcesIncludes Logic, Block RAM,

DSP, and Core Clocking

Fabric ResourcesIncludes Logic, Block RAM,

DSP, and Core Clocking

DDR Data

DDR Data

DDR Data

DDR Data

Page 12: 7 シリーズ アーキテクチャの I/O メモリ インター …...2 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日概要 概要 デザインを別のデバイスへ移行する場合に

12 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日

7 シリーズ FPGA および Zynq EPP の高性能メモリ インターフェイス

メモ リ インターフェイス以外のブロ ッ クは、 MMCM を使用した従来の方法でクロ ッ クを供給でき、入

力ク ロ ッ ク と出力ク ロ ッ クは、 Virtex-6 FPGA などの以前のザイ リ ンクス FPGA と同じ I/O ク ロ ッ ク

コネクティビティを備えているこ とにも注目して ください。

IO_PLL、 Phaser_IN、 および Phaser_OUTPhaser ブロ ッ クの一般的な機能および I/O へのコネクテ ィ ビテ ィやク ロ ッキング リ ソースは、 DDRメモ リ インターフェイスのバイ ト ク ロ ッ ク構造を向上させるものであ り、バイ ト幅データ チャネルに

適しているため非常に有用です。 また、 専用のク ロ ッキング パスによって、 ク ロ ッ ク ツ リーが小型化

し、 ク ロ ッ ク負荷が軽減されるだけでな く、 Phaser_IN や Phaser_OUT ブロ ッ クで各バイ ト入力およ

び出力 DQS のアライ メ ン トやト ラ ッキングが維持されます。 これらの Phaser ブロ ッ クはメモ リ イン

ターフェイス専用の機能であるため、 自動で設定され、 通常はザイ リ ンク ス ISE® Design Suite に含

まれる MIG ツールなどのザイ リ ンクス IP コアでのみサポート されます。

Phaser ブロッ クでは、バイ ト単位でクロ ッ クをアライ メン トできるため、細かい位相調整が可能となり、

メモ リ ク ロ ッ ク周期とは無関係の、 固定のタ ップ遅延ではなく 1 ク ロ ッ ク周期に 128 タ ップの遅延を

与えるこ とができます。

Phaser 内の新しいブロッ クの 1 つに IO_PLL があ り ます。 これは MMCM を単純にしたブロ ッ クです。

MMCM で可能なすべての分周および逓倍周波数、 またはスケーリ ングされた出力周波数を提供するの

ではなく、1,866Mb/s の DDR3 メモ リ インターフェイス用に 高 933MHz の出力周波数を生成します。

このブロ ッ クは外部メモ リ デバイスの CLK をソース と し、Phaser_IN および Phaser_OUT ブロ ッ クに

は専用の周波数リ ファレンスを、 ファブ リ ッ クには分周ク ロ ッ クを提供します。 インターフェイスで

IO_PLL ブロッ クを使用しない場合は、 別の用途に使用できます。

Phaser 内にはも う 1 つ新しい PHY_CONTROL とい うブロ ッ クがあ り ます。 ユーザーは、 このブロ ッ

クへアクセスできません。PHY_CONTROL ブロ ッ クがメモ リ コン ト ローラーから PHY 制御ワードを

受信し、 PHY コマンド ワードによる要求に応じてメモ リ PHY 内でデータ転送を開始します。 このブ

ロ ッ クが Phaser_IN および Phaser_OUT ブロ ッ クへ読み出し /書き込みト ランザクシ ョ ンの開始信号を

送るこ とで、 これらのブロ ッ クは、 I/O SERDES と I/O FIFO 間のデータ転送で必要なクロ ッ ク信号と

制御信号を生成するタイ ミ ングを把握できます。 また、 メモ リ読み出し ト ランザクシ ョ ンが事前に通知

されるこ とで、 Phaser_IN は DQS 信号のエッジ検出動作の準備が可能にな り、 アクセスされている メ

モ リ ランクを把握できます。

Phaser_IN ブロ ッ クは、 キャ リブレーシ ョ ンやメモ リ読み出し動作中に入力される DQS ク ロ ッ クに対

して動的にロ ッ クするために使用されます。 さ らに、 IN_FIFO および ISERDES ブロ ッ クへ専用のク

ロ ッ ク信号を提供し、 PHY での正確なタイ ミ ング制御、 シ リ アル データの取得、 およびパラレル デー

タの PHY で揃えられたタイ ミ ングを達成して メモ リ読み出し時のデータ キャプチャをサポート しま

す。 Phaser_IN ブロ ッ クには、 DDR3 の読み出しデータのキャプチャ ク ロ ッキングに使用される PVT安定回路が含まれています。 この回路は、 DQS 信号の位相検出や PVT によって変動する DQS の位置

を動的にト ラ ッキングし、 サンプリ ング ク ロ ッ クをデータ アイの中央にシフ ト します。

Phaser_OUT は、 データ バイ ト グループごとに出力される DQS 信号を、 バイ ト グループごとにすば

やく CLK タ イ ミ ングを実現するよ うに補正された各バイ トのメモ リ CLK に揃えるために使用されま

す。 また、 OSERDES には、 メモ リ書き込み用のシ リ アル データの出力データに対して正確に 90° シフ ト した DQS 出力クロ ッ クを与え、OSERDES のパラレル側や OUT_FIFO の PHY 側には分周クロ ッ

クを与えます。 Phaser_IN と同様に、 Phaser_OUT にも同じ PVT 安定回路が含まれているため、 外部

DDR メモ リへ送信される CLK に対して、 出力クロ ッ ク とデータのタイ ミ ングが一定に保持されます。

図 7 に、IO_PLL、Phaser_IN、および Phaser_OUT ブロ ッ クの入力および出力を表した略図を示します。

Page 13: 7 シリーズ アーキテクチャの I/O メモリ インター …...2 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日概要 概要 デザインを別のデバイスへ移行する場合に

7 シリーズ FPGA および Zynq EPP の高性能メモリ インターフェイス

WP393 (v1.0) 2011 年 6 月 14 日 japan.xilinx.com 13

I/O 固有の FIFO (IN_FIFO および OUT_FIFO)I/O FIFO は、通常 FIFO を使用せずに PHY 側とファブリ ッ ク側のクロ ッ ク ド メ インを繋ぐこ とによっ

て生じ る システムのタ イ ミ ング問題を解決し ます。 入力 FIFO (IN_FIFO) および出力 FIFO(OUT_FIFO) はそれぞれ 4 つずつあり ます。これらの FIFO は、 メモ リ インターフェイスで使用される

I/O バンク内にある 4 つのバイ ト グループの 1 つに相当する特定 I/O へ 大 10 個まで接続されます。

これらの FIFO が、 PHY の可変位相クロ ッ ク ド メ インとファブ リ ッ クの固定位相クロ ッ ク ド メ インを

繋ぎます。 また、 これらの FIFO には、 入力データ用にビッ ト幅拡張機能および出力データ用にビッ ト

幅削減機能があ り ます。 これらのビ ッ ト 幅率は、 入力データの IN_FIFO で 1:2、 出力データの

OUT_FIFO で 2:1 とな り、 設計を容易にするためにファブリ ッ クでのクロ ッ ク周波数を低減できます。

1,866Mb/s の場合でも、 ファブ リ ッ ク ク ロ ッ クの周波数はわずか 233MHz です。

各 I/O バンクではバイ ト グループに IN_FIFO と OUT_FIFO が 1 つずつあり ます、 つま り、 各 I/O バンクには、これらの FIFO が 4 つずつ備わっています。また、I/O FIFO は 8 ワードの深さがあ り、FILL、EMPTY などの一般的な FIFO フラグを提供します。

IN_FIFO および OUT_FIFO には、 PHY (物理層側) のクロ ッキング専用のパスがあ り、 IN_FIFO には

Phaser_IN ブロ ッ クから、 OUT_FIFO には Phaser_OUT ブロ ッ クから配線されています。 それぞれの

Phaser から来る これらの ク ロ ッ クは、 同じバイ ト グループ内にあ る対応する ISERDES および

OSERDES へ送られます。 図 8 および図 9 に、 基本的なデータ経路を示します。 図 8 には、 IN_FIFOを使用したメモ リ読み出し動作時の DDR3 の入力ト ラフ ィ ッ ク フロー、 そしてファブリ ッ ク ベースの

メモ リ コン ト ローラーから分離された I/O Physical (PHY ド メ イン) を示しています。

X-Ref Target - Figure 7

図 7 : 7 シリーズ FPGA の IO_PLL、 Phaser_IN、 および Phase_OUT の接続 (簡略図)

Phaser_IN andPhaser_Out

( X4 )

Phase Reference for Phaser_INfrom DQS for Memory Reads

Frequency Reference

Clock Output 1X

Clock Output Divided

Lock

IO_PLL

System Clock Input

WP393_07_033011

Clock Output(Frequency Reference)

Clock Output Divided to Fabric

Lock

Page 14: 7 シリーズ アーキテクチャの I/O メモリ インター …...2 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日概要 概要 デザインを別のデバイスへ移行する場合に

14 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日

7 シリーズ FPGA および Zynq EPP の高性能メモリ インターフェイス

図 9 には、 OUT_FIFO を使用したメモ リ書き込み動作時の DDR3 の出力ト ラフ ィ ッ ク フロー、そして

ファブリ ッ ク ベースのメモ リ コン ト ローラーから分離された I/O Physical (PHY ド メ イン) を示してい

ます。

X-Ref Target - Figure 8

図 8 : メモリ読み出し動作の DDR3 入力ト ラフ ィ ッ ク フロー

4X IN_FIFOs per I/O Bank 1 per DQS Group

IN_FIFO

RESET

Phaser_IN

MemoryController

WP393_05_040711

IOC

LK_R

X

ISERDES1:4 DDR

ISERDES1:4 DDR

DQ7

DQ0

I/O Physical Fabric

D0[3:0]

D1[3:0]

D2[3:0]

D3[3:0]

D4[3:0]

D5[3:0]

D6[3:0]

D7[3:0]

D8[3:0]

D9[3:0]

INPUT_BYPASS

FULL

ALMOST_FULL

WR_EN

WR_CLK

EMPTY

ALMOST

RD_EN

CLKOUT0/8

Q0[7:0]

Q1[7:0]

Q2[7:0]

Q3[7:0]

Q4[7:0]

Q5[7:0]

Q6[7:0]

Q7[7:0]

Q8[7:0]

Q9[7:0]

OUTPUT_BYPASS

FLAGS_BYPASS

EMPTY

ALMOST_EMPTY

RD_EN

RD_CLK

32-Bit Data Bus Flows through 4 IN_FIFOs for a Memory Read

Page 15: 7 シリーズ アーキテクチャの I/O メモリ インター …...2 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日概要 概要 デザインを別のデバイスへ移行する場合に

新しい Phaser および I/O サブシステムで実現するメモリ インターフェイス

WP393 (v1.0) 2011 年 6 月 14 日 japan.xilinx.com 15

新しい Phaser および I/O サブシステムで実現するメモリ インターフェイス

新たに追加された高性能 Phaser および I/O FIFO ブロ ッ クは、 高性能 DDR3 インターフェイスを構築

する上で非常に理想的なハード ブロ ッ クです。 I/O FIFO ブロ ッ クが追加されたこ とで、 高速 DDR3 インターフェイスで求められる厳しい要件を満たすために I/O ブロ ッ ク と密接結合されている CMT ブロ ッ クのタ イ ミ ングやコアのタ イ ミ ングから物理層のタイ ミ ングが切り離されます。 I/O、 I/O FIFO、

Phaser 間の専用クロ ッキング リ ソースおよびそれらの接続では、 36 の PHY ド メ イン ク ロ ッ クが使用

され、 FPGA のほかの通常クロ ッ ク機能に負荷を掛けるこ とな く高性能動作が実現します。

このよ うな新しい構造は、 Artix-7、 Kintex-7、 Virtex-7 FPGA、 および Zynq EPP ですべて統一されて

います。 ブロ ッ クや構造が統一されたこ とで、 IP 開発がよ り迅速かつ容易にな り、 ザイ リ ンクス 7 シリーズ デバイス間での IP の移行が可能になり ました。

実際の使用において、 Phaser コンポーネン ト、 I/O FIFO、 IO_PLL、 高速クロ ッ ク配線、 およびメモ リ

コン ト ローラーは、 さまざまなメモ リ タイプ、 バンド幅、 ビッ ト幅に応じて、 メモ リ インターフェイ

ス ジェネレーター (MIG) IP コアで自動生成されます。 図 10 に、 DDR3 メモ リ インターフェイスの基

本的なブロ ッ ク図を示します。

X-Ref Target - Figure 9

図 9 : メモリ書き込み動作の DDR3 出力ト ラフ ィ ッ ク フロー

4X OUT_FIFOs per I/O Bank 1 per DQS Group

OUT_FIFO

RESET

Phaser_OUT

MemoryController

WP393_06_040711

IOC

LK_T

X

ISERDES1:4 DDR

ISERDES1:4 DDR

DQ7

DQ0

I/O Physical Fabric

Q0[3:0]

Q1[3:0]

Q2[3:0]

Q3[3:0]

Q4[3:0]

Q5[3:0]

Q6[3:0]

Q7[3:0]

Q8[3:0]

Q9[3:0]

OUTPUT_BYPASS

FLAGS_BYPASS

EMPTY

ALMOST_EMPTY

RD_EN

RD_CLK

FULL

ALMOST

SR_EN

CLKOUT0/8

D0[7:0]

D1[7:0]

D2[7:0]

D3[7:0]

D4[7:0]

D5[7:0]

D6[7:0]

D7[7:0]

D8[7:0]

D9[7:0]

INPUT_BYPASS

FULL

ALMOST_FULL

WR_EN

WR_CLK

32-Bit Data Bus Flows through 4 OUT_FIFOs for a Memory Write

Page 16: 7 シリーズ アーキテクチャの I/O メモリ インター …...2 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日概要 概要 デザインを別のデバイスへ移行する場合に

16 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日

新しい Phaser および I/O サブシステムで実現するメモリ インターフェイス

DDR2/DDR3 メモ リ デバイスのクロ ッ ク生成、 メモ リ読み出し、 およびメモ リ書き込みの適切な接続

は、7 シ リーズ FPGA および Zynq EPP でサポート されるすべてのメモ リ コンフ ィギュレーシ ョ ンに応

じて MIG ツール (ザイ リ ンクス ISE Design Suite に含まれる) で自動生成されます。

DDR メモリ デバイス用の CLK 生成IO_PLL は、 I/O バンクの CCIO (ク ロ ッ ク兼用 I/O) へ渡すシステム ク ロ ッ クを受信、 または MMCMから ク ロ ッ クを受信してロ ッ ク します。 また、 ファブ リ ッ ク用にメモ リ ビッ ト レートの 1/8 で分周し

たク ロ ッ ク出力を生成し、 1,866Mb/s の DDR3 メモ リ インターフェイスの場合は 大 233MHz のク

ロ ッ クを BUFG に提供します (IO_PLL 接続は図 7 を参照、DDR2/DDR3 全体の I/O 接続は図 10 を参

照)。 IO_PLL はさらに、 1,866Mb/s の DDR3 に対して、 大 933MHz の高速クロ ッ ク出力から 大 3つの I/O バンクに周波数リ ファレンスを生成し、 PHASER_IN ブロ ッ クおよび PHASER_OUT ブロ ッ

クへ提供します。

X-Ref Target - Figure 10

図 10 : DQ/DQS インターフェイス、 Phaser、 I/O FIFO、 およびメモリ コン ト ローラーへの DDR2/DDR3 接続 (簡略図)

Fabric

MemoryController

PLL

PHY_CONTROL

Phaser_INgeneratescapture

clock usingDQS

Phaser_OUTgeneratesoutgoing

DQS

Phaser_INISERDES1:4 DDR

Phaser_OUTOSERDES4:1 DDR

IDELAY

IN_FIFO1:2

OUT_FIFO2:1

ODDR

CMT I/O

DQS

SystemClock

CLKOUT

BUFG

DQ

WP393_10_040711

DQS#

Page 17: 7 シリーズ アーキテクチャの I/O メモリ インター …...2 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日概要 概要 デザインを別のデバイスへ移行する場合に

まとめ

WP393 (v1.0) 2011 年 6 月 14 日 japan.xilinx.com 17

DDR メモリの読み出しDDR メモ リの読み出しでは、 1,866 Mb/s の DDR3 メモ リ インターフェイスの場合、 大 933MHz で動作するレーンの Phaser_IN ブロ ッ クの PHASEREF 入力へ DQS 信号が送られます (IO_PLL 接続は

図 7 を参照、DDR2/DDR3 全体の I/O 接続は図 10 を参照)。IO_PLL は、使用されている各バイ ト レー

ンの Phaser_IN ブロ ッ クの周波数リ ファレンス入力へクロ ッ クを送り ます。入力 DQS が有効になる と、

まずは 初のキャ リブレーシ ョ ン時に、次にメモ リ読み出し時に Phaser_IN ブロ ッ クがそのバイ ト レー

ンの位相リ ファレンス入力 (DQS) 信号と同期して、アライ メン ト値 (遅延値) を格納します。Phaser_INは、 DQS に位相が一致した連続する高速出力を生成します。 これは、 読み出し中の DQS 入力を遅延さ

せたものです。読み出し直後は、 DQS が無効になっても高速出力は継続します。 また、分周信号が生成

されます。不連続 DQS 信号の延長を使用して、 さまざまなフ リ ップフロ ップのデータをフラ ッシュし、

ISERDES から IN_FIFO へのパラレル転送を完了します。バイ ト レーンの 8 または 9 つの DQ0-7/8 入力が 8 または 9 セッ ト の IDELAY および ISERDES を通ってキャプチャ され、 このバイ ト レーンの

IN_FIFO を駆動します。 Phaser_IN は、 IN_FIFO のデータ キャプチャ用に書き込みイネーブル信号も

提供します。 読み出しプロセスでは、 Phaser_IN、 IN_FIFO、 IDELAY グループ、 および ISERDES ブロ ッ クをそれぞれ 大 4 つまで同時に実行し、 1 つの I/O バンクで 大 36 の入力を読み出すこ とがで

きます。

DDR メモリへの書き込みDDR メモ リへの書き込みでは、 IO_PLL が、 任意の I/O バンクで使用されている各バイ ト レーンの

Phaser_OUT ブロ ッ クの周波数リ ファレンス入力へクロ ッ クを送り ます。 Phaser_OUT はバイ トの位置

に応じて、書き込みレべリ ングや迅速なタイ ミ ング生成を行います。これらの遅延値は格納されて、PVTによって変動しながら ト ラ ッキングされます。 そして、 Phaser_OUT がこのタイ ミ ングを利用して、 メ

モ リ ビッ トへのシ リ アル データ出力と OSERDES へのパラレル データ入力の高速クロ ッ クおよび分周

クロ ッ クを生成します。高速 Phaser_OUT 信号を 90° シフ ト したものが DQS 出力に使用されます。DQSのト ライステート制御と メモ リ書き込み用のデータ出力も生成され、 Phaser_OUT ブロ ッ クが読み出し

を実行して OUT_FIFO を有効にし、 OUT_FIFO から OSERDES へデータを転送します。 バイ ト レー

ンの 8 または 9 つの DQ0-7/8 出力は、このバイ ト レーンの OUT_FIFO によって駆動された後、8 また

は 9 セッ トの OSERDES を通って生成されます。書き込みプロセスは、 Phaser_OUT、 OUT_FIFO、お

よび OSERDES ブロ ッ クを 大 4 つまで同時に実行し、 1 つのバンクで 大 36 の入力を読み出すこ と

ができます。

メモ リ インターフェイスの傾向およびザイ リ ンクスの取り組みについては、 ホワイ ト ペーパー WP383『Virtex-7 および Kintex-7 FPGA で高性能 DDR3 データレート を実現』 (日本語版) を参照して くださ

い。

まとめ

7 シ リーズ FPGA の I/O 機能とその特徴は、 メモ リ インターフェイス、 ネッ ト ワーク、 レガシー イン

ターフェイス、 あるいは 9 ページの表 2 に示したアプリ ケーシ ョ ンなど、幅広い要件を満たすよ うに

適化されています。

7 シ リーズ デバイスの統一アーキテクチャは、 Artix-7、 Kintex-7、 Virtex-7 FPGA、 そして Zynq EPPの FPGA 部分に適用されています。物理的 I/O 機能および論理的 I/O 機能が同じアーキテクチャで構成

されているだけでなく、 メモ リおよびインターフェイスに I/O 機能が強化され、 新しい高性能ブロ ッ ク

(I/O FIFO、I/O PLL) も追加されているため、非常に高い性能が実現します。統一されたアーキテクチャ

(7 シ リーズのほとんどは、 Virtex-6 FPGA と同じアーキテクチャを使用) によ り、 7 シ リーズ FPGA やZynq EPP を使用する製品の開発および展開に必要となる投資コス ト を抑えるこ とができ、 デザインの

移行も容易になり ます。

7 シ リーズ FPGA や Zynq EPP において消費電力を削減するための絶え間ない努力を続けた結果、 I/O部分のみならず 7 シ リーズ FPGA のほかの部分でも電力効率が大幅に改善され、よ り多くの機能を統合

できるよ うにな り ました。

Page 18: 7 シリーズ アーキテクチャの I/O メモリ インター …...2 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日概要 概要 デザインを別のデバイスへ移行する場合に

18 japan.xilinx.com WP393 (v1.0) 2011 年 6 月 14 日

改訂履歴

強化された I/O 機能や新たに追加された高性能 I/O 機能 (Phaser、 I/O FIFO、 I/O PLL、 CMT) によ り、

7 シ リーズ FPGA および Zynq EPP は、高性能メモリ インターフェイス、ネッ ト ワーク、そしてその他

の要件が厳しいアプリ ケーシ ョ ンにおける 高性能 レベルに対応できます。

詳細は、 http://japan.xilinx.com/technology/roadmap/7-series-fpgas.htm を参照してください。

改訂履歴

次の表に、 この文書の改訂履歴を示します。

Notice of DisclaimerThe information disclosed to you hereunder (the "Materials") is provided solely for the selection and useof Xilinx products.To the maximum extent permitted by applicable law:(1) Materials are made available"AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES AND CONDITIONS,EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OFMERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE;and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any othertheory of liability) for any loss or damage of any kind or nature related to, arising under, or in connectionwith, the Materials (including your use of the Materials), including for any direct, indirect, special,incidental, or consequential loss or damage (including loss of data, profits, goodwill, or any type of lossor damage suffered as a result of any action brought by a third party) even if such damage or loss wasreasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes noobligation to correct any errors contained in the Materials, or to advise you of any corrections orupdate.You may not reproduce, modify, distribute, or publicly display the Materials without prior writtenconsent.Certain products are subject to the terms and conditions of the Limited Warranties which can beviewed at http://www.xilinx.com/warranty.htm; IP cores may be subject to warranty and support termscontained in a license issued to you by Xilinx.Xilinx products are not designed or intended to be fail-safeor for use in any application requiring fail-safe performance; you assume sole risk and liability for use ofXilinx products in Critical Applications:http://www.xilinx.com/warranty.htm#critapps.

本資料は英語版 (v1.0) を翻訳したもので、 内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあ り ます。日本語版は参考用と してご使用の上、 新情報につきましては、 必ず 新英語版をご参照ください。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、[email protected] までお知らせください。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受け付けており ません。 あらかじめご了承ください。

日付 バージョ ン 内容

2011/07/14 1.0 初版リ リース