15
Thin Solid Films 449 (2004) 192–206 0040-6090/04/$ - see front matter 2003 Elsevier B.V. All rights reserved. doi:10.1016/j.tsf.2003.10.078 A feature scale model for chemical mechanical planarization of damascene structures Ravi Saxena , Dipto G. Thakurta , Ronald J. Gutmann , William N. Gill * a,b a,b,1 b,c a,b, Department of Chemical Engineering, Rensselaer Polytechnic Institute, 110, 8th Street, Troy, NY 12180, USA a Center for Integrated Electronics, Rensselaer Polytechnic Institute, 110, 8th Street, Troy, NY 12180, USA b Department of Electrical, Computer and Systems Engineering, Rensselaer Polytechnic Institute, 110, 8th Street, Troy, NY 12180, USA c Received 22 October 2003; received in revised form 22 October 2003; accepted 29 October 2003 Abstract A physically based feature-scale model for chemical mechanical planarization (CMP) of damascene structures is presented. The model incorporates important process parameters such as slurry hydrodynamics, pad properties and the wafer-pad contact. Both chemical and mechanical components of CMP are captured in the present model and are described by separate kinetic parameters. Abrasive effects can be incorporated empirically in the kinetic parameters. The model simplifies the wafer-pad contact problem by assuming effective pad properties, dominated by contributions from pad asperities, rather than those of the bulk pad material. Model results justify this assumption and are compatible with step height evolution, dishing and erosion measured with single-level copper-oxide test structures. Dishing is found to be strongly dependent on line width, while erosion depends mainly on the slurry properties. The framework presented in this paper can be utilized for modeling polishing a variety of materials, with different CMP process conditions. 2003 Elsevier B.V. All rights reserved. Keywords: Chemical mechanical planarization; Tribology; Planarization; Metallization; Pad properties; Computer simulation; Dishing and erosion 1. Introduction Chemical mechanical polishing (CMP) is a technique that has been widely accepted in the semiconductor industry for preparation of silicon substrates (wafers) and the planarization of partially-processed silicon wafers for integrated circuit fabrication. During CMP, a rotating wafer is pressed face down on a rotating pad while a polishing slurry containing chemicals and abra- sive particles is delivered near the center of the polish table. The applied pressure between the carrier and the polish pad plus the relative motion between the wafer and the pad in the presence of the slurry causes material to be removed through a combination of chemical and mechanical processes w1x. *Corresponding author. Tel.: q1-518-276-2880; fax: q1-518-276- 4030. E-mail addresses: [email protected] (W.N. Gill), [email protected] (R. Saxena). Presently at Integrated Process Application Group, Technology 1 CAD Department, Intel Corporation, Hillsboro, OR 97124, USA. Continuous shrinkage of interconnect geometries has created new challenges for CMP technology, such as pattern dependent problems of metal dishing and oxide erosion in the inland metal or damascene polishing process (Fig. 1), global planarization of interlevel di- electric (ILD) layers, and in shallow trench isolation technology (STI) w2x. The CMP process can be divided into broadly two scales, i.e. wafer and feature-scale (Fig. 2). Wafer scale effects include global removal and non- uniformity (NU) across the wafer (length approx. 100 mm), while feature scale effects include local removal rate and NU (length;microns). The inherent disparity in length scales between the wafer and feature scales (more than five orders of magnitude) poses the main obstacle in achieving integrated models. Sometimes an additional scale, i.e. the chip scale, is also discussed along with wafer and feature scales, for effective cou- pling of the different length scale models. For the purposes of this work, we have lumped the chip scale effects together with the feature scale. Although the feature scale has not been studied from a mechanistic

A feature scale model for chemical mechanical planarization of damascene structures

Embed Size (px)

Citation preview

Page 1: A feature scale model for chemical mechanical planarization of damascene structures

Thin Solid Films 449(2004) 192–206

0040-6090/04/$ - see front matter� 2003 Elsevier B.V. All rights reserved.doi:10.1016/j.tsf.2003.10.078

A feature scale model for chemical mechanical planarization ofdamascene structures

Ravi Saxena , Dipto G. Thakurta , Ronald J. Gutmann , William N. Gill *a,b a,b,1 b,c a,b,

Department of Chemical Engineering, Rensselaer Polytechnic Institute, 110, 8th Street, Troy, NY 12180, USAa

Center for Integrated Electronics, Rensselaer Polytechnic Institute, 110, 8th Street, Troy, NY 12180, USAb

Department of Electrical, Computer and Systems Engineering, Rensselaer Polytechnic Institute, 110, 8th Street, Troy, NY 12180, USAc

Received 22 October 2003; received in revised form 22 October 2003; accepted 29 October 2003

Abstract

A physically based feature-scale model for chemical mechanical planarization(CMP) of damascene structures is presented.The model incorporates important process parameters such as slurry hydrodynamics, pad properties and the wafer-pad contact.Both chemical and mechanical components of CMP are captured in the present model and are described by separate kineticparameters. Abrasive effects can be incorporated empirically in the kinetic parameters. The model simplifies the wafer-pad contactproblem by assuming effective pad properties, dominated by contributions from pad asperities, rather than those of the bulk padmaterial. Model results justify this assumption and are compatible with step height evolution, dishing and erosion measured withsingle-level copper-oxide test structures. Dishing is found to be strongly dependent on line width, while erosion depends mainlyon the slurry properties. The framework presented in this paper can be utilized for modeling polishing a variety of materials, withdifferent CMP process conditions.� 2003 Elsevier B.V. All rights reserved.

Keywords: Chemical mechanical planarization; Tribology; Planarization; Metallization; Pad properties; Computer simulation; Dishing anderosion

1. Introduction

Chemical mechanical polishing(CMP) is a techniquethat has been widely accepted in the semiconductorindustry for preparation of silicon substrates(wafers)and the planarization of partially-processed siliconwafers for integrated circuit fabrication. During CMP, arotating wafer is pressed face down on a rotating padwhile a polishing slurry containing chemicals and abra-sive particles is delivered near the center of the polishtable. The applied pressure between the carrier and thepolish pad plus the relative motion between the waferand the pad in the presence of the slurry causes materialto be removed through a combination of chemical andmechanical processesw1x.

*Corresponding author. Tel.:q1-518-276-2880; fax:q1-518-276-4030.

E-mail addresses: [email protected](W.N. Gill),[email protected](R. Saxena).

Presently at Integrated Process Application Group, Technology1

CAD Department, Intel Corporation, Hillsboro, OR 97124, USA.

Continuous shrinkage of interconnect geometries hascreated new challenges for CMP technology, such aspattern dependent problems of metal dishing and oxideerosion in the inland metal or damascene polishingprocess(Fig. 1), global planarization of interlevel di-electric (ILD) layers, and in shallow trench isolationtechnology(STI) w2x. The CMP process can be dividedinto broadly two scales, i.e. wafer and feature-scale(Fig.2). Wafer scale effects include global removal and non-uniformity (NU) across the wafer(length approx. 100mm), while feature scale effects include local removalrate and NU(length;microns). The inherent disparityin length scales between the wafer and feature scales(more than five orders of magnitude) poses the mainobstacle in achieving integrated models. Sometimes anadditional scale, i.e. the chip scale, is also discussedalong with wafer and feature scales, for effective cou-pling of the different length scale models. For thepurposes of this work, we have lumped the chip scaleeffects together with the feature scale. Although thefeature scale has not been studied from a mechanistic

Page 2: A feature scale model for chemical mechanical planarization of damascene structures

193R. Saxena et al. / Thin Solid Films 449 (2004) 192–206

Fig. 1. Step height evolution, dishing and erosion in damascenestructures.

Fig. 2. A schematic representation of typical operating regime in CMPand illustrating both the wafer and feature scales in the process.

point of view until recently, such a model becomes moreimportant with the trend of the decreasing feature sizeson chips. One of the key challenges for the 35 nm node(year 2007), listed in the International Technology RoadMap for Semiconductors(ITRS), is to be able to predictfeature-scale variations across a wafer in CMP.Several CMP models have been published in the

literature with w3–5x presenting a comprehensive sum-mary. On the wafer-scale, studies by Thakurta et al.w6xand Borst et al.w7x have analyzed the chemical aspectsof copper and low dielectric-constant(low-k) materialremoval, respectively, while the mechanical aspects havebeen analyzed by Kim et al.w8x and also by Luo andDornfeld w9x. Given the complicated nature and relative-ly recent inception of CMP, very few physically basedfeature-scale modeling studies have been described.One of the first feature scale models was a phenom-

enological model by Warnockw10x. This simple yetelegant model to describe pattern erosion during CMPhas three key assumptions: first, the lower regions inthe feature are assumed to be shaded from the removalprocess; second, some features are spaced sufficientlyclose, so that no dishing takes place; last, the upperregions of the feature that shade the lower regionsreceive some of the shaded areas lost erosion rate.Although these assumptions are valid in most cases, nophysical explanation was provided. A physically basedflow model for feature-scale CMP was developed byRunnelsw11x, assuming that material removal is causedby fluid shear. The fluid flow in the wafer-pad gap wasmodeled using a two-dimensional Navier Stokes equa-tion. The resulting shear stress was linked empiricallyto a removal rate law, similar to Prestons’s equationw12x. This model did not take into account importantfactors like pad properties, contact and chemical effects.Runnels later modified his model to include Warnock’sformulation of the removal rate processw13x. Althoughthe modified model was able to explain the observablephenomena at that time, this phenomenological modelwas abandoned as the CMP process and consumable

complexity increased. Runnels et al.w14x later proposedan enhanced wafer-scale Preston model that incorporateda non-linear pressure distribution combined with anautomated model validation scheme. This model and theframework presented serves as a good tool for couplingof the wafer and feature scale models.Chekina et al.w15x realized that fluid shear alone is

not sufficient to cause the removal in CMP. Theyvisualized the removal action linked to the local contactpressure on the feature surface. Preston’s equation wasused for describing material removal on the featurescale, with the local pressure being the contact pressure.The contact pressure was calculated assuming a totalwafer-pad contact, an assumption justified in our work.The principal drawback of this model is the lack of amechanistic understanding of the removal process withchemical effects grouped into the proportionality con-stant of the Preston’s equation. Hence, for CMP ofdifferent materials, e.g. in damascene patterning withCMP, the model used an equilibrium condition betweenlocal contact pressures distributions on the differentmaterials. This assumption ignores the fact that a globalcontact pressure distribution may be present. Our modelutilizes a similar contact problem formulation, but weincorporate a fundamentally derived removal rate laww6x.A recent publication by Sukharevw16x describes a

novel physically based model for material removal onan atomistic scale during CMP. The material removalprocess is modeled as surface atom dissolution enhancedby mechanical stressing. Material removal is initiatedby the formation of critical size nuclei(pit) in thepolished material, which grows by means of a stepmotion initiated by the stress-enhanced dissolution ofthe surface atoms. This model does not incorporate padproperties on a fundamental level and the applicabilityto amorphous materials(such as ILDs) is uncertain.Several statistical models have been proposed to

explain the phenomena during feature-scale CMP. Stineet al. w2x developed methods for empirical modeling andpattern dependencies in the CMP process. More recently,Lee et al.w17x followed up this work focusing mainlyon STI CMP characterization. This work utilizes a

Page 3: A feature scale model for chemical mechanical planarization of damascene structures

194 R. Saxena et al. / Thin Solid Films 449 (2004) 192–206

Fig. 3. Scheme showing the wafer-pad regions of contact, whichdepend on feature shape, applied pressure and pad properties. Theeffective pad properties,E andn are dominated by the asperities ratherthan the bulk pad material. The summation of all regions of contact,i.e. wherever the pad touches the wafer surface, is designatedv.

description similar to ours, for the wafer-pad contactproblem, but incorporates an empirical removal rate law,i.e. Preston’s equation, to describe material removal.Both Stine et al.w2x and Lee et al.w17x modeling effortswere empirical in nature and do not aim to provideinsights into the chemical mechanisms involved infeature scale CMP. However, these models do capturethe net effect of the different contributing factors inCMP and are useful both in developing future physicallybased CMP models and planning experiments. Recentworks by Gan et al.w18x and Tugbawa et al.w19x havelooked at step-height variation and their dependence onpattern densities in STI and dual-material damasceneCMP, respectively. Gan et al.w18x predict that for aparticular pattern density, there exits an optimum polishtime for which step height variation is minimum. Ourmodel and experimental results also support this conclu-sion. Tugbawa et al.w19x used contact mechanics todetermine the pressure variation using an approximated‘envelope function’ to describe ‘chip-scale’ topography,and then substituted this pressure function into a density-step-height material removal model used by Gan et al.w18x. This work resembles ours in terms of wafer andfeature-scale model coupling. Our model, however, usesa more fundamentally derived material removal rate lawand the pressure distribution calculated is based on theactual feature topography and not on the approximatedchip-scale envelope function.Our present model utilizes the Chekina et al.w15x

formulation for the solution of the contact pressuredistribution on the feature-scale, which is linked to amaterial removal rate law that captures both the chemicaland mechanical aspects of CMPw6x. The fundamentalnature of our model allows integration of the feature-scale and wafer-scale. The model successfully explainsfeature-scale effects like step height evolution, dishingand erosion in damascene polishing(Fig. 1).

2. Model formulation

Fig. 2 shows a schematic of the typical CMP operatingregime and also illustrates the different scales in theCMP process. The slurry containing chemicals andabrasive particles forms a thin film between the waferand the pad, with a certain fraction of pad asperitiescontacting the wafer surface depending on regime ofCMP operationw20x. Material removal is achieved by asynergism of chemical and mechanical effectsw21,22x.The complex contact problem between the contactingasperities, abrasives, the slurry film and the featuresurface has to be solved to obtain the contact pressuredistribution on the feature surface. This contact pressuredistribution along with the chemical reactions occurringon the feature surface, determine the rate of materialremoval during CMP. As material is removed, thefeature-surface is modified, thus resulting in a new

contact pressure distribution, which in turn affects thematerial removal. The following sections(Sections 2.1,2.2 and 2.3) describe the material removal processesachieved by this chemical–mechanical synergism.

2.1. Contact pressure distribution

The contact pressure distribution on the feature scalehas contribution, from contacting asperities, abrasivestrapped on the asperities, the abrasives in the liquid filmand the pressure exerted by the liquid film, as depictedin Fig. 2. This complicated contact problem is simplifiedin order to quantify the contact pressure distribution onthe feature scale. The snapshot of the rotating pad andwafer during CMP depicted in Fig. 2 indicates that thepad is not in contact with the wafer at all times(depending on the regime of CMP operation) w21x.However, a total contact of the pad with the wafer isassumed in our model, an assumption justified by thefollowing reasoning.First, the typical rotational speeds and pressures in

CMP operation are 40–60 rev.ymin and 28 kPa–42 kPa,respectively. These operating conditions imply that thetime-scale of deflection of a pad asperity has to be muchsmaller than the time-scale of contact. Hence, the asper-ity tips are in contact for a majority of the polishingtime w9,23x. Secondly, the asperity density of a typical(no groove) pad is approximately 10 cm with the5 y2

asperity tip radius of approximately 30–50mm, makingthe gap between the asperities very small when consid-ering the CMP process on the feature-scalew24x. Thus,a continuous contact of the pad asperity can be assumed(for the large features(i.e.)1 mm) considered in thiswork), with the effective pad properties determined bythe asperities rather than the bulk pad material.The feature scale situation in Fig. 2 can then be

simplified into that illustrated in Fig. 3. The actualcontact pressure distribution on the wafer is due tocontacting asperities, abrasives trapped on the asperities,the abrasives in the liquid film and the pressure exertedby the liquid film. Since the abrasives typically used areof the order of 0.05mm while the asperity size is

Page 4: A feature scale model for chemical mechanical planarization of damascene structures

195R. Saxena et al. / Thin Solid Films 449 (2004) 192–206

Fig. 4. Surface kinetic model of copper removalw6x

typically of the order of 30–50mm depending on typeof pad w24x, the contributions of abrasives to contactingarea considerations can be neglected in comparison withthe asperities. Also, the liquid film height between theasperities is very thin and hence its contribution to thepressure distribution is very small when compared withthe contribution from the asperity tips. Thus, the totalcontact pressure can be attributed to the contactingasperities of the pad, which are now assumed to becontinuous as shown in Fig. 3.The area of contact of this idealized pad and hence

the contact pressure distribution is determined by thetotal down pressure and the shape and properties of thetwo surfaces in contactw25x. In order to determine theactual area of contact,v, a relation between the paddisplacementw(x), feature shapef(x,t) and total applieddown pressureP, must be determined. The wafer isconsidered to be an inelastic rigid body whose surfaceat a given timet is described by the functionysf(x).The pad asperity is assumed to be a semi-infinite elasticbody, i.e. an elastic half-space, an idealized descriptionvalidated by the scales of the problemw25,26x. The pad(pad asperity) surface is deflected by the wafer surfacefeatures. The pad surface on displacement and the localcontact pressurep(x) are described by the one-dimen-sional relationw15,25x

22 1yyŽ .) )w x sy p s ln xys dsqconst (1)Ž . Ž .|pE v

where,E and y are the modulus of elasticity and thePoisson’s ratio of the pad material, respectively, andvdefines the total domain of contact. The total domain ofcontact is sum of the regions of the wafer in contactwith the pad. The contact regions are determined byseveral factors such as the hardness of the pad, theheight of the features and distance between the features.The local contact pressure distribution, and the regionsof contact,v, are unknown and are solved for an arrayof features subject to the following boundary conditions:

w x sf x qc and p(x)G0 xgv (2)Ž . Ž .

w x )f x qc and p x s0 xfv (3)Ž . Ž . Ž .

where, the penetration,c, is also an unknown quantityand is fixed by the datum chosen for normal displace-ments. The known total applied load provides anothercondition:

P dss p s ds (4)Ž .| |v v

The mathematical solution of this set of equations(Eqs.(1)–(4)) to obtain the local contact pressurep(x),

and hence the contact pressure distribution(f(x)sp(x)yP), is described in Ref.w26x.The contact pressure distribution is linked to material

removal from the feature surface; as material is contin-uously removed from the surface, the contact pressuredistribution changes. A quasi-steady state model isassumed where the surface is assumed constant for aninstance of time, i.e.f(x)sf(x,t), material removal isallowed to take place and then the new contact pressuredistribution is calculated. The material removal processconsists of a series of steps as described in Section 2.2.Since all copper damascene patterning of copper inter-connects begins with a first-step copper slurry, we focusour attention on a fundamentally-based model for copper(Section 2.2); removal of liner and ILD is handled withan empirically determined removal rate selectivity(Sec-tion 2.3).

2.2. Mechanism of material removal

In order to illustrate the underlying mechanismsinvolved in material removal, a copper removal modeldeveloped while considering wafer-scale CMP is sum-marized here(Fig. 4) w6x. This model has three steps:(1) mass transport of oxidizer to the wafer surface,(2)chemical reaction of the oxidizer with copper to form areacted layer at the wafer surface, and(3) removal ofboth the reacted layer and copper by mechanical abra-sion. The mass transport effects of the slurry oxidizerare only important for very high removal rates and atthe limits of our equipment and consumable sets andhence are ignored here. For a good CMP process, therate limiting factor is the surface kinetics on the waferfeature surfacew6x. For the surface kinetics controlledprocess, the instantaneous rate of copper removal at anypoint (x) on the feature surfacef(x,t) is given by:

k x qk C xŽ . Ž .3 1MWRR x s (5)Ž .Cu

r k11q C xŽ .k xŽ .2

Page 5: A feature scale model for chemical mechanical planarization of damascene structures

196 R. Saxena et al. / Thin Solid Films 449 (2004) 192–206

where, MW,r andC(x) denote the molecular weight ofcopper, the density of copper and the oxidizer concen-tration as a function of position on the wafer,respectively.The parameterk describes the rate of the chemical1

reaction on the feature surface and is primarily a functionof the given oxidizer present in the slurry and isindependent of the position on the feature surface andpressure. However, the rate parametersk and k , rep-2 3

resent the mechanical removal of the altered and unal-tered surface layer, and are also a function of positionon the feature surface; they vary locally as a functionof the local contact pressure at the wafer surface, whichin turn is a function of applied pressure, waferypadspeed, backpressure, wafer-backing film and the type ofpad (as presented in Section 2.1). The mechanical rateparameters,k andk , are assumed to be proportional to2 3

a shape functionf(x) which describes the shape of thecontact pressure distribution, i.e.

k x sk f x , k sk f x (6)Ž . Ž . Ž .2 20 3 30

The shape function captures the positional dependen-cy of k and k across the surface features, while the2 3

proportionality parametersk and k determine the20 30

scale. The pad properties are captured by the contactpressure distribution. Further details of the surface kinet-ics model and rate parameters can be obtained fromThakurta et al.w6x.

2.3. Surface feature evolution

The wafer surface is continuously modified as mate-rial is removed in CMP. Thus, the instantaneous featureshape, f(x), used in calculating the contact pressuredistribution in Section 2.1 is valid only for a particularinstance of time. The removal rate of material from thesurface features is given by the surface kinetics modelexplained in Section 2.2 and is a function of contactpressure distribution. The copper removal rate,RR(x),is a function of the local contact pressure. CombiningEqs.(5) and(6),

k f x qk C xŽ . Ž .30 1MWRR x s (7)Ž .Cu

r k11q C xŽ .k f xŽ .20

In the CMP of copper damascene structures, simul-taneous removal of the ILD, barrier and copper takesplace in the latter part of the process and hence theremoval rate,RR(x), is different for each material. Whilefundamentally based removal rate models can be used,as for copper in our model, a first-order removal rateselectivity is assumed for the ILD and barrier. Thus, theremoval rate for the ILD and barrier material is obtained

by dividing the corresponding local copper removal rateby this selectivity, i.e.(RR sRR ySelectivity). If aoxide Cu

two-step polish procedure is used, slurry selectivity isdefined asRR sRR ySelectivity. The first-orderCu2step Cu

assumption of using selectivity from blanket removalrate data is valid for only for short second step polishtimes.For any particular time interval(during which the

steady state condition is established) the normalizedcontact pressure distribution functionf(x) can beobtained using Eqs.(1)–(4). The calculatedf(x) issubstituted into Eq.(7) and the corresponding instanta-neous removal rate of material from that surface isdetermined. From simple mass-conservation, the amountleaving normal to the surface,f(x,t), can be obtainedby the rate law:

≠f x,tŽ .1syRR x (8)Ž .i) ) ≠t= f x,tŽ .x

The feature surface is moved at each time step andthe contact pressure distribution is recalculated for thenew surface shape. This process is repeated to givesurface feature evolution with time. A MATLAB codeis written to solve the simultaneous Eqs.(1)–(4), (7)and(8) is presented in detail elsewherew26x.

2.4. Model integration with wafer scale for experimentalevaluation

The feature scale model developed above is bestlinked to a wafer scale model to obtain parametersexperimentally needed to evaluate the feature-scale mod-el. The wafer-scale model developed by Thakurta et al.w6,21x utilizes the surface kinetics of copper summarizedearlier in Section 2.2 and a global contact pressuredistribution on the wafer-scale. The wafer-scale contactpressure distribution,f (r), obtained from the Bakerw

two-dimensional modelw27x, is almost constant over theentire wafer and rises towards the edge, typically approx-imately 10 mm. The global contact pressure distributioncan thus be assumed unity over the entire wafer exclud-ing the edge. Since we are focusing on developing andevaluating a feature scale model, we neglect edgeexclusion effects and assumef (r)s1.w

Another simplifying assumption based on results ofthe mass transport model of Thakurta et al.w21x is thatthe oxidizer concentration on the wafer scale does notvary significantly across the wafer. That is, the initialslurry oxidizer concentration,C , is constant across the0

wafer surface. On the feature scale, the oxidizer concen-tration on the feature surface will be different from thatcalculated for the wafer scale by the mass transportmodel. However, the diffusion lengths are sufficientlysmall that this difference can be neglected. Calculationof the Damkholer number shows that mass transport

Page 6: A feature scale model for chemical mechanical planarization of damascene structures

197R. Saxena et al. / Thin Solid Films 449 (2004) 192–206

Fig. 5. Schematic showing an artistic impression of the back pressurecontours on a wafer in an IPEC tool during CMP(from Ref w25x)

Fig. 6. The relative position and classification of the different dies onour wafer, along with a schematic of the mask used(MIT character-ization diew2x).

effects are not relevant on the feature scale for CMP,supporting that the oxidizer concentration at the featuresurface can be taken as the initial slurry oxidizerconcentration,C w26x.0

From the above assumptions, the average removalrate is almost constant over the entire wafer(excludingapprox. 10 mm from the edge of the wafer) and is givenby:

MW k qk C30 1 0RR s (9)avgr k11q C0k20

This equation allows calculation of the removal rateparameters from blanket film experimental dataw6,21x.It should be noted that Eq.(9) does not account for thedependence of the different removal rate parameters, i.e.k and k , on back pressure. Back pressure is applied20 30

at the center of the wafer and decreases towards theedge (Fig. 5) to achieve more uniform wafer-scaleremovalw28x. In case of back pressure used in CMP, anadditional pressure is imposed on the wafer modifyingthe global contact pressure distribution,f (r); andw

hence, Eq.(9) has to be modified to obtain relevantrate parameters. This is discussed in more detail whenanalyzing the effect of kinetic parameters.Phenomenologically, we use the experimental results

from the near-edge die of the wafer for initial compari-son where back pressure is relatively small. Our resultsindicate that the removal rate differences between thecenter of the wafer and the edge are due to the backpressure dependence on rate parameters. All the para-meters in our model are physically determined fromsimple experiments. In the next section we discuss theprocedure for obtaining the different model parametersand the experiments conducted in order to determinethem.

3. Experiments

All experiments were done with 125 mm diametersilicon wafers which were RCA cleaned prior to filmdeposition. For blanket copper films, a thin layer(30nm) of tantalum liner as an adhesion promoter wasdeposited on the wafer prior to deposition of the copperfilm (nominal thickness of 1.2mm). Both films weredeposited using a CVC DC Magnetron Sputterer.�

Thickness of these films was measured using sheetresistance measurements with a Prolimetrix automatic�

four-point probe system at 49 points across the waferdiameter, with 10-mm edge exclusion. Blanket oxidefilms were thermally grown in wet oxygen ambientusing a Bruce thermal furnace to a nominal thickness�

of approximately 1.7mm. The oxide film thickness wasmeasured using the spectroscopic reflectometer(Nano-metrics Nanospec 5000).�

For patterned wafers, a SiO layer deposited as above2

(nominal thickness of 1.7mm) was patterned using anI-line GCA Stepper (5= reduction) with a positive�

photo resist and dry etching technique. The test patternconsists of an array of line-space pairs with fixed patterndensity, defined as the metal line width divided by thepitch, of 50%(Fig. 6). The SiO film was dry etched2

to a depth of 1mm in an Applied Materials Hexode�

etcher. Copper films of 1.2mm thickness with;60 nmtantalum liner were sputtered on the patterned oxidelayer. The wafers were polished as sputtered, withoutadditional annealing. A Tencor profilometer(a-step200) was used with a 12.5-mm tip radius to determinestep height reduction and dishing of copper lines. Oxideerosion was measured using the spectroscopic reflectom-eter (Nanometrics Nanospec 5000). Dishing and ero-�

sion measurements were made on five dies on the wafer,with relative positions illustrated in Fig. 6. Dies 1 and5, and 2 and 4 were averaged to yield results classifiedas the ‘Edge’ and ‘Half-Center’, respectively. Die 3 waslabeled as the ‘Center’ Die. The variation between dies1 and 5, and similarly between dies 2 and 4 was small(-10 nm).

Page 7: A feature scale model for chemical mechanical planarization of damascene structures

198 R. Saxena et al. / Thin Solid Films 449 (2004) 192–206

Table 1Pad properties as obtained from literature

Pad property PANW IC1400-KGroove

Material Polymeric elastomer PolyurethaneElastic modulus(MPa) 5 w32x 29 w27xPoisson’s ratio 0.2w27x 0.2 w27x

Fig. 7. Removal rate variation across a blanket film copper waferpolished with a PANW pad and the first step copper polish slurry.

All CMP experiments were done with an IPEC372M polisher. Pad conditioning(for both hard and�

soft pads described later) was done for 1 min beforeeach wafer run to maintain constant pad performance.A rotating diamond grit pad conditioner was used at 1.4kPa pressure and speed of 55 rev.ymin with DI waterflow maintained at 100 mlymin. After the conditioning,the pad was pre-wetted with the polishing slurry for aminute in order to saturate the pad before polishing. TheIPEC 372M operating conditions were fixed at 28 kPa�

applied pressure, 5.6 kPa back pressure and 36 rev.yminplaten and carrier speed. The platen temperature wasmaintained at 758F, and the slurry flow rate wasmaintained at 150 mlymin. The polish time variedbetween 1 and 2 min. After polishing, wet wafers werecleaned using DI water and blow-dried using a nitrogengun. Additional post-CMP cleaning processes such asbrush cleaning and chemical solutions were notemployed.In-house model slurries were developed and used in

these experimentsw26,29x. A two-step polishing proce-dure, generally employed for damascene patterningw30x,was employed in planarizing the patterned wafers. Thefirst step planarization is done with copper slurry, whichremoves the copper overburden at a fast rate, stoppingat the Ta barrier layer. Our first step copper polish slurryconsists of potassium dichromate(K Cr O ) as the2 2 7

oxidizer, with 50 nm alumina particles(3 wt.%) in DIwater. DOWFAX 2AO surfactant(1 vol.%) is used toprevent coagulation of the alumina particles. The oxi-dizer concentration is varied for blanket film experi-ments and fixed at 2 wt.% for the patterned waferexperiments. The second step slurry used for barrier andoxide removal consists of 10 wt.% silica particles(CAB-O-SIL amorphous fumed silica, grade MS-75D) in DIwater, with potassium hydroxide(KOH) added to obtaina pH of 6. A Cole Parmer Ultrasonic Homogenizer wasused for 10 min, to break up the agglomerates of slurryparticles and ensure a scratch free post-CMP surface.The effect of pad on damascene patterning was

evaluated by using two different pads, i.e. a soft PANWpad (Freudenberg Nonwovens) and a hard IC1400-k�

groove pad(Rodel), for all the experiments. The PANWhas a napped surface with polymeric elastomers impreg-nated on a nonwoven comprising of hydrophilic andhydrophobic fibers. The Rodel IC1400 k-grooved pad isa stacked pad with a hard top layer and soft foam base

layer to provide both good planarity and uniformityacross the wafer. Typically, the IC1400 is used inpatterned wafer experiments as the PANW pad resultsin more dishingw29x. The physical properties of thesepads listed in Table 1 are used as model inputparameters.

3.1. Experiments for model parameters determination

Slurry rate parameters,k , k andk were determined1 20 30

for copper with the first step slurry, by fitting theexperimental data obtained from blanket films with Eq.(9) (procedure outlined in Ref.w6x). The back pressuredependence described in Section 2.4 was not incorpo-rated in the contact pressure distribution function usedfor wafer-scale model from Refw8x. Different dies onthe wafer see a different average removal rate due tothe applied back pressure, as illustrated in Fig. 7 forblanket copper removal rates with a PANW pad. Thus,in order to determine the removal rate parameters,k ,1k and k , different average removal rates have to be20 30

used when fitting the experimental data with Eq.(9).The different average removal rates and the correspond-ingly fitted rate parameters for copper with the first stepslurry, for different regions on the wafer are summarizedin Tables 2 and 3, respectively. The back pressuredependence on removal rate is thus incorporated in thekinetic rate parameters, i.e.k andk . k represents the20 30 1

chemical reaction rate on the surface and does notdepend on pressure.For our experiments, both the over-polish time and

the second step polish time are relatively short comparedto the first step polish time and hence slurry selectivitycan be used calculating removal rates of barrier(tanta-

Page 8: A feature scale model for chemical mechanical planarization of damascene structures

199R. Saxena et al. / Thin Solid Films 449 (2004) 192–206

Table 2The different average removal rates for the center, half-center andedge dies

Oxidizer RR (nmymin) foravg

PANWRR (nmymin) foravg

IC1400concentration

Center HalfCenter Edge Center HalfCenter Edge

C (wt.%)0

0 59 63 59 87 88 871 109 98 95 118 127 1362 140 160 168 154 168 1694 146 163 155 164 214 2945 154 167 173 202 211 1758 170 175 214 243 242 217

Fig. 8. (a) and(b): Blanket film removal rate data for the first and second step slurries with different pads, used in calculating slurry selectivity.

Table 3The kinetic rate parameters for different dies

Kinetic PANW die position IC1400 die positionparameters

Center HalfCenter Edge Center HalfCenter Edge

k (mys)1 5.81 5.81 5.81 4.77 4.77 4.77(=10 )6

k (mol m s )y2 y120 4.88 5.96 1.75 7.39 8.05 2.1(=10 )4

k (mol m s )y2 y130 1.61 5.99 1.44 1.86 7.61 2.41(=10 )4

lum) and ILD (oxide) materials. Slurry selectivity iscalculated by dividing the average blanket removal rateof copper by the average blanket removal rate of thebarrier or the ILD material. The different removal ratesof these materials with the first and second step slurryand the different pads, viz. PANW and IC1400-Kgroove, are listed in Fig. 8a,b.

3.2. Patterned wafer experiments

Step height, dishing and erosion are three parametersthat are typically used to characterize CMP of patternedwafers. Copper damascene patterned wafers were pol-ished using a two-step polishing procedure, 13 min with

the first step slurry followed by a 2 min polish with thesecond step slurry. Both PANW and IC1400 pads wereused to illustrate the difference in polishing due to padproperties. Figs. 9 and 10 show the step height evolutionfor different line widths at 50% pattern density, for thePANW and IC1400 pad, respectively. The step heightcurves shown in Figs. 9 and 10 can be broken into threeregions. The first is a linear region in which the stepheight decreases linearly with time. For the softer PANWpad, the initial step height decrease is same for differentdies for smaller line widths. At larger line widths, thedifference in the initial step height evolution betweendifferent dies increases. For a harder IC1400 pad, theinitial step height decrease is less dependent on the linewidth and almost the same for the different dies.The second region of the step height curve is the

increase in step height due to exposing the differentmaterials in damascene CMP. As the underlying barrierlayer is exposed, the barrier layer has a lower removalrate than copper with the first step polishing slurry. Asa result, the copper in the line polishes faster than thebarrier layer causing an increase in step height. Theincrease in step height is more rapid for a softer PANWpad than for a harder IC1400 pad since the softer padcan bend into the copper line and cause more removal,while the latter being a hard pad cannot bend beyond acertain limit.The third and final region of the step height evolution

shows a saturation behavior. This final value of step

Page 9: A feature scale model for chemical mechanical planarization of damascene structures

200 R. Saxena et al. / Thin Solid Films 449 (2004) 192–206

Fig. 9. Step height evolution for different line widths and 50% pattern density polished with a PANW pad for 13 min of first step polishingfollowed by 2 min of polishing with the second step slurry.

height is also the dishing in the line and is illustrated inFig. 11a. Step height saturation with time can beattributed to the limit of pad bending into the line tocause removal. Thus, softer pads will dish more incomparison with the harder pads(Fig. 11a). Fig. 11bshows the erosion values with the different pads. Erosionis not strongly dependent on line width for the largerfeatures investigated in this research and depends mostlyon the selectivity of the slurryw29x. The differencebetween the step height evolution of the edge and thecenter die is attributed to the presence of back-pressurein these experiments, as will be shown in the followingsection. The back pressure is kept constant at 5.6 kPaas with the blanket film experiments. The variation indishing for the different dies can also be attributed tothe initial non-uniformity in the etching process involvedin fabricating the trenches. This non-uniformity in thetrench depth causes a different initial step height for thedifferent lines.Our model agrees well with the experimental data

collected and observations from these experiments. Thefollowing section demonstrates the different aspects ofour model and evaluates the model with the collected

experimental data. The model is able to predict withreasonable accuracy step height evolution, dishing anderosion on patterned wafers. The inherent integration ofour model with the wafer-scale model of Thakurta et al.w6x also allows prediction of profile evolution on differ-ent dies on the wafer.

4. Results and discussions

The model results are discussed focusing on profileand step height evolution(including dishing and ero-sion), the effect of pad properties and the effect ofkinetic rate parameters. The model is evaluated with theexperimental data obtained in Section 3 and the accuracyof the model input parameters is discussed. Comparisonof model results is done first with the ‘edge die’ tominimize the effect of back pressure and later this effectis incorporated on a first-order basis to explain patternevolution on different dies in the patterned wafer.

4.1. Profile evolution

Profile evolution of a typical damascene structure(Fig. 1) during CMP is the primary result of our model

Page 10: A feature scale model for chemical mechanical planarization of damascene structures

201R. Saxena et al. / Thin Solid Films 449 (2004) 192–206

Fig. 10. Step height evolution for different line widths and 50% pattern density with a IC1400 K Groove Pad, with 13 min of first step polishingfollowed by 2 min of polishing with the second step slurry.

Fig. 11.(a) and(b): Experimental and model results for dishing and erosion on edge dies with 50% pattern density with the PANW and IC1400pads.

Page 11: A feature scale model for chemical mechanical planarization of damascene structures

202 R. Saxena et al. / Thin Solid Films 449 (2004) 192–206

Fig. 12. Surface profile and contact pressure evolution with time fora 100mm with 50% pattern density. Simulations are with parametersfor a PANW pad with plotting intervals of 60 s.

Fig. 13. Step height evolution with different pads. The model param-eter values used here are arbitrary, to illustrate the difference betweenthe CMP characteristics of the two pads. Same polish times are usedin both cases.

Fig. 14. Step height evolution for a 50mm line on an edge die with50% pattern density polished with an IC1400 K groove pad. Modelsimulations are with varying values of elastic modulus, with all theother parameters at their base values as determined in Section 3.

and is depicted in Fig. 12 along with the correspondingcontact pressure distribution. Runnelsw11x and Chekinaet al. w15x showed that a step during CMP has roundedcorners as time of polish progresses. In Runnels model,this rounding of corners is attributed to the high stressgenerated at the corners of a step by the flowing slurry.However, this is difficult to visualize on the featurescale as the local slurry velocity and film thickness arevery small to cause these exceedingly high stresses. Weattribute this rounding of the corners to the high initialcontact pressure caused by bending of the pad-asperitiesinto the surface features. As the step becomes planar,the contact pressure distribution becomes flatter. Thus,a soft pad(e.g. PANW) which takes the shape of thefeature should result in a gradual sloping of the corners,as soft pads result in poor planarization. Comparison ofmodel results with a hard and soft pad shown in Fig.13 indicates that damascene structures polished with ahard pad give less dishing and better planarization thana soft pad. Such data have also been observed, both inour experiments and by othersw30x.

4.2. Effect of pad properties

Our model takes into account pad properties, notablythe pad’s elastic modulus and Poisson’s ratio. Our

assumptions for simplifying the complicated wafer-padcontact problem(Section 2.1) indicate that the padproperties would be dominated by those of the padasperities. Thus, the elastic modulus and Poissons ratioused in the model has to be that of the asperities.Unfortunately, these pad asperity properties are extreme-ly difficult to measure, and estimates have to be madefrom the bulk pad properties obtained from literature.

4.2.1. Elastic modulusBulk elastic modulus and Poisson’s ratio of the pad

have been measured and are obtained from literature.These values are listed in Table 1. Step height evolutionduring CMP with an IC1400 pad with varying elasticmodulus values is shown in Fig. 14 with the experimen-tal data for a 50-mm line width. As elastic modulus isdecreased from the bulk value of 29 MPa, step heightcomparison with experimental data is much better. This

Page 12: A feature scale model for chemical mechanical planarization of damascene structures

203R. Saxena et al. / Thin Solid Films 449 (2004) 192–206

Fig. 15. Step height evolution of a 250mm line with varying elastic modulus for the two pads. Experimental data and model parameters are thosefor an edge die.

Table 4Comparison of the bulk elastic modulus with the experimental fittedelastic modulus

Pad Type PANW IC1400Elastic modulus

Bulk elastic modulus,E, w27,32x(MPa) 5 29

Elastic modulus fromexperimental curve fit(MPa) 3 10

Fig. 16. Variation of step height with Poisson ratio for a 50mm linepolished with an IC1400 pad(Es10 MPa). The kinetic parametersare those for an edge die.

agreement of model results with experimental data isattributed to the asperity elastic modulus chosen as amodel parameter, with a value much less than the bulkvalue taken from literature(as the asperity tips areusually softer than the base of the pad). A similaranalysis is done for a PANW pad, but the decrease inthe elastic modulus is not as significant as in the caseof an IC1400 pad, as depicted in Fig. 15.Better agreement of model results with experimental

data from the softer PANW pad than the hard IC1400is attributed to the following reasons. Firstly, from acontact mechanics viewpoint our model assumes acontinuous contact of pad asperity with a uniform padasperity density and does not include any asperitydistribution. This picture of asperities is similar to thestructure of a napped PANW pad. The IC1400 pad hasgrooves and a layered structure, and these modelassumptions might not hold true in that case. Secondly,the elastic modulus of the asperity should not be toodifferent from the bulk modulus for a soft pad. However,the hard IC1400 pad has a layered and grooved structure,and thus a large difference between the two moduli(bulk and asperity dominated) is anticipated. For furtherevaluation of the model with experimental data, the bulkelastic modulus is replaced by a model fitted effectivevalue ofE. The effective elastic modulus(E) is 3 MPa

and 10 MPa for a PANW and IC1400 pad, respectively.A comparison of the model fitted elastic moduli and thevalues obtained from literature is shown in Table 4. Theeffective elastic modulus now allows us to view othermodel parameters and analyze their effects on modelresults.

4.2.2. Poisson ratioPoisson’s ratio is a measure of the simultaneous

change in elongation and in cross-sectional area withinthe elastic range during a tensile or compressive test. Inour model, we have assumed the asperities in contact tobe an elastic half space, i.e. the change in the lateraldimensions of the pad are insignificant when comparedto the normal displacement. Thus, the model resultsshould not change too much with a change in Poisson’sratio as illustrated in Fig. 16. Intuitively, this is expected

Page 13: A feature scale model for chemical mechanical planarization of damascene structures

204 R. Saxena et al. / Thin Solid Films 449 (2004) 192–206

Fig. 17. Effect of the different surface kinetics parameters on step height of a 250-mm line (50% pattern density) using an IC1400 pad(Es10MPa). Parameter base values are:k s4.77=10 mys, k s8.13=10 mol m s ,k s2.05=10 mol m s .y6 y4 y2 y1 y4 y2 y1

1 20 30

as typical CMP pads are sufficiently large to neglect thelateral variation in thickness.

4.3. Step height evolution, dishing and erosion

The initial step height in damascene structures repre-sents the height of the copper step(shown in Fig. 1).The minimum step height is almost zero for a hardIC1400 pad, denoting a better planarization for this padin comparison with the softer PANW pad(Fig. 15). Asthe elastic modulus is decreased, i.e. the contactingasperity is made softer, the planarization character ofthe pad decreases and minimum step height increases.The saturation value of step height denotes the limit ofpad bending into the softer copper material and dependson the pad elastic properties(notably the elastic modu-lus). Softer pads can bend more into the feature andhence cause more dishing.Step height evolution(and hence dishing) is a strong

function of the shape of the feature and has beenobserved in different experimental studies including oursw29,30x. Recent modeling predictions of Gan et al.w18xand Tugbawa et al.w19x also predict the strong depend-ence of dishing on initial step height. We incorporatethis dependence inherently in our model as the contactpressure distribution is a strong function of the shape ofthe feature. As the line width increases, dishing increas-

es. The dishing and erosion values are compared withexperimental data for the two pads(Fig. 11). IC1400,which is a hard pad, gives less dishing than the softPANW pad. The erosion values are almost constant,since the pad always touches the top of the feature inour model. Thus, the model predicts that erosion valuesare more strongly dependent on the selectivity of theslurry than on line spacing, at least for the larger featuresinvestigated in this work.

4.4. Effect of kinetic rate parameters

The effect of slurry rate parameters,k , k and k ,1 20 30

on model results of step height evolution is shown inFig. 17. An increase in the magnitude ofk , k and1 20

k , results in an increase in the slope of the initial part30

of the step height curve, indicating faster removalkinetics. Physically, a higher value ofk indicates faster1

oxidation on the copper surface and hence a higherremoval rate. Similarly, higher values ofk and k20 30

indicate more material removal due to mechanical abra-sion. Since bothk andk are proportional to operating20 30

pressure and velocity, higher values of these kineticparameters imply a higher operating pressure and veloc-ity, in turn implying higher removal ratesw21x.Due to the applied back pressure in our experiments,

different regions of the wafer experience different con-

Page 14: A feature scale model for chemical mechanical planarization of damascene structures

205R. Saxena et al. / Thin Solid Films 449 (2004) 192–206

Fig. 18. Comparison of dishing vs. linewidth for different dies for aPANW pad using the kinetic rate parameters in Table 3.

Fig. 19. Comparison of dishing vs. linewidth for different dies for anIC1400 pad using the kinetic rate parameters in Table 3.

tact pressures. As a result, there exists a removal ratenon-uniformity within the wafer, which was examinedin Section 2.4. This removal rate non-uniformity givesrise to different kinetic rate parameters for different diesor regions on the wafer. Ideally, the back pressure shouldbe adjusted in the experiments so that there exists noremoval rate non-uniformity. However, this was not thecase in our experiments. Thus, the rate parameters haveto be calculated based on local average removal ratesand are summarized in Table 3. Using the different rateparameters for different locations on the pattern wafer,experimental results can be compared for edge, half-center and center dies. The model results of dishing forthe different dies are compared with experimental datafor two different pads(Figs. 18 and 19).In both the model and experimental results for differ-

ent dies, the dishing values do not vary between diesfor smaller line widths. As the line width increases, thecomparison between the model and experimental databecomes poorer. This difference is attributed to thefollowing: Firstly, maintaining the same level of removalrate uniformity on the wafer from run to run is extremelydifficult. Slight variations in the removal rate cause thekinetic rate parameters to change, which in turn affectsthe feature-scale modeling results dramatically. Second-ly, the assumption of treating the pad as an elastic halfspace, fails progressively as the contact region increases(i.e. for larger line width features).Finally, comparison of the model results and experi-

mental data is better with the soft PANW pad than forthe hard IC1400 pad as can be seen in Fig. 19. Thesaturation in dishing values occurs at lower line widthswith the IC1400 pad and thus, there is overall lessdishing observed with this pad. The poor match isattributed to the following shortcomings of the model.

Firstly, the model does not account for pad asperitydistribution, e.g. asperity height, radii, etc., an assump-tion which is violated more with the layered and groovedIC1400 pad than for the softer napped PANW pad.Secondly, the model fails to incorporate enhanced slurrymass transport by the grooves on the IC1400 whichallow for better uniformity during polish and could bea reason for less overall dishing observed with theIC1400 pad. Lastly, the model needs to be modified forparticle and pad-asperity contributions, which can betaken into account in a more complex contact mechanicsmodel w9x.

5. Summary and conclusions

A physically based feature-scale model is presentedwith two essential features, a fundamentally derivedfeature-scale contact pressure distribution and a surfacekinetics model describing the material removal mecha-nisms from the wafer surface during CMP. The twoparts of the model allow capturing of both the mechan-ical and chemical aspects of CMP on a fundamentallevel. While the model emphasizes copper CMP mech-anisms, the model is used to predict step height evolu-tion, dishing and erosion of damascene structures byintroducing slurry selectivities phenomenologically fromblanket film experiments. Metal line dishing is found tobe strongly dependent on line-width and increases withdecreasing pad modulus, i.e. it is higher for soft pads.These results are consistent with our experiments andalso with results reported in the literaturew30x. Modelresults compare better with experimental data from thesoft PANW pad than with the hard IC1400 pad and area slight over-prediction from experimental data. Thewithin wafer non-uniformity in feature scale results fromdie to die, explained by the difference in removal rate

Page 15: A feature scale model for chemical mechanical planarization of damascene structures

206 R. Saxena et al. / Thin Solid Films 449 (2004) 192–206

parameters at different positions on the wafer, is due tothe applied back pressure. Even a slight change in theremoval rate parameters leads to a significant change inthe feature-scale surface evolution.As a first-step model, there are several improvements

which can be made to overcome the shortcomings inour model. A more complicated contact problem dealingwith asperity contact and taking into account pad prop-erties like roughness, grooved structure(like theIC1400) and asperity distribution can be solved. Theslurry mass-transport enhancement by the grooved padshould be taken into account. Back pressure effects inCMP have to be studied in more detail and quantified.Material removal mechanisms for different species haveto be studied on a fundamental level. The model can beextended to predict feature shape evolution of Cu-lowkdamascene structures utilizing low-k removal mecha-nisms developed by Borst et al.w7,31x, thus incorporat-ing polishing of different materials on a fundamentallevel rather than a phenomenological approach. Finally,the computer code has to be improved for solvingperiodic structures, allowing coupling of pattern-scalemodels and enabling a fully integrated model for CMP.

Acknowledgments

This work was supported by the Center for AdvancedInterconnect Science and Technology(CAIST), Semi-conductor Research Corporation(SRC) contract no. 98-IC-448. The authors would also like to thank Dr D.W.Schwendeman(Rensselaer Polytechnic Institute(RPI))for helpful discussions in modeling and the RPI Micro-fabrication Clean Room(MCR) staff for assisting in theexperiments.

References

w1x S.A. Campbell, The Science and Engineering of Microelectron-ic Fabrication, Oxford University Press, New York, 2001, p.264.

w2x B.E. Stine, D.O. Ouma, R.R. Divecha, D.S. Boning, J.E.Chung, D.L. Hetherington, C.R. Harwood, O.S. Nakagawa,S.Y. Oh, IEEE Trans. Semicond. Manuf. 11(1998) 129.

w3x G. Nanz, L.E. Camilletti, IEEE Trans. Semicond. Manuf. 8(4)(1995) 382.

w4x R.S. Subramanian, L. Zhang, S.V. Babu, J. Electrochem. Soc.146 (11) (1999) 4263.

w5x S.P. Beaudoin, CMP Modeling and Simulation Developments,Eighteen International VLSI Multilevel Interconnection Con-ference (VMIC), State of the Art Seminar, Sept 27, SantaClara, CA,(2001) 87.

w6x D.G. Thakurta, D.W. Schwendeman, R.J. Gutmann, S. Shankar,L. Jiang, W.N. Gill, Thin Solid Films 414(2002) 78.

w7x C.L. Borst, D.G. Thakurta, W.N. Gill, R.J. Gutmann, J. Elec-trochem. Soc. 149(2) (2001) G118.

w8x A.T. Kim, J.A. Tichy, T.S. Cale, Proceedings of the FirstElectrochemical Society International Conference on Semi-conductor Technology(ISTC), May 27, Shanghai, China, vol.1 (2001) 165.

w9x J. Luo, D.A. Dornfeld, IEEE Trans. Semicond. Manuf. 14(2)(2001) 112.

w10x J. Warnock, J. Electrochem. Soc. 138(8) (1991) 2398.w11x S.R. Runnels, J. Electrochem. Soc. 141(1994) 1900.w12x F. Preston, J. Soc. Glass Technol. 11(1927) 214.w13x S.R. Runnels, J. Electron. Mater. 25(10) (1996) 1574.w14x S.R. Runnels, M. Kim, J. Schleuter, C. Karlsrud, M. Desai,

IEEE Trans. Semicond. Manuf. 11(3) (1998) 501.w15x O.G. Chekina, L.M. Keer, H. Liang, J. Electrochem. Soc. 145

(6) (1998) 2100.w16x V. Sukharev, J. Electrochem. Soc. 148(3) (2001) G172.w17x B. Lee, T. Gan, P. Hester, N. Poduje, W. Baylies, D. Boning,

2000 IEEEySEMI Advanced Semiconductor ManufacturingConference, September 12, Boston, Massachusetts,(2000) 425.

w18x T. Gan, T. Tugbawa, B. Lee, D. Boning, S. Jang, J. Electro-chem. Soc. 148(3) (2001) G159.

w19x T. Tugbawa, T. Park, B. Lee, D. Boning, P. Lefevre, L.Camilletti, Mater. Res. Soc. Symp. Proc. 671(2001) M4.3.

w20x S. Sundararajan, D.G. Thakurta, D.W. Schewendeman, S.P.Murarka, W.N. Gill, J. Electrochem. Soc. 146(2) (1999) 761.

w21x D.G. Thakurta, Ph.D. Thesis, Rensselaer Polytechnic Institute,Troy, New York, 2001.

w22x C.L. Borst, W.N. Gill, R.J. Gutmann, Chemical MechanicalPolishing of Low Dielectric Constant Polymers and Organos-ilicate Glasses, Kluwer Academic Publishers, Massachusetts,2002.

w23x J. Tichy, J.A. Levert, L. Shan, S. Danyluk, J. Electrochem.Soc. 146(1999) 1523.

w24x T.K. Yu, C.C. Yu, M. Orlowski, IEDM Technical Digest,(1993) 865.

w25x K.L. Johnson, Contact Mechanics, Cambridge University Press,Cambridge, UK, 1985.

w26x R. Saxena, M.S. Thesis, Rensselaer Polytechnic Institute, Troy,New York, 2002.

w27x A.R. Baker, Electrochem. Soc. Fall Meeting extended abstracts(EA 96-2), San Antonio, TX, 7 Oct. 1996.

w28x J.F. Miller, W.Y-C Lai and M. Hoffman, Proceedings of TwelfthInternational VLSI Multilevel Interconnection Conference,June 27, SC, California,(1995) 400.

w29x B.C. Lee, Ph.D. Thesis, Rensselaer Polytechnic Institute, Troy,New York, 2000.

w30x J.M. Steigerwald, S.P. Murarka, R.J. Gutmann, ChemicalMechanical Planarization of Microelectronic Materials, Wiley-Interscience, New York, 1997.

w31x C.L. Borst, Ph.D. Thesis, Rensselaer Polytechnic Institute,Troy, New York 2000.

w32x A. Kim, Ph.D. Thesis, Rensselaer Polytechnic Institute, Troy,New York, 2001.