1
Componente Curricular: Projeto de Sistemas Digitais Professor: Ewerson Carvalho email: [email protected] Lista de Exercícios 1: VHDL e ModelSim [Questão 1] Descreva em VHDL um Multiplexador 4x1 de acordo com a figura abaixo. Além disso, descreva o testbench e realize a simulação no ModelSim para verificar se o projeto está correto. [Questão 2] Descreva em VHDL um Decodificador 2x4 de acordo com a figura abaixo. Além disso, implemente o testbench e simule o projeto no ModelSim. [Questão 3] Descreva em VHDL um MeioSomador de 1 bit, conforme a figura abaixo. Não esqueça de implementar também o testbench e de simular o projeto no ModelSim. Neste projeto podem ser utilizados diretamente os comando xor e and para a descrição da lógica. [Questão 4] Instanciando o MeioSomador da questão anterior, descreva em VHDL um Somador Completo de 1 bit e o respectivo testbench. Baseiese na figura abaixo para nomear as portas do projeto. Verifique o funcionamento do sistema no ModelSim. [Questão 5] Utilizando o Somador Completo da questão anterior, descreva em VHDL um Somador de 4 bits. Ainda, descreva o testbench e simule o projeto. Não é necessário testar todas as possibilidades de entrada. Além disso, para facilitar a verificação faça o ModelSim mostrar os valores em unsigned.

Aula05 Psd Es7 Lista1 Vhdl Modelsim

Embed Size (px)

DESCRIPTION

Lista VHDL

Citation preview

  • Componente Curricular: Projeto de Sistemas Digitais Professor: Ewerson Carvalho e-mail: [email protected]

    Lista de Exerccios 1: VHDL e ModelSim [Questo 1] Descreva em VHDL um Multiplexador 4x1 de acordo com a figura abaixo. Alm disso, descreva o testbench e realize a simulao no ModelSim para verificar se o projeto est correto.

    [Questo 2] Descreva em VHDL um Decodificador 2x4 de acordo com a figura abaixo. Alm disso, implemente o testbench e simule o projeto no ModelSim.

    [Questo 3] Descreva em VHDL um Meio-Somador de 1 bit, conforme a figura abaixo. No esquea de implementar tambm o testbench e de simular o projeto no ModelSim. Neste projeto podem ser utilizados diretamente os comando xor e and para a descrio da lgica.

    [Questo 4] Instanciando o Meio-Somador da questo anterior, descreva em VHDL um Somador Completo de 1 bit e o respectivo testbench. Baseie-se na figura abaixo para nomear as portas do projeto. Verifique o funcionamento do sistema no ModelSim.

    [Questo 5] Utilizando o Somador Completo da questo anterior, descreva em VHDL um Somador de 4 bits. Ainda, descreva o testbench e simule o projeto. No necessrio testar todas as possibilidades de entrada. Alm disso, para facilitar a verificao faa o ModelSim mostrar os valores em unsigned.