20
Accelerating the next technology revolution Copyright ©2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners. Challenges of cleaning of EUV masks below the sub-16 nm HP Abbas Rastegar Huseyin Kurtuldu, Ruhai Tian, Goksel Durkaya, Matthew House, Dave K. Balachandran, Martin Samayoa, Daehyuk Kang SEMATECH Albany October 2012

Challenges of cleaning of EUV masks below the sub-16 nm HPeuvlsymposium.lbl.gov/pdf/2012/pres/A. Rastegar.pdf · 3 October 2012 6 Depends on the intensity (Thermal effects) Ru oxidation

  • Upload
    others

  • View
    1

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Challenges of cleaning of EUV masks below the sub-16 nm HPeuvlsymposium.lbl.gov/pdf/2012/pres/A. Rastegar.pdf · 3 October 2012 6 Depends on the intensity (Thermal effects) Ru oxidation

Accelerating the next technology revolution

Copyright ©2009

SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center

and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

Challenges of cleaning of EUV masks

below the sub-16 nm HP

Abbas Rastegar Huseyin Kurtuldu, Ruhai Tian, Goksel Durkaya, Matthew House, Dave K. Balachandran, Martin Samayoa, Daehyuk Kang

SEMATECH Albany

October 2012

Page 2: Challenges of cleaning of EUV masks below the sub-16 nm HPeuvlsymposium.lbl.gov/pdf/2012/pres/A. Rastegar.pdf · 3 October 2012 6 Depends on the intensity (Thermal effects) Ru oxidation

SEMATECH Confidential EUVL 2012, A. Rastegar

EUV masks for sub-16 nm HP

• Decision on EUV projection optics (NA >0.5) and

magnification (>4X) impacts cleaning requirements for sub-

16 nm HP nodes

– NA >0.5 CRO > 6° Thinner absorber New materials

– New absorber materials such as Ni and Pt and their alloys should be

investigated for chemical stability

– Changing absorber gives an opportunity to replace Ru with other

capping materials (e.g., V2O5 or TiO2 ) ( new absorber etch process)

– NA<0.5 magnification >X4 mask feature size increases

• Less stringent requirement on cleaning

• EUV source meets HVM requirements Higher radiation

intensity

– Radiation effects on mask lifetime should be considered

3 October 2012 2

Page 3: Challenges of cleaning of EUV masks below the sub-16 nm HPeuvlsymposium.lbl.gov/pdf/2012/pres/A. Rastegar.pdf · 3 October 2012 6 Depends on the intensity (Thermal effects) Ru oxidation

SEMATECH Confidential EUVL 2012, A. Rastegar

EUV Mask Lifetime Cycle

3 October 2012 3

Clean Transport Exposure

Storage

• An EUV mask should be cleaned at least 100X

– No EUV reflectivity change

– No CD change

Page 4: Challenges of cleaning of EUV masks below the sub-16 nm HPeuvlsymposium.lbl.gov/pdf/2012/pres/A. Rastegar.pdf · 3 October 2012 6 Depends on the intensity (Thermal effects) Ru oxidation

SEMATECH Confidential EUVL 2012, A. Rastegar

EUV Mask Lifetime Cycle-

Defectivity Concerns

3 October 2012 4

Clean Transport Exposure

Storage

Liquid Gas Vacuum

EUV OOB

Contact

particles

Source

particles Vacuum

particles

Particle aging

Progressive defects

Particle shedding Tool particles

Chemical particles

DI particles

Surface modifications

• Physics involved in particle adhesion, dynamic and formation is different in each

case and depends on defect size

• Cleaning, exposure and storage are interdependent

Page 5: Challenges of cleaning of EUV masks below the sub-16 nm HPeuvlsymposium.lbl.gov/pdf/2012/pres/A. Rastegar.pdf · 3 October 2012 6 Depends on the intensity (Thermal effects) Ru oxidation

SEMATECH Confidential EUVL 2012, A. Rastegar

EUV Mask Cleaning Challenges

• Particle adhesion

– Radiation effects

– Aging

– Progressive defects

• Particle adders

– backside

– frontside

• Pit adders

– Role of oxygen in megasonic cleaning

• CD loss

– TaN etch by SPM New Chemistries Ruhai Tian

3 October 2012 5

Page 6: Challenges of cleaning of EUV masks below the sub-16 nm HPeuvlsymposium.lbl.gov/pdf/2012/pres/A. Rastegar.pdf · 3 October 2012 6 Depends on the intensity (Thermal effects) Ru oxidation

SEMATECH Confidential EUVL 2012, A. Rastegar

Radiation Effects on Ru Cap Surface

3 October 2012 6

Depends on the intensity

(Thermal effects)

Ru oxidation valence

depends on the wavelength

(RuO2 >> RuO, RuO3) for 532 nm

(RuO3 >>RuO2, RuO) for 266 nm

Si oxidation happens at UV

• Surface composition and energy of the Ru-capped ML changes under

radiation

SPIE 2012

1 nm

0 nm

2nm

3nm

Co

nta

ct A

ng

le (

de

gre

e)

Change of surface energy by radiation

(l=172 nm)

Time

Page 7: Challenges of cleaning of EUV masks below the sub-16 nm HPeuvlsymposium.lbl.gov/pdf/2012/pres/A. Rastegar.pdf · 3 October 2012 6 Depends on the intensity (Thermal effects) Ru oxidation

SEMATECH Confidential EUVL 2012, A. Rastegar

Radiation Effects on Particle Adhesion

3 October 2012 7

inspection clean

inspection clean

megasonic

megasonic

Co-exposed

Not-exposed

10 mm PSL

Bacus 2012

Pre-exposed Radiation Particle dep.

Pre

-exposed

Radiation Particle dep.

Co

-expo

sed

Page 8: Challenges of cleaning of EUV masks below the sub-16 nm HPeuvlsymposium.lbl.gov/pdf/2012/pres/A. Rastegar.pdf · 3 October 2012 6 Depends on the intensity (Thermal effects) Ru oxidation

SEMATECH Confidential EUVL 2012, A. Rastegar

Radiation Effects on Cleaning-added

Particles

3 October 2012 8

• Out-of-band (OOB) radiation increases particle adhesion

• Particles prefer to adhere to pre-exposed areas during cleaning

• When particles are on the mask during exposure, they become more

difficult to remove

Clean

Page 9: Challenges of cleaning of EUV masks below the sub-16 nm HPeuvlsymposium.lbl.gov/pdf/2012/pres/A. Rastegar.pdf · 3 October 2012 6 Depends on the intensity (Thermal effects) Ru oxidation

SEMATECH Confidential EUVL 2012, A. Rastegar

Storage Effect on Particle Adhesion

3 October 2012 9

Ad

he

sio

n in

cre

ase

Post clean ( DI –MS 33.5 J/cm2)

Before clean

10 mm PSL

• PSL particles were deposited, and the blank was stored in air in the

cleanroom

• If particles remain on the surface for a long time, they cannot be

removed

Page 10: Challenges of cleaning of EUV masks below the sub-16 nm HPeuvlsymposium.lbl.gov/pdf/2012/pres/A. Rastegar.pdf · 3 October 2012 6 Depends on the intensity (Thermal effects) Ru oxidation

SEMATECH Confidential EUVL 2012, A. Rastegar 3 October 2012 10

Progressive Defects

SEM-EDS Of Defect Contains Zinc

200 nm

40 nm

SEM-EDS On Defect Has Zinc

200 nm

SEM-EDS Of Defect Contains Carbon

100 nm

SEM-EDS Of Defect Contains Carbon STEM-EDS Of Defect Contains Zinc

Chemical residues

remaining on surface

will grow into

progressive defects

E. Stinzianni, et al., SPCC 2012

Page 11: Challenges of cleaning of EUV masks below the sub-16 nm HPeuvlsymposium.lbl.gov/pdf/2012/pres/A. Rastegar.pdf · 3 October 2012 6 Depends on the intensity (Thermal effects) Ru oxidation

SEMATECH Confidential EUVL 2012, A. Rastegar 3 October 2012 11

1x 5x 25x 50x

100x

Electrostatic Chucks Create Particles

• Many defects are created during

ESC chucking

• These defects are hard to remove

• Should be resolved for 16 HP tools

Page 12: Challenges of cleaning of EUV masks below the sub-16 nm HPeuvlsymposium.lbl.gov/pdf/2012/pres/A. Rastegar.pdf · 3 October 2012 6 Depends on the intensity (Thermal effects) Ru oxidation

SEMATECH Confidential EUVL 2012, A. Rastegar

Clean

3 October 2012 12

Particles Added During Cleaning

• Particles are added by

– Chemicals, tool, process, UPW, airborne

contaminants

• Particles added during final rinse/dry most probably remain on the

surface

6 Particles /liter

(>25 nm)

Page 13: Challenges of cleaning of EUV masks below the sub-16 nm HPeuvlsymposium.lbl.gov/pdf/2012/pres/A. Rastegar.pdf · 3 October 2012 6 Depends on the intensity (Thermal effects) Ru oxidation

SEMATECH Confidential EUVL 2012, A. Rastegar

Are UPW Adders Remaining on The

Surface?

3 October 2012 13

• During 30 min rinse, quartz surface is exposed to 150 particles (>50

nm count by LPC )

• Inspection by M7360 (> 45 nm) shows only a few particles on the

surface

Quartz substrate

Liquid particle counter Inspection tool

M7360

Page 14: Challenges of cleaning of EUV masks below the sub-16 nm HPeuvlsymposium.lbl.gov/pdf/2012/pres/A. Rastegar.pdf · 3 October 2012 6 Depends on the intensity (Thermal effects) Ru oxidation

SEMATECH Confidential EUVL 2012, A. Rastegar

Zeta Potential and Particle Deposition

3 October 2012 14

DI

(pH)

Qz

Iso

ele

ctr

ic p

oin

t

Rep

uls

ion

In DI water (pH=5.5),these

particles will deposit on Ru

but not on quartz/LTEM

RuO

x

Iso

ele

ctr

ic p

oin

t

Al, MnO2, MgO will deposit on

quartz/LTEM but not on Ru

Zeta potentials: A. Busnaina, NEU

Many particles are deposited on Ru/oxide surfaces during the rinse

process

Page 15: Challenges of cleaning of EUV masks below the sub-16 nm HPeuvlsymposium.lbl.gov/pdf/2012/pres/A. Rastegar.pdf · 3 October 2012 6 Depends on the intensity (Thermal effects) Ru oxidation

SEMATECH Confidential EUVL 2012, A. Rastegar

Detection Challenges

Sub-resolution Defects

3 October 2012 15

• SEMATECH’s multilayer deposition team

has developed defect decoration techniques

to increase the lateral dimension of particles

by 2.5 times

• 15 nm particles on a surface can be detected

with a capture efficiency >90%

Before rinse-M7360

Quartz

d

2.5 x d

After decoration-M7360

Decoration

film

Quartz

After UV+rinse-M7360

Page 16: Challenges of cleaning of EUV masks below the sub-16 nm HPeuvlsymposium.lbl.gov/pdf/2012/pres/A. Rastegar.pdf · 3 October 2012 6 Depends on the intensity (Thermal effects) Ru oxidation

SEMATECH Confidential EUVL 2012, A. Rastegar

Detection of Sub-15nm Defects

3 October 2012 16

TEM:

Jenna Harris-Jones

20 nm

20

nm

Sub -30 nm particle

Page 17: Challenges of cleaning of EUV masks below the sub-16 nm HPeuvlsymposium.lbl.gov/pdf/2012/pres/A. Rastegar.pdf · 3 October 2012 6 Depends on the intensity (Thermal effects) Ru oxidation

SEMATECH Confidential 3 October 2012 17

Non-Detectable Particles

• Cleaning processes add very small particles (10 nm to 17 nm) SEVD

that CANNOT be detected with existing defect inspection tools

Particle Height

0

2

4

6

8

10

12

14

16

18

20

1 2 3 4 5 More

Height (nm)C

ou

nt

Page 18: Challenges of cleaning of EUV masks below the sub-16 nm HPeuvlsymposium.lbl.gov/pdf/2012/pres/A. Rastegar.pdf · 3 October 2012 6 Depends on the intensity (Thermal effects) Ru oxidation

SEMATECH Confidential EUVL 2012, A. Rastegar

Ru Cap is Sensitive to Dissolved

Oxygen

3 October 2012 18

• Dissolved oxygen in DI will drastically increases the number of pits

• Polytropic index (g = Cp/Cv) = 1.4 for both N2 and O2 resulting in the same

level of sonoluminescence the same level of cavitation

• EUV mask cleaning tools and processes may need to be oxygen free!

Bacus 2012

Page 19: Challenges of cleaning of EUV masks below the sub-16 nm HPeuvlsymposium.lbl.gov/pdf/2012/pres/A. Rastegar.pdf · 3 October 2012 6 Depends on the intensity (Thermal effects) Ru oxidation

SEMATECH Confidential EUVL 2012, A. Rastegar

Conclusions

• EUV mask cleaning will depend on the choice of

EUV projection optics schemes

• EUV mask cleaning remains a key player in EUV

mask lifetime and will be affected by exposure and

storage conditions

• The Ru cap remains a challenge in terms of

contamination and cleaning

• An oxygen-free tool and processes may be

required to reduce megasonic damage

• New chemistries are required to replace SPM

3 October 2012 19

Page 20: Challenges of cleaning of EUV masks below the sub-16 nm HPeuvlsymposium.lbl.gov/pdf/2012/pres/A. Rastegar.pdf · 3 October 2012 6 Depends on the intensity (Thermal effects) Ru oxidation

SEMATECH Confidential EUVL 2012, A. Rastegar 3 October 2012 20

Thank You