22
Cleaning of Silicon Wafers Introduction This report is dedicated to one of the most important tasks in semiconductor industry- cleaning and preparation of the silicone surface for the further processing. The main goal is to remove the contaminants from the wafer surface and to control chemically grown oxide on the wafer surface. Modern integrated electronics would not be possible without unless the technologies for leaning and contamination control would have been developed, and further reduction of the contamination level of the silicone wafer is mandatory for the further reduction of the IC element dimensions. Wafer cleaning is the most frequently repeated step in IC manufacturing and is one of the most important segment in the semiconductor-equipment business, and it looks as if it will remain that way for some time. Each time device-feature sizes shrink or new tools and materials enter the fabrication process, the task of cleaning gets more complicated. Today, at 0.18-micron design rules, 80 out of ~400 total steps will be cleaning. While the number of cleans increases, the requirement levels are also increasing for impurity concentrations, particle size and quantity, water and chemical usage and the amount of surface roughness for critical gate cleans. Not only is wafer cleaning needed now before each new process sequence, but additional steps are often required to clean up the fabrication process tools after a production run. Traditionally, cleaning has been concentrated in the front end of the line (FEOL) where active devices are exposed and more detailed cleans required. A primary challenge in FEOL cleans is the continuous reduction in the defect levels. As a rule, a "killer defect" is less than half the size of the device linewidth. For example, at 0.25 µm geometries, cleans must remove particles smaller than 0.12 µm and at 0.18 µm, 0.09 µm particles.

Cleaning of Silicon Wafers

Embed Size (px)

Citation preview

Page 1: Cleaning of Silicon Wafers

Cleaning of Silicon Wafers

Introduction

This report is dedicated to one of the most important tasks in semiconductor industry- cleaning and preparation of the silicone surface for the further processing. The main goal is to remove the contaminants from the wafer surface and to control chemically grown oxide on the wafer surface. Modern integrated electronics would not be possible without unless the technologies for leaning and contamination control would have been developed, and further reduction of the contamination level of the silicone wafer is mandatory for the further reduction of the IC element dimensions. Wafer cleaning is the most frequently repeated step in IC manufacturing and is one of the most important segment in the semiconductor-equipment business, and it looks as if it will remain that way for some time. Each time device-feature sizes shrink or new tools and materials enter the fabrication process, the task of cleaning gets more complicated.

Today, at 0.18-micron design rules, 80 out of ~400 total steps will be cleaning. While the number of cleans increases, the requirement levels are also increasing for impurity concentrations, particle size and quantity, water and chemical usage and the amount of surface roughness for critical gate cleans. Not only is wafer cleaning needed now before each new process sequence, but additional steps are often required to clean up the fabrication process tools after a production run. Traditionally, cleaning has been concentrated in the front end of the line (FEOL) where active devices are exposed and more detailed cleans required. A primary challenge in FEOL cleans is the continuous reduction in the defect levels. As a rule, a "killer defect" is less than half the size of the device linewidth. For example, at 0.25 µm geometries, cleans must remove particles smaller than 0.12 µm and at 0.18 µm, 0.09 µm particles.

Table 1. Particle Addition limits  

Generation Particle size 60% yield 80%yield 90% yield 95% yield

250 nm 125 nm 9.6 3.9 1.8 0.86

180 nm 90 nm 4.8 1.95 0.9 0.43

130 nm 65 nm 2.24 0.91 0.42 0.20

100 nm 50 nm 0.96 0.39 0.18 0.086

  The issue is that smaller particles are physically more difficult to remove, because it is harder to deliver the necessary force to inuscule dimensions. Thus more energy is

Page 2: Cleaning of Silicon Wafers

required to remove smaller particles. The back end of line (BEOL) has multiple metal layers requiring more specific cleans, such as removing particles and complex organic materials. However, the progression from 0.25 µm to .13 µm design rules has meant the addition of new metal layers. In general, every metal added would introduce three to five BEOL leans depending on the process. With seven metal layers at 0.18 µm, the number of BEOL cleans is not only comparable to but is beginning to exceed the number of front-end cleans.

Figure 1. Typical IC manufacturing process flow

Page 3: Cleaning of Silicon Wafers

Types and sources of contamination

Particles- dust, pollen, clothing particles, bacteria, etc. In ordinary room there are as much as 10 6 particles more then 0.5 micron in diameter per cu.ft. Particles with diameter more then 20 micron will settle down readily. Particles of diameter from 0.1 to 20 micron are the main problem.

Inorganic contaminants-salts, positive and negative ions in solution, heavy metal atoms. Inorganics are removed by cleaning the wafer in water recirculation systems and using special solutions.

Organic contaminants- smog, skin oil, fluxes, lubricants, solvent vapors, monomers from plastic tubing and storage boxes that can condense on substrate. They usually removed using strong oxidizers, gaseous or liquid.

Impurities- incorporated during the formation of substrates or overlayer films. Generally, they cannot be removed.

Figure 2. Contamination on the silicon surface  

Page 4: Cleaning of Silicon Wafers

Methods /solutions/techniques

 Most cleaning methods can be loosely divided into two big groups: wet and dry methods. Liquid chemical cleaning processes are generally referred to as wet cleaning. They rely on combination of solvents, acids and water to spray, scrub, etch and dissolve contaminants from wafer surface. Dry cleaning processes use gas phase chemistry, and rely on chemical reactions required for wafer cleaning, as well as other techniques such as laser, aerosols and ozonated chemistries. Generally , dry cleaning technologies use less chemicals and less hazardous for environment but usually do not perform as well as wet methods, especially for particle removal.  

Wet-chemical cleaning methods.

Although no single procedure is perfect for all process steps, typical wet cleaning sequence includes [2]:

1 . Sulfuric acid/hydrogen peroxide/ deionized water (SPM, H2SO4/H2O2/H2O@110- 130 degrees C ) . SPM usually used to remove organic contaminations (often called "piranha clean").

2. Hydrofluoric acid or diluted hydrofluoric acid (HF or DHF @ 20-25 degrees C). It removes oxides from area of interest, etches silicone oxides and dioxides, and reduces metals contamination of the surface. Sometimes buffered oxide etch,(BOE or BHF,/ NH4/HF/H2O @60-80degrees C ) is used in place of DHF in some processes, but exposure to it can lead to NH4F precipitation and contamination.

3. Ammonium hydroxide/ hydrogen peroxide/ DI water mixture (APM, NH4OH/H2O2/H2O@60-80degrees C ). APM oxidizes and slightly etches to undercut and remove particles from the surface; it also removes organic and metal contaminants .

4. Hydrochloric acid/hydrogen peroxide/DI water (HPM, HCL/H2O2/H2O@60-80degrees C ) HPM removes metallic contaminants from silicone substrate and acts as oxidizing agent.

5. Ultra-pure water (UPW) . Commonly called as DI water, it dilutes chemicals, and rinses solutions after chemical cleans.

Table 2 lists the most commonly used wet cleaning methods for removal of each type of contaminants.

Page 5: Cleaning of Silicon Wafers

Table 2.  

Particles Organic Metals Native/chemical oxides

APM SPM

APM

SPM

HPM

DHF

DHF

BHF

   

The RCA clean [2] sequence developed by Werner Kern in the 1960s still is used widely in semiconductor manufacturing as a critical clean for the removal of organic, metallic and particulate contamination on wafer surfaces prior to oxide growth operations. The typical sequence starts with SPM for heavy organic removal, followed by dip in the DHF. So-called Standard Clean 1 (SC-1) uses APM to remove particles and Standard Clean 2(SC-2) uses HPM to remove metal contaminations. High pH SC-1 is an effective particulate removal chemistry, aided by the high negative zeta potential of both silicon and oxide in this pH range. SC-2 is effective at removing metallic contamination with a pH low enough to ensure good metal oxide solubility and with the chlorideion acting as a complexing agent. Typical composition of the traditional SC-1 is chemical ratio of (1:1:5, NH4OH:H2O2:H2O) at 60°C. Sometimes megasonic energy is used to increase particle removal efficiency. Similarly,typical chemical ratio for SC-2 is (1:1:6, HCl:H2O2:H2O) at 85°C. The composition and order of steps can vary but all wafers are rinsed in UPW after each chemical immersion. Last few years brought few changes, but the basic cleaning philosophy used in most fabrication processes still based on the original RCA process.  

Advances in wet cleaning

Wet cleaning account for an important part of a total chemical consumption, and relatively small improvement in chemical usage has a large overall effect. In view of large volume of water and chemical used, an optimization of wet cleaning steps is urgently needed. The new environment-friendly technologies move toward the reduction of chemicals use, recycling of water and development of improved dry processing techniques to assist wet cleaning. Mechanical cleaning technologies such as centrifugal spraying, water jets, brush scrubbing [10] , pressurized fluids and cryogenic ("frozen") particles jets [12,19]. The number of cleaning and rinsing steps has also decreased by using so-called Marangoni technique. The Marangoni principle involves the slow withdrawal of wafers from a DI water bath to an environment of isopropyl alcohol (IPA)

Page 6: Cleaning of Silicon Wafers

and nitrogen such that only the portion of the surface that is at the interface of the liquid and vapor phases is "drying" at any one time. In this way, uncontrolled evaporative drying on the wafer is prevented. IPA drying provides a great advantage in hydrophobic cleaning steps such as pre-gate, pre-silicide and pre-contact cleans. Many advances are based on the use of the diluted chemistries and ozonated UPW as a replacement of hydrogen peroxide or even sulphuric-based mixtures.      

Megasonic

Megasonic agitation [ 1,11] is the most widely used approach to adding energy (at about 800kHz and 100,000g) to the wet cleaning process. The physics behind how particles are removed however, is not well understood. A combination of an induced flow in the cleaning solution (called acoustic streaming), cavitation, the level of dissolved gases and oscillatory effects are all thought to contribute to particle removal performance. Despite the familiarity of megasonics, there is still no common opinion of whether or not it can make damage to the wafer . If it is true, this may prove to be a critical limitation for the removal of particles smaller than 0.1 µm [25]. To address this potential shortcoming associated with the megasonic process, a new technique has been developed by ProSys (Campbell, Calif.) [9,21]. which uses acoustic wave action from a piezoelectric crystal to cleanse wafers in fluid by producing a controlled cavitation - the formation and activity of bubbles. By exciting ceramic piezoelectric crystals with a high-frequency AC voltage, the resulting vibrations generate an acoustic wave that provides the cleaning mechanism. As many as 16 piezoelectric crystals can be switched on and off in sequence, delivering pulsed megasonic energy up to 100 Hz.      

Diluted chemistries.

The development of dilute cleaning chemistries has peaked industry interest. As market competitiveness increases, chemical consumption and associated costs become increasingly important. Dilute (1:1:50) ammonium hydroxide (NH4OH)/hydrogen peroxide chemistries (SC-1) have the advantage of reduced oxide loss while preserving excellent particle removal performance. Similarly, many studies have shown that highly dilute (1:1:60) hydrochloric acid/hydrogen peroxide (HCl/H2O2) mixtures (SC-2) are effective at controlling metallic contamination. [3,4,5]. With implementation of megasonic cleaning, diluted SC-1,SC-2 and HF, and opitimized timing of various cleaning steps, this can lead to 4-50 times reduction in chemicals consumption.

Page 7: Cleaning of Silicon Wafers

    Another important issue is a reduction in surface roughness Fig.3 AFM photo of the silicone         also can be seen when using dilute chemistries. after standard RCA and diluted         Atomic force microscopy (AFM) studies indicate that a standard wet cleaning .                      SC-1,1:1:5 ratio clean at temperatures >65 deg.C has an rms                                                          roughness of >1.5 Å (Fig. 2). With 50:1 dilute chemistries and temperatures <50C, rms roughness was reduced by a factor of three to <0.5 Å.  

Ozonated and diluted chemistries

Ozonated chemistries can provide an environmentally friendly alternative to the use of sulfuric acid and harmful solvent strippers. Several research groups, have investigated the possible use of this technology for the removal of organic films. In particular, IMEC has developed a simplified cleaning process called IMEC-clean.[6,7] It's a simple two-step process with an optional third step before drying. The first step uses sulphuric acid/ozone mixture (SOM) to remove the organic contaminations and grow thin chemical oxide. Under the specific conditions, SOM can be replaced by ozonated UPW (H2O/O3) .. An O3 diffuser is immersed in heated DI water that can be spiked with additives like acetic acid. The wafers are then positioned directly above the liquid and exposed to a moist O3 ambient. This forms a thin condensation layer on the wafer that reduces the diffusion limitation and allows the short-lived, reactive O3 components to reach the wafer surface. Test results suggest that this process also may be used to completely eliminate all sulfuric acid-based process steps in IC production.    

Page 8: Cleaning of Silicon Wafers

Mechanical cleaning systems

Brush scrubbing

     Brush scrubbing is considered one of the most Fig.4 Brush scrubbing system                  effective methods for removing the slurry used                                                                in the CMP polishing process. It is used for particle reduction as well. Early versions of wafer scrubbers proved damaging to the wafer surface because of high pressure water sprays and nylon brushes. However, using brushes made of polyvinyl alcohol (PVA), a soft, highly compressible, sponge-like material, particle removal without damage to the surface of wafers can be achieved. Fig.4 shows OnTrak's wet cleaning double-sided scrubbing (DSS) system. The system is now capable of cleaning 55 to 60 ight-inch wafers an hour. The DSS system uses polyvinyl alcohol brushes to gently remove particles as small as 0.12 micron without damaging the wafer surface. Both silicon and IC manufacturers have confirmed that PVA brush scrubbing is not only able to remove particles on the order of a micron but is effective for the removal of submicron particles as well., the brush scrubber's ability to clean with room temperature dilute solutions, non-toxic chemicals and/or DI water is well suited to the environmental and safety requirements of today and the even more stringent requirements of next generation manufacturing technologies [11].  

Page 9: Cleaning of Silicon Wafers

Dry cleaning methods

The introduction of dry cleaning methods into the IC manufacturing process has been slower then expected [12], although some processes, such as CL-based chemistries[13], Ar sputtering, and H2 pre-bakes, have been available for 30 years For the past 10 years, the semiconductor industry has anticipated the replacement of wet chemistries with dry cleaning technologies. Integrated, single-wafer cleaning has been contemplated and tested since the late 1980s [24]. With the introduction of single-wafer anhydrous HF (AHF)-vapor, UV/ozone, chemical downstream etch (CDE) and other dry processes, integrated cleaning has become a viable approach to surface contamination control.

Table 3 lists common methods for the removal of each type of contaminant in the gas phase.

Table 3. Commonly used dry cleaning methods.  

Particles Organics Metals Native/chem. oxide

Cryogenic O2 anneal Anneal in Cl-based chemistries.

Ar sputter

Laser Ozone NO/HCl/N2 anneal H2 anneal

CO2 snow UV/ozone Remote plasma HCl Remote plasma H2

Remote plasma O2UV/Cl2 UV/SiCl4 UV/HCl

Remote plasma NF3/H2

AHF/H2O

Page 10: Cleaning of Silicon Wafers

AHF/alcohol solvent

UV/F2/H2

Conventional wet chemistries are very effective for most applications, though there are growing concerns regarding environmental safety and economics. Most likely dry methods will not directly replace wet chemistries in mainstream applications, but instead will supplement wet techniques at various points such as single 300 mm wafer processing. In theory, larger wafers should favor dry chemistries because of increased demand on chemical, water and waste .disposal. Cost effectiveness may also be seen if dry surface cleaning/conditioning modules are added to existing cluster tools. In addition to reduction of chemicals and water, a key advantage of dry surface processing technology is in its compatibility with process integration. [14].

Among several possible dry cleaning sequences (see Table 3), sequentialUV/O2 treatment for organics removal, AHF/alcoholic solvent process for chemical oxide etching and UV/Cl2 exposure for volatilization of metallic contaminants and slight etching of the silicon surface have been extensively studied. Having passed initial testing, commercial tools are currently available. The UV/Cl2 process, initially developed at Fujitsu, has been shown by various groups to perform well in the conditioning of silicon surfaces, because it can etch a few monolayers of silicon without roughening the surface. UV/Cl2 exposure at temperatures below 200°C at reduced pressure has been shown very effective in Si surface processing prior to low-temperature epi deposition and post-RIE surface treatments in which slight etching of silicon is needed to remove surface damage. So while the production-worthiness of these methods has yet to be proven, the considerable potential for performance and economic gains spurs further research and development.  

Page 11: Cleaning of Silicon Wafers

Cryogenic Cleaning

The need for very specific spot cleans is coming into focus with new emphasis on dry processes such as vapor cleans and cryogenics. A 10-year-old technology, also referred to as aerosol cleaning, cryogenics uses either a CO2 or an argon/nitrogen gas source.

Fig.5.Wafers cleaned under a stream of frozen argon/nitrogen in ARIES.

The use of CO2 aerosol cleaning for precision applications has been limited by problems with recontamination. Early systems used evaporative cooling, which would freeze the liquid droplet into a solid particle, thus distilling off the pure CO2 and concentrating contaminants in the droplets. To address this issue, ATS Eco-Snow Systems (Livermore, Calif.) has designed a new nozzle that prevents the deposition of residual contaminants on the wafer surface.[7] Their approach combines purified CO2, specialized environmental control, ultraclean automation and process control with advanced nozzle technology. The Eco-Snow technique has successfully removed particles down to 0.15 µm with concentration levels <0.05 particles/cm2. An argon/nitrogen aerosol mix was orginally developed at IBM because of the inherently higher purity of gaseous sources. FSI's (Chaska, Minn.) ARIES (Fig 5) pre-cools the gases with liquid nitrogen at high pressures and forms the solid aerosols in a vacuum chamber. Wafers are then scanned under a linear nozzle where high velocity aerosols, >100 m/sec, clean the surface. Greater than 99% removal of surface particles larger than 0.15 µm have been demonstrated. Applied to areas where conventional cleaning methods are limited such as gate stacks and interconnect, yield improvements from 2 to 8% have been indicated.  

Laser cleaning

Laser cleaning can reduce particulates from a wafer surface without the use of water chemicals and with no hazardous wastes. One approach used at Radiance Services Co. (Bethesda, Md.) implements a KrF excimer laser to lift the contaminant from the surface and a flowing inert gas to sweep it away. [16 ] Surface micro-roughening by the process is less than 1%, comparable to the native roughness of a silicon waferflakes from 80 µm to 0.09 µm has been demonstrated as well as removal of photo resist films, chemical hazes and metallic ions. It has been hypothesized that cleaning occurs from, among

Page 12: Cleaning of Silicon Wafers

various mechanisms, a combination of light-induced surface phonons breaking particle bonds through energy absorption, charge transfers between the surface and the particle and photo decomposition of the particle. Because it is capable of cleaning defects less than half the size of conventional wet cleaning, the process may become a yield enabler for 0.18 µm design rules and below. Studies continue at Radiance and Rutherford Appleton Laboratories (Chilton,UK) to further evaluate laser gas cleaning.

Oramir's method, called the L-Stripper, [17] uses a combination of UV excimer laser ablation and reactive chemistry to strip the photoresist. The reactive gases are based on ozone, nitrous oxide and very small amounts of nitrogen trifluoride. These gases become highly reactive only during the short laser pulse (~30 nsec). This makes the process highly selective, attacking the resist and side wall polymers but does not etch the thin gate oxide. During the L-Stripper process, reactive gases are injected into a low vacuum process chamber. Excimer laser pulses ( l = 248 nm), at a given repetition rate and peak intensity, are incident on the sample using a patented optical system to prevent radiation damage. As the laser beam scans the entire wafer, photoresist and embedded contaminants are removed and volatilized by the photoactive process gas. The reactive products are continuously pumped out of the process chamber through a catalytic converter making the process safe and environmentally friendly. With this photochemically assisted laser ablation technique, no residual residues remain even under difficult stripping conditions such as post poly, via etch and following high dose implants. Alpha testing was performed to evaluate particle and resist removal. No residues were detected by SEM and Auger analysis. To verify that no metal contamination remained after the process, VPD-TXRF tests were performed. Typical results were 3.3 x 109 at/cm2 for Fe and 4.6 x 1010 at/cm2 for Al, which meet the SIA Roadmap cleanliness standards and prove that, indeed, no wet chemical follow-up is needed. Further Auger analysis indicated that no carbon or other contaminants typical of photoresist residues were detected. The process is currently in beta-testing by a consortia consisting of Siemens, Philips and Alcatel Microelectronics at the Frauhofer Institute in Munich, Germany.  

New materials

The technology development surrounding the replacement of SiO2-based dielectrics with low k materials (below 3.0) and aluminum with copper is spreading out in the industry. These new materials present the biggest challenge for cleaning as well. Though no decision has been made, the primary dielectric for interconnects is likely to be an organic film. This might be a big challenge for cleaning technology, because many of the cleaning processes are designed to remove organic materials as contaminants. Therefore, one cannot be removed without attacking the other. With oxide dielectrics, it is possible to configure certain selectivities into the chemistry, to remove organic resist films, for example, but leave the SiO2 untouched. However, it is not clear if the same kind of selectivities exist with organic dielectrics. The compatibility of RCA-type chemistries is in question. Companies that have concentrated on fine-tuning cleaning processes are now forced to create new technologies.      

Page 13: Cleaning of Silicon Wafers

Future of the cleaning systems

Commonly used wet cleaning technologies will remain dominant because of their overall higher cleaning strength . Alternative processes that either reduce or replace chemical usage are being investigated, because of the current challenges in submicron particle removal, and environmental impact. Dry cleaning processes will not replace wet cleaning, but rather complement them and will be used there wet processes are impractical or inadequate. This will likely take place in combination with wet cleaning steps which would effectively lead to broader use of "hybrid" sequences. In that kind of system, gas-phase chemistries would primarily play a surface conditioning role, while wet chemistries would remove particle and metallic contaminations. Requirements of more stringent device specifications, environmental concerns are prompting the development of new dry cleaning techniques such as laser, aerosols and ozonated chemistries in parallel with the improvement of wet methods. The move to new materials, (copper, low k dielectrics with dielectric constants in the 2.5 to 2.8 range ) bring additional challenges and will also force the advancement of new cleaning solutions. Wafer cleaning technology is undergoing several major transitions driven by new materials, environmental/cost issues and continued tightening of specifications. So in addition to more complex solutions, the end result is likely to be a more diverse set of technology solutions that are tailored to these specific application needs.

References  

1. H.I. Smith., Submicron and nanometer-structure technology. 1994.

2. W. A. Kern and D. A. Poutinen, RCA Rev.,vol.31, p. 187, 1970.

3.T. Q. Hurd, SEMI 1995 - Cleaning Technology for the Sub mm Era, p. 69, 1995.

4.T. Q. Hurd, P. W. Mertens, H. F. Schmidt, D. Ditter, L. H. Hall, M. Meuris, M. M. Heyns, 1994 Proceedings of the Institute of Environmental Sciences, p. 143, 1994.

5.T. Q. Hurd, P. W. Mertens, L. H. Hall, M. M. Heyns, UCPSS 1994 Proceedings, p. 435, 1994.

6. IMEC Newsletter. Belgium, #23 November 1998.

7. Industrial News, Semiconductor International October 1998

8. M,Heyens,P.W. Mertens,J.Ruzyllo, Solid State Technology, p.37 March 1999.

9. R.DeJule, Semiconductor International, August 1998 ,p 64.

10. SST on the web: http://www.solid.-state.com/99issue/

11.S.Shwartzman,A.Mayer. RCA review, vol.46 p.81,1985

Page 14: Cleaning of Silicon Wafers

12.W.T.McDormott, Microcontamination,vol.9.p.33  

13. P.W.Mertens, Mat. Res.Soc. Proc. Vol. 473 p.149, 1997

14. M. Lester. Semiconductor International, March 1999

15.T.Couteau, M.McBride, D. Riley, P. Peavey, Semiconductor International, October 1998  

16. R.DeJule, Semiconductor International, August 1998 ,p 68.

17. M. Lester, Semiconductor International April 1999.

18. R.DeJule, Semiconductor International, November 1998  

19.T.Ito R.Sugino, UCPSS’98 Oostene, Belgium p 219. 1998  

20.Future Fab, Issue 5  

21.Semiconductor Business News, © 1998, CMP Media Inc. June 15, 1998

22.Kurt K. Christenson, Jeffery W. Butterbaugh, Semiconductor International, August 1998 ,p 93.

23.P.Singer Semiconductor International March 1999

24.J. Ruzyllo, "Evaluating the feasibility of dry cleaning of silicon wafers," Microcontamination 6(3), 39 (1988).  

25. B. Fraser and M. Olesen, 1998 Semiconductor Pure Water and Chemicals Conference, M. Balazs Ed., Balazs Laboratory, Sunnyvale, Calif., 1998, p. 375.