63
CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (www.cs.berkeley.edu/~kubitron) lecture slides: http://www- inst.eecs.berkeley.edu/~cs152/

CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

Embed Size (px)

Citation preview

Page 1: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

CS152Computer Architecture and Engineering

Lecture 6

Verilog (finish)Multiply, Divide, Shift

February 11, 2004

John Kubiatowicz (www.cs.berkeley.edu/~kubitron)

lecture slides: http://www-inst.eecs.berkeley.edu/~cs152/

Page 2: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.2

Review from last time

° Design Process

• Design Entry: Schematics, HDL, Compilers

• High Level Analysis: Simulation, Testing, Assertions

• Technology Mapping: Turn design into physical implementation

• Low Level Analysis: Check out Timing, Setup/Hold, etc

° Verilog – Three programming styles

• Structural: Like a Netlist

- Instantiation of modules + wires between them

• Dataflow: Higher Level

- Expressions instead of gates

• Behavioral: Hardware programming

- Full flow-control mechanisms

- Registers, variables

- File I/O, consol display, etc

Page 3: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.3

° Blocking Assignments:

• Assignments happen more like programming language (sequential code)

• Both Right and left sides evaluated completely

• Wait until assignment before going on- Can cause unexpected results when connecting output to logic in other always blocks.

- Also a bit strange with delays on left hand side (LHS)

° Example:reg E, C;

always @(posedge clk)

begin

E = ~A;

C = ~E;

end

A

E

C

Verilog subtlety: Blocking Assignments

Page 4: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.4

° Non-blocking Assignments:

• All right-hand sides evaluated immediately

• Then assignments occur

• If no delays, often want output ports to be assigned with non-blocking assignments

° Example:reg E, C;

always @(posedge clk)

begin

E <= ~A;

C <= ~E;

end

A C

E

Verilog subtlety: Non-Blocking Assignments

Page 5: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.5

Sequential Logic (Revisited: better scheduling)

module FF (CLK,Q,D); input D, CLK; output Q; reg Q; always @ (posedge CLK)

Q <= D;endmodule // FFGood: Doesn’t output until

“after edge”

Must be careful mixing zero-time blocking assignments and edge-triggering: Probably won’t do what you expect when connecting it to other things!

module FF (CLK,Q,D); input D, CLK; output Q; reg Q; always @ (posedge CLK)

Q = #5 D;endmodule // FF

Good: Outputs 5 units “after edge”

module FF (CLK,Q,D); input D, CLK; output Q; reg Q; always @ (posedge CLK)

#5 Q = D;endmodule // FF

Probably Not what you Expect:• Hold time of 5 units• glitches < 5 units ignored

Page 6: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.6

A final word on Verilog

° Verilog does not turn hardware design into writing programs!

• Since Verilog looks similar to programming languages, some think that they can design hardware by writing programs.

- NOT SO.

• Verilog is a hardware description language.

- The best way to use it is to first figure out the circuit you want, then figure out how to describe it in Verilog.

• The behavioral construct hides a lot of the circuit details but you as the designer must still manage:

- the structure

- data-communication

- Parallelism

- timing of your design.

- Not doing so leads to very inefficient designs!

° Read the document on non-blocking assignment in Verilog that I put up on the handouts page. Lots of very interesting things!

Page 7: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.7

How Program: FPGA Generic Design Flow

° Design Entry:

• Create your design files using:

- schematic editor or

- hardware description language (Verilog, VHDL)

° Design “implementation” on FPGA:

• Partition, place, and route (“PPR”) to create bit-stream file

• Divide into CLB-sized pieces, place into blocks, route to blocks

° Design verification:

• Use Simulator to check function,

• Other software determines max clock frequency.

• Load onto FPGA device (cable connects PC to board)

- check operation at full speed in real environment.

Page 8: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.8

Idealized FPGA Logic Block

° 4-input Look Up Table (4-LUT)

• implements combinational logic functions

° Register

• optionally stores output of LUT

• Latch determines whether read reg or LUT

4-LUT FF1

0

latchLogic Block set by configuration

bit-stream

4-input "look up table"

OUTPUTINPUTS

Page 9: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.9

4-LUT Implementation

° n-bit LUT is actually implemented as a

2n x 1 memory:

• inputs choose one of 2n memory locations.

• memory locations (latches) are normally loaded with values from user’s configuration bit stream.

• Inputs to mux control are the CLB (Configurable Logic Block) inputs.

° Result is a general purpose “logic gate”.

• n-LUT can implement any function of n inputs!

latch

latch

latch

latch

16 x 1

mux16

INPUTS

OUTPUT

Latches programmed as partof configuration bit-stream

Page 10: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.10

LUT as general logic gate

° An n-lut as a direct implementation of a function truth-table

° Each latch location holds value of function corresponding to one input combination

0000 F(0,0,0,0)0001 F(0,0,0,1)0010 F(0,0,1,0)0011 F(0,0,1,1)0011010001010110011110001001101010111100110111101111

INPUTS

store in 1st latch

store in 2nd latch

Example: 4-lut

Example: 2-lutORANDINPUTS

11 1 110 0 101 0 100 0 0

Implements any function of 2 inputs.

How many functions of n inputs?

Page 11: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.11

RAM16X1S

O

DWE

WCLKA0A1A2A3

RAM32X1S

O

DWEWCLKA0A1A2A3A4

RAM16X2S

O1

D0

WEWCLKA0A1A2A3

D1

O0

=

=LUT

LUT or

LUT

RAM16X1D

SPO

D

WE

WCLK

A0

A1

A2

A3

DPRA0 DPO

DPRA1

DPRA2

DPRA3

or

Additional application: Distributed RAM

° CLB LUT configurable as Distributed RAM

• A LUT equals 16x1 RAM

• Implements Single and Dual-Ports

• Cascade LUTs to increase RAM size

° Synchronous write

° Synchronous/Asynchronous read

• Accompanying flip-flops used for synchronous read

Page 12: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.12

Block RAM

Spartan-IIETrue Dual-Port

Block RAM

Port A

Port B

Block RAM (Extra RAM not using LUTs)

° Most efficient memory implementation

• Dedicated blocks of memory

° Ideal for most memory requirements

• Virtex-E XCV2000 has 160? blocks

- 4096 bits per blocks (4K x 1, 2K x 4, 512 x 8, 256 x 16)

• Use multiple blocks for larger memories

° Builds both single and true dual-port RAMs

° CORE Generator provides custom-sized block RAMs

• Quickly generates optimized RAM implementation

Page 13: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.13

D QCE

D QCE

D QCE

D QCE

LUT

INCE

CLK

DEPTH[3:0]

OUTLUT =

Additional Application: Shift Register

° Each LUT can be configured as shift register

• Serial in, serial out

° Saves resources: can use less than 16 FFs

° Faster: no routing

° Note: CAD tools determine with CLB used as LUT, RAM, or shift register, rather than up to designer

Page 14: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.14

Example Partition, Placement, and Route

° Example Schematic Circuit:

• collection of gates and flip-flops

° Idealized FPGA structure:

Circuit combinational logic must be “covered” by 4-input 1-output “gates”.

Flip-flops from circuit must map to FPGA flip-flops. (Best to preserve “closeness” to CL to minimize wiring.)

Placement in general attempts to minimize wiring.

Page 15: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.15

Xilinx Vittex-E Routing Hierarchy

SINGLE

HEX

LONG

SINGLE

HEX

LONG

SIN

GL

E

HE

X

LO

NG

SIN

GL

E

HE

X

LO

NG

TRISTATE BUSSES

SWITCHMATRIX

SLICE SLICE

LocalFeedback

CA

RR

Y

CA

RR

Y

CLB

CA

RR

Y

CA

RR

Y

DIRECTCONNECTION

INTERNAL BUSSES

Single-length linesBuffered Hex lines (1/6 blocks)

Direct connections

Long lines and Global linesInternal 3-state Bus

° 24 single-length lines• Route GRM signals to adjacent GRMs in 4 directions

° 96 buffered hex lines• Route GRM (general routing matrix) signals to another GRMs six blocks away in each of the 4

directions

° 12 buffered Long lines• Routing across top and bottom, left and right

Note: CAD tools do PPR, not designers

Page 16: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.16

Virtex-E Configurable Logic Block (CLB)

2 “logic slices” / CLB, two 4-LUTs / slice=> Four 4-LUTs / CLB

Page 17: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.17

Virtex-E CLB Slice Structure

° Each slice contains two sets of the following:

• Four-input LUT

- Any 4-input logic function

- Or 16-bit x 1 sync RAM

- Or 16-bit shift register

• Carry & Control

- Fast arithmetic logic

- Multiplexer logic

- Multiplier logic

• Storage element

- Latch or flip-flop

- Set and reset

- True or inverted inputs

- Sync. or async. control

Page 18: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.18

Details of Virtex-E Slice

Very fast ripple carry:(24-bit @ 100 MHz)

Multiplexors to helpcombine CLBs into larger multiplexor

Page 19: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.19

CLB

MUXF6

Slice

LUT

LUTMUXF5

Slice

LUT

LUTMUXF5

Virtex-E Dedicated Expansion Multiplexers

° Since 4-LUT has 4 inputs, max is 2:1 Mux (2 inputs, 1 control line)

° MUXF5 combines 2 LUTs to create• 4x1 multiplexer• Or any 5-input function (5-LUT)• Or selected functions up to 9 inputs

° MUXF6 combines 2 slices to form• 8x1 multiplexer• Or any 6-input function (6-LUT)• Or selected functions up to 19 inputs

° Dedicated muxes are faster and more space efficient

Page 20: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.20

Administrivia

° Change in Sections (sorry!):

• Section 1: 3107 Etcheverry 47 Evans

• Section 2: 3107 Etcheverry 105 Latimer

° Starts tomorrow!

° Hopefully well on the way with Lab 2!

• Some problem with schematics (which is fixed?)

° Start Reading Chapter 5

• No class on Monday (Holiday)

° Next Time: Quick review of single-cycle processor

• Also: First homework quiz next Wednesday

Page 21: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.21

MIPS arithmetic instructions° Instruction Example Meaning Comments

° add add $1,$2,$3 $1 = $2 + $3 3 operands; exception possible° subtract sub $1,$2,$3 $1 = $2 – $3 3 operands; exception possible° add immediate addi $1,$2,100 $1 = $2 + 100 + constant; exception possible° add unsigned addu $1,$2,$3 $1 = $2 + $3 3 operands; no exceptions° subtract unsigned subu $1,$2,$3 $1 = $2 – $3 3 operands; no exceptions° add imm. unsign. addiu $1,$2,100 $1 = $2 + 100 + constant; no exceptions° multiply mult $2,$3 Hi, Lo = $2 x $3 64-bit signed product° multiply unsigned multu$2,$3 Hi, Lo = $2 x $3 64-bit unsigned product° divide div $2,$3 Lo = $2 ÷ $3, Lo = quotient, Hi = remainder ° Hi = $2 mod $3 ° divide unsigned divu $2,$3 Lo = $2 ÷ $3, Unsigned quotient &

remainder ° Hi = $2 mod $3° Move from Hi mfhi $1 $1 = Hi Used to get copy of Hi° Move from Lo mflo $1 $1 = Lo Used to get copy of Lo

Page 22: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.22

MULTIPLY (unsigned)

° Paper and pencil example (unsigned):

Multiplicand 1000 Multiplier 1001

1000 0000 0000 1000

Product 01001000

° m bits x n bits = m+n bit product

° Binary makes it easy:

•0 => place 0 ( 0 x multiplicand)

•1 => place a copy ( 1 x multiplicand)

° 4 versions of multiply hardware & algorithm:

•successive refinement

Page 23: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.23

Unsigned Combinational Multiplier

° Stage i accumulates A * 2 i if Bi == 1

° Q: How much hardware for 32 bit multiplier? Critical path?

B0

A0A1A2A3

A0A1A2A3

A0A1A2A3

A0A1A2A3

B1

B2

B3

P0P1P2P3P4P5P6P7

0 0 0 0

Page 24: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.24

How does it work?

° At each stage shift A left ( x 2)

° Use next bit of B to determine whether to add in shifted multiplicand

° Accumulate 2n bit partial product at each stage

B0

A0A1A2A3

A0A1A2A3

A0A1A2A3

A0A1A2A3

B1

B2

B3

P0P1P2P3P4P5P6P7

0 0 0 00 0 0

Page 25: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.25

Carry Save addition of 4 integers° Adding: A2A1A0

+ B2B1B0

+ C2C1C0

+ D2D1D0

¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯¯

S4S3S2S1S0

° Add Columns first, then rows!

° Full Adder = 32 element

° Can be used to reduce critical path of multiply

° Example: 53 bit multiply (for floating point):

• At least 53 levels with naïve technique

• Only 9 with Carry save addition!

Carry Save Adder3=>2

I1 I2

S0S1

I3

Carry Save Adder3=>2

I1 I2

S0S1

I3

Carry Save Adder3=>2

I1 I2

S0S1

I3

0

C2

Carry Save Adder3=>2

I1 I2

S0S1

I3

Carry Save Adder3=>2

I1 I2

S0S1

I3

Carry Save Adder3=>2

I1 I2

S0S1

I3

S0S1S2S3S4

Carry Save Adder3=>2

I1 I2

S0S1

I3

Carry Save Adder3=>2

I1 I2

S0S1

I3

0

Carry Save Adder3=>2

I1 I2

S0S1

I3

B2 A2 C1 B1 A1 C0 B0 A0

D0D1D2

Page 26: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.26

Unisigned shift-add multiplier (version 1)

° 64-bit Multiplicand reg, 64-bit ALU, 64-bit Product reg, 32-bit multiplier reg

Product

Multiplier

Multiplicand

64-bit ALU

Shift Left

Shift Right

WriteControl

32 bits

64 bits

64 bits

Multiplier = datapath + control

Page 27: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.27

Multiply Algorithm Version 1

Product Multiplier Multiplicand0000 0000 0011 0000 0010

1: 0000 0010 0011 0000 00102: 0000 0010 0011 0000 01003: 0000 0010 0001 0000 01001: 0000 0110 0001 0000 01002: 0000 0110 0001 0000 10003: 0000 0110 0000 0000 1000

0000 0110 0000 0000 1000

3. Shift the Multiplier register right 1 bit.

DoneYes: 32 repetitions

2. Shift the Multiplicand register left 1 bit.

No: < 32 repetitions

1. TestMultiplier0

Multiplier0 = 0Multiplier0 = 1

1a. Add multiplicand to product & place the result in Product register

32nd repetition?

Start

Page 28: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.28

Observations on Multiply Version 1

° 1 clock per cycle => 100 clocks per multiply

• Ratio of multiply to add 5:1 to 100:1

° 1/2 bits in multiplicand always 0=> 64-bit adder is wasted

° 0’s inserted in right of multiplicand as shifted=> least significant bits of product never changed once formed

° Instead of shifting multiplicand to left, shift product to right?

Page 29: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.29

MULTIPLY HARDWARE Version 2

° 32-bit Multiplicand reg, 32 -bit ALU, 64-bit Product reg, 32-bit Multiplier reg

Product

Multiplier

Multiplicand

32-bit ALU

Shift Right

WriteControl

32 bits

32 bits

64 bits

Shift Right

Page 30: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.30

How to think of this?

B0

A0A1A2A3

A0A1A2A3

A0A1A2A3

A0A1A2A3

B1

B2

B3

P0P1P2P3P4P5P6P7

0 0 0 0

Remember original combinational multiplier:

Page 31: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.31

Simply warp to let product move right...

° Multiplicand stay’s still and product moves right

B0

B1

B2

B3

P0P1P2P3P4P5P6P7

0 0 0 0

A0A1A2A3

A0A1A2A3

A0A1A2A3

A0A1A2A3

Page 32: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.32

Multiply Algorithm Version 2

3. Shift the Multiplier register right 1 bit.

DoneYes: 32 repetitions

2. Shift the Product register right 1 bit.

No: < 32 repetitions

1. TestMultiplier0

Multiplier0 = 0Multiplier0 = 1

1a. Add multiplicand to the left half of product & place the result in the left half of Product register

32nd repetition?

Start

0000 0000 0011 00101: 0010 0000 0011 00102: 0001 0000 0011 00103: 0001 0000 0001 00101: 0011 0000 0001 00102: 0001 1000 0001 00103: 0001 1000 0000 00101: 0001 1000 0000 00102: 0000 1100 0000 00103: 0000 1100 0000 00101: 0000 1100 0000 00102: 0000 0110 0000 00103: 0000 0110 0000 0010

0000 0110 0000 0010

Product Multiplier Multiplicand

Page 33: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.33

Still more wasted space!

3. Shift the Multiplier register right 1 bit.

DoneYes: 32 repetitions

2. Shift the Product register right 1 bit.

No: < 32 repetitions

1. TestMultiplier0

Multiplier0 = 0Multiplier0 = 1

1a. Add multiplicand to the left half of product & place the result in the left half of Product register

32nd repetition?

Start

0000 0000 0011 00101: 0010 0000 0011 00102: 0001 0000 0011 00103: 0001 0000 0001 00101: 0011 0000 0001 00102: 0001 1000 0001 00103: 0001 1000 0000 00101: 0001 1000 0000 00102: 0000 1100 0000 00103: 0000 1100 0000 00101: 0000 1100 0000 00102: 0000 0110 0000 00103: 0000 0110 0000 0010

0000 0110 0000 0010

Product Multiplier Multiplicand

Page 34: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.34

Observations on Multiply Version 2

° Product register wastes space that exactly matches size of multiplier=> combine Multiplier register and Product register

Page 35: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.35

MULTIPLY HARDWARE Version 3

° 32-bit Multiplicand reg, 32 -bit ALU, 64-bit Product reg, (0-bit Multiplier reg)

Product (Multiplier)

Multiplicand

32-bit ALU

WriteControl

32 bits

64 bits

Shift Right

Page 36: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.36

Multiply Algorithm Version 3

DoneYes: 32 repetitions

2. Shift the Product register right 1 bit.

No: < 32 repetitions

1. TestProduct0

Product0 = 0Product0 = 1

1a. Add multiplicand to the left half of product & place the result in the left half of Product register

32nd repetition?

Start

0000 0011 0010 1: 0010 0011 0010 2: 0001 0001 0010 1: 0011 0000 0010 2: 0001 1000 0010 1: 0001 1000 0010 2: 0000 1100 0010 1: 0000 1100 0010 2: 0000 0110 0010

0000 0110 0010

Product Multiplicand

Page 37: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.37

Observations on Multiply Version 3

° 2 steps per bit because Multiplier & Product combined

° MIPS registers Hi and Lo are left and right half of Product

° Gives us MIPS instruction MultU

° How can you make it faster?

° What about signed multiplication?

• easiest solution is to make both positive & remember whether tocomplement product when done (leave out the sign bit, run for 31 steps)

• apply definition of 2’s complement

- need to sign-extend partial products and subtract at the end

• Booth’s Algorithm is elegant way to multiply signed numbers using same hardware as before and save cycles

- can handle multiple bits at a time

Page 38: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.38

Motivation for Booth’s Algorithm° Example 2 x 6 = 0010 x 0110:

0010 x 0110 + 0000 shift (0 in multiplier) + 0010 add (1 in multiplier) + 0010 add (1 in multiplier) + 0000 shift (0 in multiplier) 00001100

° ALU with add or subtract gets same result in more than one way:6 = – 2 + 8 0110 = – 00010 + 01000 = 11110 + 01000

° For example

° 0010 x 0110 0000 shift (0 in

multiplier) – 0010 sub (first 1 in multpl.) . 0000 shift (mid string of 1s) . + 0010 add (prior step had last 1) 00001100

Page 39: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.39

Booth’s Algorithm

Current Bit Bit to the Right Explanation Example Op

1 0 Begins run of 1s 0001111000 sub

1 1 Middle of run of 1s 0001111000none

0 1 End of run of 1s 0001111000 add

0 0 Middle of run of 0s 0001111000none

Originally for Speed (when shift was faster than add)

° Replace a string of 1s in multiplier with an initial subtract when we first see a one and then later add for the bit after the last one

0 1 1 1 1 0beginning of runend of run

middle of run

–1+ 1000001111

Page 40: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.40

Booths Example (2 x 7)

1a. P = P - m 1110 + 11101110 0111 0 shift P (sign

ext)

1b. 0010 1111 0011 1 11 -> nop, shift

2. 0010 1111 1001 1 11 -> nop, shift

3. 0010 1111 1100 1 01 -> add

4a. 0010 + 0010 0001 1100 1 shift

4b. 0010 0000 1110 0 done

Operation Multiplicand Product next?

0. initial value 0010 0000 0111 0 10 -> sub

Page 41: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.41

Booths Example (2 x -3)

1a. P = P - m 1110 + 11101110 1101 0 shift P (sign

ext)

1b. 0010 1111 0110 1 01 -> add + 0010

2a. 0001 0110 1 shift P

2b. 0010 0000 1011 0 10 -> sub + 1110

3a. 0010 1110 1011 0 shift

3b. 0010 1111 0101 1 11 -> nop4a 1111 0101 1 shift

4b. 0010 1111 1010 1 done

Operation Multiplicand Product next?

0. initial value 0010 0000 1101 0 10 -> sub

Page 42: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.42

Radix-4 Modified Booth’s Algorithm

Current Bit to the Explanation Example RecodeBits Right

0 0 0 Middle of zeros 00 00 00 00 00 0

0 1 0 Single one 00 00 00 01 00 1

1 0 0 Begins run of 1s 00 01 11 10 00 -2

1 1 0 Begins run of 1s 00 01 11 11 00 -1

0 0 1 Ends run of 1s 00 00 11 11 00 1

0 1 1 Ends run of 1s 00 01 11 11 00 2

1 0 1 Isolated 0 00 11 10 11 00 -1

1 1 1 Middle of run 00 11 11 11 00 0

° Same insight as one-bit Booth’s, simply adjust for alignment of 2 bits.

° Allows multiplication 2-bits at a time.

–1+ 1000001111

Page 43: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.43

Divide: Paper & Pencil

1001 Quotient

Divisor 1000 1001010 Dividend–1000 10 101 1010 –1000 10 Remainder (or Modulo result)

See how big a number can be subtracted, creating quotient bit on each step

Binary => 1 * divisor or 0 * divisor

Dividend = Quotient x Divisor + Remainder=> | Dividend | = | Quotient | + | Divisor |

3 versions of divide, successive refinement

Page 44: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.44

DIVIDE HARDWARE Version 1

° 64-bit Divisor reg, 64-bit ALU, 64-bit Remainder reg, 32-bit Quotient reg

Remainder

Quotient

Divisor

64-bit ALU

Shift Right

Shift Left

WriteControl

32 bits

64 bits

64 bits

Page 45: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.45

2b. Restore the original value by adding the Divisor register to the Remainder register, &place the sum in the Remainder register. Alsoshift the Quotient register to the left, setting the new least significant bit to 0.

Divide Algorithm Version 1°Takes n+1 steps for n-bit Quotient & Rem.

Remainder Quotient Divisor0000 0111 0000 0010 0000

Test Remainder

Remainder < 0Remainder 0

1. Subtract the Divisor register from the Remainder register, and place the result in the Remainder register.

2a. Shift the Quotient register to the left setting the new rightmost bit to 1.

3. Shift the Divisor register right1 bit.

Done

Yes: n+1 repetitions (n = 4 here)

Start: Place Dividend in Remainder

n+1repetition?

No: < n+1 repetitions

Page 46: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.46

Divide Algorithm I example (7 / 2) Remainder Quotient Divisor0000 0111 00000 0010 0000

1: 1110 0111 00000 0010 00002: 0000 0111 00000 0010 00003: 0000 0111 00000 0001 00001: 1111 0111 00000 0001 00002: 0000 0111 00000 0001 00003: 0000 0111 00000 0000 10001: 1111 1111 00000 0000 10002: 0000 0111 00000 0000 10003: 0000 0111 00000 0000 01001: 0000 0011 00000 0000 0100 2: 0000 0011 00001 0000 0100 3: 0000 0011 00001 0000 0010 1: 0000 0001 00001 0000 0010 2: 0000 0001 00011 0000 0010 3: 0000 0001 00011 0000 0001

Answer: Quotient = 3 Remainder = 1

Page 47: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.47

Divide: Paper & Pencil

01010 Quotient

Divisor 0001 00001010 Dividend 00001 –0001 0000 0001 –0001 0 00 Remainder (or Modulo result)

• No way to get a 1 in leading digit!(this is an overflow, i.e quotient would have n+1 bits) switch order to shift first and then subtract, can save 1 iteration

Page 48: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.48

Observations on Divide Version 1

° 1/2 bits in divisor always 0=> 1/2 of 64-bit adder is wasted => 1/2 of divisor is wasted

° Instead of shifting divisor to right, shift remainder to left?

Page 49: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.49

Divide Algorithm I example: wasted space Remainder Quotient Divisor0000 0111 00000 0010 0000

1: 1110 0111 00000 0010 00002: 0000 0111 00000 0010 00003: 0000 0111 00000 0001 00001: 1111 0111 00000 0001 00002: 0000 0111 00000 0001 00003: 0000 0111 00000 0000 10001: 1111 1111 00000 0000 10002: 0000 0111 00000 0000 10003: 0000 0111 00000 0000 01001: 0000 0011 00000 0000 0100 2: 0000 0011 00001 0000 0100 3: 0000 0011 00001 0000 0010 1: 0000 0001 00001 0000 0010 2: 0000 0001 00011 0000 0010 3: 0000 0001 00011 0000 0010

Page 50: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.50

DIVIDE HARDWARE Version 2

° 32-bit Divisor reg, 32-bit ALU, 64-bit Remainder reg, 32-bit Quotient reg

Remainder

Quotient

Divisor

32-bit ALU

Shift Left

WriteControl

32 bits

32 bits

64 bits

Shift Left

Page 51: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.51

Divide Algorithm Version 2

Remainder Quotient Divisor0000 0111 0000 0010

3b. Restore the original value by adding the Divisor register to the left half of the Remainderregister, &place the sum in the left half of the Remainder register. Also shift the Quotient register to the left, setting the new least significant bit to 0.

Test Remainder

Remainder < 0Remainder 0

2. Subtract the Divisor register from the left half of the Remainder register, & place the result in the left half of the Remainder register.

3a. Shift the Quotient register to the left setting the new rightmost bit to 1.

1. Shift the Remainder register left 1 bit.

Done

Yes: n repetitions (n = 4 here)

nthrepetition?

No: < n repetitions

Start: Place Dividend in Remainder

Page 52: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.52

Observations on Divide Version 2

° Eliminate Quotient register by combining with Remainder as shifted left

• Start by shifting the Remainder left as before.

• Thereafter loop contains only two steps because the shifting of the Remainder register shifts both the remainder in the left half and the quotient in the right half

• The consequence of combining the two registers together and the new order of the operations in the loop is that the remainder will shifted left one time too many.

• Thus the final correction step must shift back only the remainder in the left half of the register

Page 53: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.53

DIVIDE HARDWARE Version 3

° 32-bit Divisor reg, 32 -bit ALU, 64-bit Remainder reg, (0-bit Quotient reg)

Remainder (Quotient)

Divisor

32-bit ALU

WriteControl

32 bits

64 bits

Shift Left“HI” “LO”

Page 54: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.54

Divide Algorithm Version 3

Remainder Divisor0000 0111 0010

3b. Restore the original value by adding the Divisor register to the left half of the Remainderregister, &place the sum in the left half of the Remainder register. Also shift the Remainder register to the left, setting the new least significant bit to 0.

Test Remainder

Remainder < 0Remainder 0

2. Subtract the Divisor register from the left half of the Remainder register, & place the result in the left half of the Remainder register.

3a. Shift the Remainder register to the left setting the new rightmost bit to 1.

1. Shift the Remainder register left 1 bit.

Done. Shift left half of Remainder right 1 bit.

Yes: n repetitions (n = 4 here)

nthrepetition?

No: < n repetitions

Start: Place Dividend in Remainder

Page 55: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.55

Observations on Divide Version 3

° Same Hardware as Multiply: just need ALU to add or subtract, and 64-bit register to shift left or shift right

° Hi and Lo registers in MIPS combine to act as 64-bit register for multiply and divide

° Signed Divides: Simplest is to remember signs, make positive, and complement quotient and remainder if necessary

• Note: Dividend and Remainder must have same sign

• Note: Quotient negated if Divisor sign & Dividend sign disagreee.g., –7 ÷ 2 = –3, remainder = –1

• What about? –7 ÷ 2 = –4, remainder = +1

° Possible for quotient to be too large: if divide 64-bit integer by 1, quotient is 64 bits (“called saturation”)

Page 56: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.56

MIPS logical instructions° Instruction Example Meaning Comment

° and and $1,$2,$3 $1 = $2 & $3 3 reg. operands; Logical AND° or or $1,$2,$3 $1 = $2 | $3 3 reg. operands; Logical OR° xor xor $1,$2,$3 $1 = $2 $3 3 reg. operands; Logical XOR° nor nor $1,$2,$3 $1 = ~($2 |$3) 3 reg. operands; Logical NOR° and immediate andi $1,$2,10 $1 = $2 & 10 Logical AND reg, constant° or immediate ori $1,$2,10 $1 = $2 | 10 Logical OR reg, constant° xor immediate xori $1, $2,10 $1 = ~$2 &~10 Logical XOR reg, constant° shift left logical sll $1,$2,10 $1 = $2 << 10 Shift left by constant° shift right logical srl $1,$2,10 $1 = $2 >> 10 Shift right by constant° shift right arithm. sra $1,$2,10 $1 = $2 >> 10 Shift right (sign extend) ° shift left logical sllv $1,$2,$3 $1 = $2 << $3 Shift left by variable° shift right logical srlv $1,$2, $3 $1 = $2 >> $3 Shift right by variable° shift right arithm. srav $1,$2, $3 $1 = $2 >> $3 Shift right arith. by variable

Page 57: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.57

Shifters

Two kinds: logical-- value shifted in is always "0"

arithmetic-- on right shifts, sign extend

msb lsb"0" "0"

msb lsb "0"

Note: these are single bit shifts. A given instruction might request 0 to 32 bits to be shifted!

Page 58: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.58

Combinational Shifter from MUXes

° What comes in the MSBs?

° How many levels for 32-bit shifter?

° What if we use 4-1 Muxes ?

1 0sel

A B

D

Basic Building Block

8-bit right shifter

1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0

1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0

1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0

S2 S1 S0A0A1A2A3A4A5A6A7

R0R1R2R3R4R5R6R7

Page 59: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.59

General Shift Right Scheme using 16 bit example

If added Right-to-left connections couldsupport Rotate (not in MIPS but found in ISAs)

S 0 (0,1)

S 1(0, 2)

S 3(0, 8)

S 2(0, 4)

Page 60: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.60

Funnel Shifter

XY

R° Shift A by i bits (sa= shift right amount)

° Logical: Y = 0, X=A, sa=i

° Arithmetic? Y = _, X=_, sa=_

° Rotate? Y = _, X=_, sa=_

° Left shifts? Y = _, X=_, sa=_

Instead Extract 32 bits of 64.

Shift Right

Shift Right

32 32

32

Y X

R

Page 61: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.61

Barrel ShifterTechnology-dependent solutions: transistor per switch

D3

D2

D1

D0

A6

A5

A4

A3 A2 A1 A0

SR0SR1SR2SR3

Page 62: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.62

Summary

° Multiply: successive refinement to see final design

• 32-bit Adder, 64-bit shift register, 32-bit Multiplicand Register

• Booth’s algorithm to handle signed multiplies

• There are algorithms that calculate many bits of multiply per cycle (see exercises 4.36 to 4.39 in COD)

° Booth Encoding: introducing multiple representations

• Numbers can be encoded in different ways SRT Divide (for instance)

• Handles multiplication of negative numbers transparently

° Divide can use same hardware as multiply: Hi & Lo registers in MIPS

° Shifter: success refinement 1/bit at a time shift register to barrel shifter

Page 63: CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (kubitron)

2/11/03 ©UCB Spring 2004 CS152 / Kubiatowicz

Lec6.63

To Get More Information

° Chapter 4 of your text book:

• David Patterson & John Hennessy, “Computer Organization & Design,” Morgan Kaufmann Publishers, 1994.

° David Winkel & Franklin Prosser, “The Art of Digital Design: An Introduction to Top-Down Design,” Prentice-Hall, Inc., 1980.

° Kai Hwang, “Computer Arithmetic: Principles, archtiecture, and design”, Wiley 1979