55
APPROVED BY AICTE NEW DELHI, AFFILIATED TO VTU BELGAUM DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING DIGITAL ELECTRONICS LABORATORY LAB MANUAL 15ECL38 III-SEMESTER 2016-2017 Prepared by: Reviewed by: Approved by: Mrs. A. Deepa Mrs. Kavitha M V Dr. A.A. Powly Thomas Assistant Professor Head of the Department Principal Dept. of ECE Dept. of ECE GCEM GCEM GCEM 81/1, 182/1, Hoodi Village, Sonnenahalli, K.R. Puram, Bengaluru, Karnataka-560048.

department of electronics & communication engineering digital

  • Upload
    ngodan

  • View
    220

  • Download
    5

Embed Size (px)

Citation preview

Page 1: department of electronics & communication engineering digital

APPROVED BY AICTE NEW DELHI, AFFILIATED TO VTU BELGAUM

DEPARTMENT OF ELECTRONICS & COMMUNICATION

ENGINEERING

DIGITAL ELECTRONICS LABORATORY

LAB MANUAL – 15ECL38

III-SEMESTER

2016-2017

Prepared by: Reviewed by: Approved by:

Mrs. A. Deepa Mrs. Kavitha M V Dr. A.A. Powly Thomas

Assistant Professor Head of the Department Principal

Dept. of ECE Dept. of ECE GCEM

GCEM GCEM

81/1, 182/1, Hoodi Village, Sonnenahalli, K.R. Puram, Bengaluru,

Karnataka-560048.

Page 2: department of electronics & communication engineering digital

1

Contents

S.No Title

Page No

1.

Syllabus

2-2

2.

Course objective

2-2

3.

Course outcome

3-3

4.

Do‟s & Don‟ts

4-4

5.

List of experiments

5-46

6.

Viva questions

47-48

7.

Appendix-1

49-52

Page 3: department of electronics & communication engineering digital

2

Syllabus

DIGITAL ELECTRONICS LABORATORY

[As per Choice Based Credit System (CBCS) scheme]

SEMESTER – III (EC/TC)

Laboratory Code 15ECL38 IA Marks 20

Number of Lecture 01Hr Tutorial (Instructions) Exam Marks 50

Hours/Week + 02 Hours Laboratory

Laboratory Experiments:

1. Verify

(a) Demorgan‟s Theorem for 2 variables.

(b) The sum-of product and product-of-sum expressions using universal gates.

2. Design and implement

(a) Full Adder using basic logic gates.

(b) Full subtractor using basic logic gates.

3. Design and implement 4-bit Parallel Adder/ subtractor using IC 7483.

4. Design and Implementation of 4-bit Magnitude Comparator using IC 7485.

5. Realize

(a) 4:1 Multiplexer using gates.

(b) 3-variable function using IC 74151(8:1MUX).

6. Realize 1:8 Demux and 3:8 Decoder using IC74138.

7. Realize the following flip-flops using NAND Gates.

(a) Clocked SR Flip-Flop (b) JK Flip-Flop.

8. Realize the following shift registers using IC7474

(a) SISO (b) SIPO (c) PISO (d)PIPO.

9. Realize the Ring Counter and Johnson Counter using

IC7476.

10. Realize the Mod-N Counter using IC7490.

11. Simulate Full- Adder using simulation tool.

12. Simulate Mod-8 Synchronous UP/DOWN Counter using

Simulation tool.

Page 4: department of electronics & communication engineering digital

3

Course Objectives:

This laboratory course enables students to get practical experience in design,

realization and verification of

Demorgan‟s Theorem, SOP, POS forms

Full/Parallel Adders, Subtractors and Magnitude Comparator

Multiplexer using logic gates

Demultiplexers and Decoders

Flip-Flops, Shift registers and Counters.

Course Outcomes:

On the completion of this laboratory course, the students will be able to:

Demonstrate the truth table of various expressions and combinational circuits

using logic gates.

Design, test and evaluate various combinational circuits such as adders, subtractors,

comparators, multiplexers and demultiplexers.

Construct flips-flops, counters and shift registers.

Simulate full adder and up/down counters.

Page 5: department of electronics & communication engineering digital

4

Do’s & Dont’s

Do’s

Conduct yourself in a responsible manner at all times in the laboratory.

Dress properly during a laboratory activity.

Long hair, dangling jewelry and loose or baggy clothing are a hazard in the

laboratory.

Observe good housekeeping practices.

Replace the materials in proper place after work to keep the lab area tidy.

Dont’s

Do not wander around the room, distract other students, startle other students or

interfere with the laboratory experiments of others.

Do not eat food, drink beverages or chew gum in the laboratory and do not use

laboratory glassware as containers for food or beverages.

Do not open any irrelevant internet sites on lab computer

Do not use a flash drive on lab computers.

Do not upload, delete or alter any software on the lab PC.

Do not switch on the trainer kit without verifying connection.

Page 6: department of electronics & communication engineering digital

5

List of Experiments

EXPT.

NO. Name of the Experiment

PAGE

NO.

Introduction

Study of Logic gates 7

01

To verify

(a) Demorgan‟s Theorem for 2 variables

(b) The sum-of product and product-of-sum expressions using universal

gates

10

02

To design and implement

(a) Full Adder using basic logic gates.

(b) Full subtractor using basic logic gates.

14

03 To design and implement 4-bit Parallel Adder/ subtractor using IC 7483. 18

04 Design and Implementation of 4-bit Magnitude Comparator using IC 7485. 21

05

To realize

(a) 4:1 Multiplexer using gates

(b) 3-variable function using IC 74151(8:1 MUX)

23

06 Realize 1:8 Demux and 3:8 Decoder using IC74138. 27

07 To realise the following flip-flops using NAND Gates.

(a) Clocked SR Flip-Flop (b) JK Flip-Flop 29

08 To realize the following shift registers using IC7474

(a) SISO (b) SIPO (c)PISO 32

09 To realize the Ring Counter and Johnson Counter using IC7476. 39

10 To realize the Mod-N Counter using IC7490. 42

11 Simulate Full- Adder using simulation tool.

44

12 Simulate Mod-8 Synchronous UP/DOWN Counter using simulation tool. 46

Page 7: department of electronics & communication engineering digital

6

INTRODUCTION

STUDY OF LOGIC GATES

Aim: Truth Table verification of logic gates

General Characteristics:

a. Pin „Vcc‟ on an I.C is to be connected to a regulated power supply of +5 volts.

b. Pin „GND‟ on an I.C is to be connected to the power supply ground.

c. = High or „1‟ state implies a voltage between 2.4V and 5.0V in the input state.

Procedure:

1. Fix the I.C on the I.C trainer kit.

2. Connections are made as shown, using the pin details of the gates. Toggle switches and

LED‟s in the trainer are used as inputs and outputs respectively.

3. Switch on the supply on the trainer and verify the truth table of the gates

AND GATE (7408)

Truth Table

A B Y=A.B

0 0 0

0 1 0

1 0 0

1 1 1

Y

B

A

4

3

5

6

7408

GND7 8

9

10

14

11

12

13

2

1 VCC

Page 8: department of electronics & communication engineering digital

7

OR GATE (7432)

Truth Table

A B Y=A+B

0 0 0

0 1 1

1 0 1

1 1 1

NOT GATE (7404)

Truth Table

A Y=Ā

0 1

1 0

YA

B

9

1

2

3

4

14

10

8

11

13

7

12

6

VCC

5

GND

7432

Page 9: department of electronics & communication engineering digital

8

NAND GATE (7400)

Truth Table

A B

0 0 1

0 1 1

1 0 1

1 1 0

NOR GATE (7402)

Truth Table

A B

0 0 1

0 1 0

1 0 0

1 1 0

A

B

Y

Y

B

A

4

3

5

6

7402

GND78

9

10

14

11

12

13

2

1 VCC

Page 10: department of electronics & communication engineering digital

9

EX-OR GATE (7486)

Truth Table

A B Y=AB

0 0 0

0 1 1

1 0 1

1 1 0

A

B

Y

4

3

5

6

7486

GND7 8

9

10

14

11

12

13

2

1 VCC

Page 11: department of electronics & communication engineering digital

10

Experiment No: 01 Date:

AIM:

1. To verify De-Morgan‟s theorem for two variables

2. To realize sum of product (SOP) and product of sum (POS) expressions using

universal gates.

COMPONENTS REQUIRED: IC Trainer kit, IC 7400, IC 7402

THEORY:

1. De Morgan theorem states that

a) AB‟=A‟+B‟

b) (A+B)‟=A‟.B‟

De-Morgan‟s theorem is highly useful to simplify the Boolean expression

2. Gates NAND and NOR are known as universal gates, because any logic gates or Boolean

expression can be realized by either NAND or NOR gate alone. Each product term in the SOP

expression is called minterm and each sum term in the POS expression is called maxterm.

SOP expression can be economically realized using NAND gates and POS expression can be

economically realized using NOR gates

Realization of SOP expression

Using NAND gates

i)Use NAND gates for each minterm

ii) Use one NAND gate for whole summation

Using NOR gates

i) Invert all the variables in each minterm

ii) Use NOR gates for each minterm having inverted variables

iii) Use NOR gate for whole summation

iv) Use another NOR gate at the output for inverting.

Realization of POS expression

Using NOR gates

i) Use NOR gates for each maxterm

ii) Use one NOR gate for whole multiplication

VERIFICATION OF DEMORGAN’S THEOREM & REALIZATION SOP

&POS EXPRESSIONS

OF SOP AND POS EEEEEEEEXPRESSIONSEXPRESSIONS

Page 12: department of electronics & communication engineering digital

11

Using NAND gates

i) Invert all the variables in each maxterm

ii) Use NAND gates for each maxterm having inverted variables

iii) Use NAND gate for whole multiplication

iv) Use another NAND gate at the output for inverting

DEMORGAN’S THEOREM:

a) AB‟=A‟+B‟

TRUTH TABLE:

CIRCUIT DIAGRAM:

b) (A+B)‟=A‟.B‟

TRUTH TABLE:

A B

0 0 1 1

0 1 0 0

1 0 0 0

1 1 0 0

A B

0 0 1 1

0 1 1 1

1 0 1 1

1 1 0 0

Page 13: department of electronics & communication engineering digital

12

CIRCUIT DIAGRAM:

b) Realization SOP & POS Expressions:

Page 14: department of electronics & communication engineering digital

13

PROCEDURE:

1) Test all the IC packages using digital IC tester.

2) Set up the circuit one by one and verify their truth table.

3) Observe the output corresponding to input combinations and enter it in truth table.

RESULT:

De-Morgan‟s theorem and postulate of Boolean algebra were verified.

Sum of products and product of sum expressions were realized using universal gates

Page 15: department of electronics & communication engineering digital

14

Experiment No: 02 (a) Date:

FULL ADDER

AIM:

To realize the Full Adder circuits using basic logic gates and and to verify their truth tables.

COMPONENTS REQUIRED:

Digital Trainer Kit 01

AND Gate IC 7408 01

OR Gate IC 7432 01

NOT Gate IC 7404 01

NAND Gate (2 Input) IC 7400 03

XOR Gate IC 7486 01

Patch chords / Connecting wires 35

THEORY:

Full Adder is a logical circuit, which performs addition of three bits (i.e. addition of two bits

with previous carry) and provides an output with a Sum and Carry. It can be built using 2-half

adders and an OR gate.

PROCEDURE:

1. Connections are made as shown in the logic diagram using the pin details of the gates

2. Connect Vcc & GND to respective pins of each IC

3. Switch on the Trainer kit.

4. Using the toggle switches set up the input code combination and observe the output code

combinations on the LED‟s, as shown in the truth table.

TRUTH TABLE:

Full adder:

INPUT OUTPUTS

A B CIN S (Sum) COUT (Carry)

0 0 0 0 0

0 0 1 1 0

0 1 0 1 0

0 1 1 0 1

1 0 0 1 0

1 0 1 0 1

1 1 0 0 1

1 1 1 1 1

Page 16: department of electronics & communication engineering digital

15

Logic expressions:

LOGIC DIAGRAM:

RESULT:

Thus the Logic circuit of Full Adder Circuit was constructed and the truth table was

verified.

1

23

1

23

1

23

4

56

4

56

Carry

Sum

Cin

B

A

Realization of ful l adder using Basic and EXOR gates

Page 17: department of electronics & communication engineering digital

16

Experiment No: 02 (b) Date:

FULL SUBTRACTOR

AIM:

To realize the Full Subtractor circuit using basic logic gates and verify their truth tables.

COMPONENTS REQUIRED:

Digital Trainer Kit 01

AND Gate IC 7408 01

OR Gate IC 7432 01

NOT Gate IC 7404 01

NAND Gate (2 Input) IC 7400 03

XOR Gate IC 7486 01

Patch chords / Connecting wires 35

THEORY:

Full Subtractor is a logical circuit, which performs Subtraction of three bits and provides an

output with a Difference and Borrow, It can be built using 2-half Subtractor and an OR gate.

PROCEDURE:

1. Connections are made as shown in the logic diagram using the pin details of the gates.

2. Connect Vcc & GND to respective pins of each IC

3. Switch on the Trainer kit.

4. Using the toggle switches set up the input code combination and observe the output code

combinations on the LED‟s, as shown in the truth table.

TRUTH TABLE:

Full Subtractor:

INPUTS OUTPUTS

A B BIN D (Difference) BOUT (Borrow)

0 0 0 0 0

0 0 1 1 1

0 1 0 1 1

0 1 1 0 1

1 0 0 1 0

1 0 1 0 0

1 1 0 0 0

1 1 1 1 1

Page 18: department of electronics & communication engineering digital

17

Logic Expressions:

LOGIC DIAGRAM:

RESULT:

Thus the Logic circuit of Full Subtractor Circuit was constructed and the truth table was

verified.

Page 19: department of electronics & communication engineering digital

18

Experiment No: 03 (a) Date:

4-BIT PARALLEL ADDER/SUBTRACTOR USING IC 7483

AIM:

To perform the addition of two 4-bit numbers.

COMPONENTS REQUIRED:

Digital Trainer Kit 01

XOR Gate IC 7486 01

Parallel Adder/ Subtractor IC 7483 01

Patch chords / Connecting wires 25

THEORY:

Parallel adders are ripple carry type in which the carry output of each full adder stage is

connected to the carry input of the next higher order stage, the 7483 IC is a 4-bit parallel

adder used to perform subtraction as well, the two 4-bit binary numbers A4A3A2A1 and

B4B3B2B1 are added to give a sum S4S3S2S1 and carry Cout when carry in (CIN) and „S‟is

„0‟.The 1‟s compliment subtraction is carried out when carry out (Cout) connected to Cin and

„S‟= „1‟, The 2‟s compliment subtraction is carried out when carry in (CIN) and „S‟is „1‟.

PROCEDURE:

1. Connections are made as shown in the logic diagram using the pin details of the gates and

IC 7483.

2. Connect Vcc & GND to respective pins of ICs

3. Switch on the Trainer kit.

4. Apply the inputs and observe the outputs.

5. Compare the practical value with the theoretical value.

Page 20: department of electronics & communication engineering digital

19

Observation and calculations:

4 Bit adder operations.

1. Let A = 1100 (A4 A3 A2 A1) , B = 0011 (B4B3 B2 B1) Then

Sum (S4 S3 S2 S1) = 1111 and Cout = 0 (With S = 0 and Cin = 0).

2. Let A = 1001 (A4 A3 A2 A1) , B = 1101(B4B3 B2 B1) Then

Sum (S4 S3 S2 S1) = 0110 and Cout = 1 (With S = 0 and Cin = 0).

TRUTH TABLE:

PARALLEL ADDER

Parameters Theoretical Practical

Augend 1100 1001 1100 1001

Addend 0011 1101 0011 1101

Sum 1111 0110 1111 0110

Carry 0 1 0 1

4 Bit subtraction operation.

One‟s Complement Method

Perform Subtraction of: A4 A3 A2 A1= 1 0 0 1

B4B3 B2 B1= 0 0 1 1

One‟s complement of the Subtrahend is

B4B3 B2 B1 = 1 0 0 0

A4 A3 A2 A1 = (+) 1 0 0 1

0 1 0 1

1 (+)

(S4 S3 S2 S1) = 0 1 1 0

Two‟s Complement Method

Perform Subtraction of: A4 A3 A2 A1= 1 0 0 1

B4B3 B2 B1= 0 0 1 1

One‟s complement of the Subtrahend is

B4B3 B2 B1 = 1 1 0 0

1

1 1 0 1

A4 A3 A2 A1 = 1 0 0 1 (+)

(S4 S3 S2 S1) 0 1 1 0

End around Carry is disregarded

Page 21: department of electronics & communication engineering digital

20

TRUTH TABLE:

PARALLEL SUBTRACTOR

One‟s Complement Two‟s Complement

Parameters Theoretical Practical Theoretical Practical

Minuend 1001 1001 1001 1001

Subtrahend 0011 0011 0011 0011

Difference 0110 0110 0110 0110

RESULT:

Thus the operation of Parallel adder and Parallel Subtractor were studied and checked using

IC 7483.

Page 22: department of electronics & communication engineering digital

21

Experiment No: 4 Date:

DESIGN AND IMPLEMENTATION OF 4-BIT MAGNITUDE

COMPARATOR USING IC 7485

AIM:

Realization of 7485 magnitude comparator and verify its using truth table.

COMPONENTS REQUIRED:

Digital Trainer Kit 01

4bit comparator IC 7485 01

Patch chords / Connecting wires 25

THEORY:

Four Bit 7485 Magnitude Comparator: The Magnitude comparator is used to compare

binary and natural BCD coded. These IC‟s can be cascaded to compare words of greater

lengths without external gates. The condition A > B, A = B, A < B output stage handling

LSB‟s are connected to corresponding A > B, A = B, A < B cascading inputs of the next

stage handling MSB‟s.

Function Table:

Comparing inputs

A > B

Cascading inputs

A > B A = B A < B

Outputs

A > B A = B A < B

A > B X X X 1 0 0

A = B

1 0 0 1 0 0

X 1 X 0 1 0

0 0 1 0 0 1

0 0 0 1 0 1

1 0 1 0 0 0

A < B X X X 0 0 1

Page 23: department of electronics & communication engineering digital

22

LOGIC DIAGRAM:

PROCEDURE:

1. Connections are made as shown in the logic diagram using the pin details of the gates.

2. Connect + Vcc & GND to respective pins of each IC

3. Switch on the Trainer kit.

4. Connect the A > B and A < B cascading inputs to logic 0 level and A = B input to logic 1

level.

5. Connect the input bits to be compared to the toggle switches and outputs A > B, A = B

and A = B the LED‟s and verify the compare operation for different input combinations

as shown below.

TRUTH TABLE:

Data Inputs

A = A3 A2 A1 A0

B = B3 B2 B1 B0

Cascading inputs

A > B A = B A < B

Outputs

A > B A = B A < B

A > B 0 1 0 1 0 0

A < B 0 1 0 0 0 1

A = B 0 1 0 0 1 0

RESULT:

Thus the 4 bit magnitude comparator were realized using IC 7485, and the truth tables was

verified.

Page 24: department of electronics & communication engineering digital

23

Experiment No: 05 (a) Date:

4:1 MULTIPLEXER USING GATES

AIM:

To realize the 4 to 1 MUX using gates.

COMPONENTS REQUIRED:

Digital Trainer Kit 01

NOT Gate IC 7404 01

AND Gate IC 7408

OR Gate IC 7432

01

01

Patch chords / Connecting wires 20

THEORY:

The Multiplexers or data selector is a logic circuit that selects one out of several inputs to a

single output. The input selected is controlled by a set of select lines. For selecting one output

line from n-input lines, a set of m-select lines is required. The relationship between the

number of input lines and the select lines is given by 2 m

= n.

PROCEDURE:

1. Connections are made as shown in the logic diagram using the pin details of the gates.

2. Connect Vcc and GND to respective pins of each IC.

3. Connect the data, select and enable inputs to the toggle switches and outputs to the LED‟s

4. Switch on the Trainer

5. Verify the truth table of the Multiplexer.

LOGIC DIAGRAM:

Page 25: department of electronics & communication engineering digital

24

TRUTH TABLE:

S1 S0 DATA

0 0 Y0

0 1 Y1

1 0 Y2

1 1 Y3

RESULT:

Thus the 4 to 1 multiplexer was realized and verified using gates.

Page 26: department of electronics & communication engineering digital

25

Experiment No: 05 (b) Date:

3 VARIABLE FUNCTION USING IC 74151

AIM:

To Realize the 3 variable function using IC 74151.

COMPONENTS REQUIRED:

Digital Trainer Kit 01

NOT Gate IC 7404 01

81 MUX IC 74151 01

Patch chords / Connecting wires 20

TRUTH TABLE:

Full Adder using MUX

INPUTS OUTPUTS

X Y CIN S

(Sum)

COUT

(Carry)

0 0 0 0 0

0 0 1 1 0

0 1 0 1 0

0 1 1 0 1

1 0 0 1 0

1 0 1 0 1

1 1 0 0 1

1 1 1 1 1

Implementation table for Sum and Carry:

Sum = Σm (1,2,4,7)

Carry = Σm (3,5,6,7)

Page 27: department of electronics & communication engineering digital

26

LOGIC DIAGRAM FOR CARRY:

LOGIC DIAGRAM FOR SUM:

RESULT:

The functions of MUX using 74151 IC & Realization of Arithmetic circuits using MUX IC

74151 were studied.

Page 28: department of electronics & communication engineering digital

27

Experiment No:06 Date:

REALIZATION OF 1:8 DEMUX USING IC 74138

AIM:

To study the function of DEMUX using IC 74138.

COMPONENTS REQUIRED:

Digital Trainer Kit 01

NOT Gate IC 7404 01

OR Gate IC 7432 01

NAND Gate (2 Input) IC 7410 01

NAND Gate (3 Input) IC 7420 01

14 DEMUX IC 74139 02

Patch chords / Connecting wires 20

THEORY:

The Demultiplexer is a combinational logic circuit which takes one input data source and

selectively distributes it to N output channels just like a multiposition switch. The data

distributor, known more commonly as a Demultiplexer or “Demux” for short, is the exact

opposite of the Multiplexer.The demultiplexer takes one single input data line and then

switches it to any one of a number of individual output lines one at a time. The

demultiplexer converts a serial data signal at the input to a parallel data at its output lines.

LOGIC SYMBOL:

1:8 DE MUX Using 74138 I.C

Page 29: department of electronics & communication engineering digital

28

TRUTH TABLE:

PROCEDURE:

1. Connections are made as shown in the logic diagram using the pin details of the gates.

2. Connect Vcc and GND to respective pins of each IC.

3. Connect the data, select and enable inputs to the toggle switches and outputs to the LED‟s

4. Switch on the supply on the Trainer

5. Verify the truth table of the De-Multiplexer.

RESULT:

The function of DEMUX/DECODER using IC 74138 alization of Code Converters using

DEMUX 74139 were studied.

Page 30: department of electronics & communication engineering digital

29

Experiment No:07 Date:

REALIZATION OF CLOCKED SR &JK FLIP FLOP AIM:

1. To verify the Truth Table of clocked SR Flip Flop

2. To verify the Truth Table of JK Flip Flop

COMPONENTS REQUIRED:

Trainer Kit 01

IC 7400 03

Patch chord 20

THEORY:

clocked SR flip flop

The SR flip-flop can be considered as a 1-bit memory, since it stores the input pulse

even after it has passed. Flip-flops (or bi-stables) of different types can be made

from logic gates and, as with other combinations of logic gates, the NAND and NOR

gates are the most versatile, the NAND being most widely used. This is because, as

well as being universal, i.e. it can be made to mimic any of the other standard logic

functions, it is also cheaper to construct.

LOGIC DIAGRAM:

Page 31: department of electronics & communication engineering digital

30

TRUTH TABLE FOR CLOCKED SR FLIP-FLOP:

Inputs Output

Qn+ 1 Operation

CLK S R

0 X X Qn No change

0 0 Qn No change

0 1 0 Reset

1 0 1 Set

1 1

- Indeterminate

JK FLIP FLOP:

Theory:

A JK flip-flop is a refinement of the SR flip-flop in that the indeterminate state of the SR type

is defined in the JK type. Inputs J and K behave like inputs S and R to set and clear the flip-

flop (note that in a JK flip-flop, the letter J is for set and the letter K is for clear). When logic

1 inputs are applied to both J and K simultaneously, the flip-flop switches to its complement

state, ie., if Q=1, it switches to Q=0 and vice versa.

Logic Diagram:

PROCEDURE:

1. Connections are made as shown in the Logic diagrams, using the pin details of different

IC‟s used.

2. Switch on the power supply of the Trainer Kit.

3. Verify the Truth Tables of JK FF.

Page 32: department of electronics & communication engineering digital

31

TRUTH TABLE FOR JK - FF:

Inputs Output

Qn+ 1 Operation

CLK J K

0 X X Qn No change

0 0 Qn No change

0 1 0 Reset

1 0 1 Set

1 1 Qn„

Toggles

RESULT:

Thus the truth table for clocked SR FF & JK FF were verified.

Page 33: department of electronics & communication engineering digital

32

Experi ment No: 08 Date:

REALIZATION OF SHIFT REGISTERS USING IC7474

AIM:

To implement different types of shift registers like Serial In Serial Out [SISO], Serial In

Parallel Out [SIPO], Parallel In Parallel Out [PIPO] and Parallel In Serial Out [PISO] using

D-flip flops and to verify their observation table.

COMPONENTS REQUIRED:

Trainer Kit 01

IC 7474

Ic 7408

02

01

Patch chord 20

THEORY:

Registers are simply a group of flip flops that can be used to store a binary number. A

shift register is nothing but a register which can accept binary number and shift it. The data

can be entered in the shift register either in serial or in parallel. The output can be taken either

in serial or in parallel. Since there are two ways to shift data in to a register and two ways to

shift data out of the register four types of registers can be constructed. A register capable of

shifting its binary information either to the left or to the right is called a shift register. The

logical configuration of a shift register consists of a chain of flip flops connected in cascade

with the output of one flip flop connected to the input of the next flip flop. All the flip flops

receive a common clock pulse which causes the shift from one stage to the next.

The Q output of a D flip flop is connected to the D input of the flip flop to the left. Each

clock pulse shifts the contents of the register one bit position to the right. The serial input

determines, what goes into the right most flip flop during the shift. The serial output is taken

from the output of the left most flip flop prior to the application of a pulse. Although this

register shifts its contents to its left, if we turn the page upside down we find that the register

shifts its contents to the right. Thus a unidirectional shift register can function either as a

shift right or a shift left register.

The binary information (data) in a register can be moved within or into or out of the register

upon application of clock pulses. This type of bit movement or shifting is essential for certain

arithmetic and logic operations used in microprocessors. This gives rise to group of registers

Page 34: department of electronics & communication engineering digital

33

called shift registers. They are very important in applications involving the storage and

transfer of data in a digital system.

TYPES OF SHIFT REGISTERS :

Serial In Serial Out [SISO]:

In this type of register, the output of one flip-flop is connected to the input of the next flip-

flop. Output of the register is obtained from the last flip-flop. Depending on the direction of

the input given shifting takes place in this. Bit by bit loading is done with every clock pulse

and shifting takes place with every clock pulse.

Serial In Parallel Out [SIPO]:

This is similar to SISO except that the output is taken from each flip-flop. Thereby the shifted

value is shown at once.

Parallel In Parallel Out [PIPO]:

Upon giving clock pulse, data is loaded in parallel in all flip-flops. Output is taken from each

of the flip-flop.

Parallel In Serial Out [PISO]:

Here we use a control input Load/ (Shift)‟ such that if Load/ (Shift)‟ = 1, data is loaded in all

flip-flops in parallel and when the Load/ (Shift)‟ = 0, data is shifted with every clock pulse.

Output is obtained from the last flip-flop.

IC 7474 consists of two D flip-flops with PRESET & CLEAR. The pin diagram is as shown

in figure.

PIN DIAGRAM OF IC 7474:

PROCEDURE:

Page 35: department of electronics & communication engineering digital

34

1. Test all the ICs manually/ using IC tester.

2. Connect VCC and the ground.

3. Connect the appropriate pins to the input and output LEDs and switches.

4. Verify the truth table with respect to the clock.

FUNCTION TABLE FOR IC 7474:

Inputs Outputs

Preset

Clear

Clock

D Q Q‟

Clear

Clock

D Q Q‟

Clock

Q Q‟

D Q Q‟

0 1 X X 1 0

1 0 X X 0 1

0 0 X X 1 1

1 1 1 0 0 1

1 1 1 1 1 0 1 1 0 X No change No change

LOGIC DIAGRAM:

Serial In Serial Out:

Figure(1). Connection diagram for Serial in Serial out Shift Register (Right Shift)

Observation Table:

Page 36: department of electronics & communication engineering digital

35

Serial In Parallel Out:

Page 37: department of electronics & communication engineering digital

36

Page 38: department of electronics & communication engineering digital

37

RESULT:

The performance of shift registers using D FF are set up and studied

Page 39: department of electronics & communication engineering digital

38

Experi ment No: 09 Date:

AIM:

To design and set up four bit Johnson and ring counter using JK FF

COMPONENTS REQUIRED:

Digital IC trainer kit, IC 7476

THEORY:

Ring counter and Johnson counters are basically shift registers Ring

Ring counter:

It is made by connecting Q&Q‟ output of one JK FF to J&K input of next FF

respectively. The output of final FF is connected to the input of first FF. To start the counter

the first FF is set by using preset facility and the remaining FF are reset input. When the clock

arrives the set condition continues to shift around the ring

As it can be seen from the truth table there are four unique output stages for this counter. The

modulus value of a ring counter is n, where n is the number of flip flops. Ring counter is

called divided by N counter where N is the number of FF

RING COUNTER AND JOHNSON (TWISTED RING) COUNTER

Page 40: department of electronics & communication engineering digital

39

Johnson counter (Twisted ring counter)

The modulus value of a ring counter can be doubled by making a small change in the ring

counter circuit. The Q‟ and Q of the last FFS are connected to the J and K input of the first FF

respectively. This is the Johnson counter

Initially the FFs are reset. After first clock pulse FF0 is set and the remaining FFs are reset.

After the eight clock pulse all the FFS are reset. There are eight different conditions creating a

mode 8 Johnson counter. Johnson counter is called a twisted ring counter or divide by 2N

counter

Page 41: department of electronics & communication engineering digital

40

PROCEDURE:

1. Set up the ring counter and set clear Q outputs using PRESET and apply mono

pulse.

2. Note down the state of the ring counter on the truth table for successive clock 0.

3. Repeat the steps for Johnsons counter

RESULT :

Four bit ring counter and the Johnson counter were set up using the JK FF and verified

Page 42: department of electronics & communication engineering digital

41

Experiment No:10 Date:

REALIZATION OF MOD – N COUNTER USING 7490

AIM:

To realize a Modulo N-counter using 7490 and verify the expected truth table and display the

output waveform for a square wave input of given frequency. (N–to be specified, N 9).

COMPONENTS REQUIRED:

Trainer Kit 01

IC 7490 01

IC 7400 01

IC 7410 01

Patch chord 25

THEORY:

7490 is a 10 counter using 4 master slave JK flip-flops.

It contains 2 and 5 counters, which can be cascaded to give a 10 counter.

PROCEDURE:

1. Connections are made as shown in the circuit diagram using 7490 ,7410 and 7400 IC.

2.Apply the clock pulse and verify the truth table

LOGIC DIAGRAM:

Page 43: department of electronics & communication engineering digital

42

EXPECTED WAVEFORM:

TRUTH TABLE:

Clock

pulse

Outputs

QD QC QB QA

0 0 0 0 0

1 0 0 0 1

2 0 0 1 0

3 0 0 1 1

4 0 1 0 0

5 0 1 0 1

6 0 1 1 0

7 0 1 1 1

8 1 0 0 0

9 1 0 0 1

10 0 0 0 0

RESULT:

Thus the Mod-N Counter is constructed and verified.

Page 44: department of electronics & communication engineering digital

43

Experiment No:11 Date:

SIMULATION OF FULL ADDER USING PSPICE

AIM:

To design the circuit of full adder.

COMPONENTS REQUIRED:

7486(X-OR), 7408(AND), 7432(OR).

THEORY:

A full adder is a logical circuit that performs an additional operation on three binary digits. The

half adder produces a sum and a carry value which are both binary digits.

A full adder circuit has three inputs A,B and Cin and two outputs – S representing sum and Cout

representing carry.

S = A xor B xor C

C = A.B +C(A xor B)

TRUTH TABLE:

A B Cin S Cout

0 0 0 0 0

0 0 1 1 0

0 1 0 1 0

0 1 1 0 1

1 0 0 1 0

1 0 1 0 1

1 1 0 0 1

1 1 1 1 1

SCHEMATIC DIAGRAM:

Page 45: department of electronics & communication engineering digital

44

WAVEFORM:

RESULT: The output waveform of Full Adder is verified.

Page 46: department of electronics & communication engineering digital

45

Experiment No:12 Date:

SIMULATION OF MOD-8 SYNCHRONOUS UP/DPWN COUNTER USING

PSPICE

AIM:

To design the circuit of MOD-8 Synchronous UP/DOWN Counter.

COMPONENTS REQUIRED:

7476(JK FF), 7408(AND), 7432(OR), 7404(NOT).

THEORY:

The similarities between the implementation of a binary up counter and a binary down counter

leads to the possibility of a binary up/down counter, which is a binary up counter and a binary

down counter combined into one. Since the difference is only in which output of the flip-flop to

use, the normal output or the inverted one, we use two AND gates for each flip-flop to "choose"

which of the output to use.

3-bit Synchronous Binary Up/Down Counter

From the diagram, we can see that COUNT-UP and COUNT-DOWN are used as control inputs

to determine whether the normal flip-flop outputs or the inverted ones are fed into the J-K inputs

of the following flip-flops. If neither is at logic level 1, the counter doesn't count and if both are

at logic level 1, all the bits of the counter toggle at every clock pulse. The OR gate allows either

of the two outputs which have been enabled to be fed into the next flip-flop.

Page 47: department of electronics & communication engineering digital

46

WAVEFORMS:

RESULT: The output waveform of MOD-8 Synchronous UP/DOWN Counter is verified.

Page 48: department of electronics & communication engineering digital

47

VIVA QUESTIONS

1. What do you mean by Logic Gates?

2. What are the applications of Logic Gates?

3. What is Truth Table?

4. Why we use basic logic gates?

5. Write down the truth table of all logic gates?

6. What do you mean by universal gate?

7. Write truth table for 2 I/P OR, NOR, AND and NAND gate?

8. Implement all logic gate by using Universal gate?

9. Why is they called Universal Gates?

10. Give the name of universal gate?

11. Draw circuit diagram of Half Adder circuit?

12. Draw circuit diagram of Full Adder circuit?

13. Draw Full Adder circuit by using Half Adder circuit and minimum no. of logic gate?

14. Write Boolean function for half adder? Q.5 Write Boolean function for Full adder?

15. Design the half Adder & Full Adder using NAND-NAND Logic.

16. Draw circuit diagram of Half Subtractor circuit?

17. Draw circuit diagram of Full Subtractor circuit?

18. Draw Full Subtractor circuit by using Half Subtractor circuit and minimum no. of logic

gate?

19. Write Boolean function for half Subtractor?

20. Write Boolean function for Full Subtractor?

21. What is Excess-3 code? Why it is called Excess-3 code?

22. What is the application of Excess-3 Code?

23. What is ASCII code?

24. Excess-3 code is Weighted or Unweighted?

25. Out of the possible 16 code combination? How many numbers used in Excess-3

code?

26. What is Demorgan’s Law?

27. Show the truth table for Demorgan’s Theorem?

28. What is Minterm & Maxterm?

29. How Minterm can be converted in Max term?

Page 49: department of electronics & communication engineering digital

48

30. What is Hybrid function?

31. What is Flip-Flop?

32. What is Latch circuit?

33. Draw a truth –tables of S-R, J-K, D and T?

34. What is the disadvantages of S-R Flip-Flop?

35. How can you remove the problem of S-R Flip –Flop?

36. Make circuit diagram of S-R, J-K, D and T Flip-Flop?

37. What do you understand by Race Aground condition? How it is over come in J-K Flip

Flop?

38. Explain the principle of Multiplexer?

39. Draw a circuit diagram of 4: 1 Multiplexer?

40. What are the advantages of Multiplexer?

41. What are the disadvantages of Multiplexer?

42. Make the Truth-table of Multiplexer?

43. Explain about Demultiplexer?

44. Draw a circuit diagram of 1: 4 Demultiplexer?

45. Make a logic diagram of 1: 4 Demultiplexer?

46. What is the application of Demultiplexer?

47. What is the difference between Multiplexer and Demultiplexer?

Page 50: department of electronics & communication engineering digital

49

APPENDIX 1

NAND Gate (Three Input)

NAND Gate (Four Input)

NAND Gate (Three Input)

Page 51: department of electronics & communication engineering digital

50

Page 52: department of electronics & communication engineering digital

51

4 Bit Ripple Counter

Divide by 10 Counter

PIN DETAILS of LTS 542

Page 53: department of electronics & communication engineering digital

52

4 Bit Decade Up-Down Counter

Shift Register

Page 54: department of electronics & communication engineering digital

53

Page 55: department of electronics & communication engineering digital

54