20
Institut für Computertechnik ICT Institute of Computer Technology Design Refinement of Embedded Analog/Mixed-Signal Systems … and how to support it* Univ.Prof. Dr. habil. Christoph Grimm Chair Embedded Systems Vienna University of Technology *partially supported by EC FP 6 „ANDRES“, No. IST-5-033511 BMBF/edacentrum „SAMS“, No. 01 M 3070

Design Refinement of Embedded Analog/Mixed-Signal Systems[Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions

  • Upload
    others

  • View
    5

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Design Refinement of Embedded Analog/Mixed-Signal Systems[Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions

Institut fürComputertechnik

ICT

Institute ofComputer Technology

Design Refinement of

Embedded Analog/Mixed-Signal Systems… and how to support it*

Univ.Prof. Dr. habil. Christoph Grimm

Chair Embedded Systems

Vienna University of Technology

*partially supported by

EC FP 6 „ANDRES“, No. IST-5-033511

BMBF/edacentrum „SAMS“, No. 01 M 3070

Page 2: Design Refinement of Embedded Analog/Mixed-Signal Systems[Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions

Beyond Moore!

Tightly interwoven SW/DSP and analog/mixed-signal functionality

= Embedded Analog/Mixed-Signal System (E-AMS)

C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven 2008

ReceiverSerial

Interface

Modulator/

demod.DSP

Oscillator Clock

Generator

Micro-

controller

Host

processor

Memory

Power

Manage-ment

to all blocks

Audio

DSP

Imaging

DSP

ADC

Transmitter

DAC

RF

detector

Temp.

sensor

High

SpeedSerial

Interface

Calibration & ControlAntenna

front-end

[Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling

Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions.

OSCI, June 2008]

226.-28.05.2008

Page 3: Design Refinement of Embedded Analog/Mixed-Signal Systems[Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions

Concurrent Engineering with ISS, VP and TLM

C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven 2008

Executable Specification

( C / C++ / UML )

Software

Development

System Integration

Validation

ISS,

Virtual

Prototype

(digital) Hardware

Development

RedesignRedesign

326.-28.05.2008

noise

drift

quantization

spectral

properties

TLM

Page 4: Design Refinement of Embedded Analog/Mixed-Signal Systems[Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions

Design refinement of E-AMS systems

1. SystemC AMS extensions

2. Design refinement of E-AMS

3. Conclusion & Outlook

C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven 2008 426.-28.05.2008

Page 5: Design Refinement of Embedded Analog/Mixed-Signal Systems[Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions

Between functional model and implementation

C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven 2008

functional

architecture

implementation

SystemC AMS

extensions

data

flow

signal

flow

electrical

networks

design

abstractionuse

cases

executable

specification

architecture

exploration

integration

validation

modeling

formalism

virtual

prototyping

[Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling

Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions.

OSCI, June 2008]

526.-28.05.2008

Page 6: Design Refinement of Embedded Analog/Mixed-Signal Systems[Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions

SystemC AMS extensions

C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven 2008

SystemC Language Standard

Linear Signal

Flow (LSF)

modules

ports

signals

AMS methodology-specific elements

elements for AMS design refinement, etc.

Synchronization

Scheduler

Timed Data

Flow (TDF)

modules

ports

signals

Electrical Linear

Networks (ELN)

modules

terminals

nodes

Linear DAE solver

SystemC

methodology-

specific

elements

Transaction

Level

Modeling

Cycle/bit

Accurate

Modeling

etc.

User-defined

AMS extensions

modules

ports

signals

(e.g. additional

solvers/

simulators)

[Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling

Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions.

OSCI, June 2008]

626.-28.05.2008

Page 7: Design Refinement of Embedded Analog/Mixed-Signal Systems[Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions

Timed Data Flow in SystemC AMS extensions

C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven 2008

ipl f1 dec

f2delay

delay=1

rate=2rate=2

„cluster“ := set of connected TDF modules

order of

computation

ipl

f1

f1

dec

f2

delay

cluster

period

T=1

t0=0

726.-28.05.2008

Page 8: Design Refinement of Embedded Analog/Mixed-Signal Systems[Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions

Timed Data Flow Example: Serializer

C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven 2008

TDF Module:

primitive module!

Attributes specify

timed semantics

processing()

describes

computation

SCA_TDF_MODULE(par2ser) {sca_tdf::sca_in<sc_bv<8> > in;sca_tdf::sca_out<bool> out;

void set_attributes() { out.set_rate(8); out.set_delay(1);out.set_timestep(1, SC_MS);}

void processing() {for (int i=7; i >= 0 ; i-- ) out.write(in.get_bit(i), i);

}SCA_CTOR(par2ser);

}

826.-28.05.2008

Page 9: Design Refinement of Embedded Analog/Mixed-Signal Systems[Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions

Interfacing Timed Data Flow and SystemC (DE)

C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven 2008

sca_tdf::sc_in < <type> >sca_tdf::sc_out < <type> >

sc_time sca_get_time()

Converter ports towards

discrete event domain

Note: Time in MR – TDF may

run ahead DE time!

926.-28.05.2008

Page 10: Design Refinement of Embedded Analog/Mixed-Signal Systems[Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions

Linear Electrical Networks, Converters

SC_MODULE(lp_filter_eln){sca_tdf::sca_in<double> in;sca_tdf::sca_out<double> out;sca_eln::sca_node in_node, out_node; // nodessca_eln::sca_node_ref gnd; // reference sca_eln::sca_r *r1; // resistorsca_eln::sca_c *c1; // capacitorsca_eln::sca_tdf2v *v_in; // converter TDF->Usca_eln::sca_v2tdf *v_out; // converter U->TDFSC_CTOR(lp_filter_eln) {v_in = new sca_eln::sca_tdf2v(“v_in”, 1.0); // scale factor 1.0v_in->ctrl(in); v_in->p(in_node); v_in->n(gnd);

r1 = new sca_eln::sca_r(“r1”, 10e3); // 10kOhm resistorr1->p(in); r1->n(out_node);

c1 = new sca_eln::sca_c(“c1”, 100e-6); // 100uF capacitorc1->p(out_node); c1->n(gnd);

v_out = new sca_eln::sca_v2tdf(“v_out”, 1.0); // scale factor 1.0v_out1->p(out_node); v_out1->n(gnd); v_out->ctrl(out);

}};

C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven 2008 1026.-28.05.2008

Page 11: Design Refinement of Embedded Analog/Mixed-Signal Systems[Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions

Design refinement of E-AMS systems

1. SystemC AMS extensions

2. Design refinement of E-AMS

3. Conclusion & Outlook

C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven 2008 1126.-28.05.2008

Page 12: Design Refinement of Embedded Analog/Mixed-Signal Systems[Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions

Transaction levelmodelling

Refinement of E-AMS

C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven 2008

Executable Specification

( C / C++ / UML )

Software

Development

System Integration

Validation

ISS,

Virtual

Prototype

(digital) Hardware

Development

RedesignRedesign

Computation accurate

Structure accurate

Interface accurate

1226.-28.05.2008

noise

drift

quantization

spectral

properties

Page 13: Design Refinement of Embedded Analog/Mixed-Signal Systems[Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions

Computation accurate model

Quickly coded model – must me available ASAP

Adds non-ideal effects to executable, functional spec

AMS extensions: non-ideal behavior can be written

directly in C-code!

void processing() // Mixer refined with distortions and noise

{

double rf = in1.read();

double lo = in2.read();

double rf_dist = (alpha – gamma * rf * rf ) * rf;

double mix_dist = rf_dist * lo;

if_out.write( mix_dist + my_noise() );

}

C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven 2008 1326.-28.05.2008

Page 14: Design Refinement of Embedded Analog/Mixed-Signal Systems[Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions

Structure accurate, re-partitioned model

Accurate partitioning of functional blocks to analog,

digital, SW. Structures/Methods like in implementation

Adapt MoC

Analog: Signal flow, Network

Digital HW: TDF, DE ( or TLM)

Quick „top-down“ change by „static polymorphism“SCA_MoC_MODULE(par2ser) {

sca_MoC::sca_in<sc_bv<8> > in;sca_MoC::sca_out<bool> out;…SCA_CTOR(par2ser)

}

C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven 2008 1426.-28.05.2008

Page 15: Design Refinement of Embedded Analog/Mixed-Signal Systems[Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions

Structure accurate, re-partitioned model

Easy integration of „buttom up“ available blocks by

converter channels (= „analog transactors“)

Avoids development of „wrapper“

C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven 2008

Converter ChannelU

in+

Uout

Uin

-

Ubias

TDF Cluster

writes values as

double/bitvector ….

…. analog simulator

reads Voltage

CPU

DSP RAM

LO LNA

A

D

90°90°90°90°90°90°

A

D

TP

TP

1526.-28.05.2008

•DE Cluster

writes values as

bitvector ….

Page 16: Design Refinement of Embedded Analog/Mixed-Signal Systems[Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions

Interface accurate models

Interface accurate models used for verification of system integration

All ports accurately as in implementation

Enable and clock signals

Modeling issue

Clock signals or events determine activation of TDF cluster

Adapter classes can translate between different MoC and protocols

E.g. from TDF via DE to TLM to protocol

Open issue

EFFICIENT coupling between TDF and TLM

C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven 2008 1626.-28.05.2008

Page 17: Design Refinement of Embedded Analog/Mixed-Signal Systems[Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions

Design refinement of E-AMS systems

1. SystemC AMS extensions

2. Design refinement of E-AMS

3. Conclusion & Outlook

C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven 2008 1726.-28.05.2008

Page 18: Design Refinement of Embedded Analog/Mixed-Signal Systems[Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions

Conclusion

SystemC AMS extensions provide appropriate means for modeling

E-AMS at architecture level

Standardization ongoing

Converter channels and adapter classes complement TLM

transactors – ongoing work bDREAMS

Design refinement modeling strategy integrates architecture

properties successively into executable spec

Quickly available first models

=> Early feedback on feasibility or potential issues

Immediate analysis/verification after changing/adding property

=> Optimization / debugging more efficient

C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven 2008 1826.-28.05.2008

Page 19: Design Refinement of Embedded Analog/Mixed-Signal Systems[Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions

Outlook

First (simple) examples seem to work …

… but industrial application needs additional effort!

C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven 2008 1926.-28.05.2008

Page 20: Design Refinement of Embedded Analog/Mixed-Signal Systems[Grimm/Barnasconi/Vachoux/Einwich: An Introduction to Modeling Embedded Analog/Mixed-Signal Systems using SystemC AMS Extensions

References

www.systemc.org

(OSCI members)

www.systemc–ams.org

(For information from former SystemC-AMS SG, provides some

information for the public)

Ch. Grimm, M. Barnasconi, A. Vachoux, K. Einwich: An Introduction

to Modeling Embedded Analog/Mixed-Signal Systems using

SystemC AMS Extensions. OSCI, June 2008

Ch. Grimm: Modeling and Refinement of Mixed Signal Systems

with SystemC. In: SystemC: Methodologies and Applications.

Kluwer Academic Publisher (KAP), June 2003.

C. Grimm - Design Refinement of E-AMS; MEDEA DAC, KU Leuven 2008 2026.-28.05.2008