74
© 1996 Synopsys, Inc. (Name.1) Design Reuse: Can It Halt the SoC Train Wreck Design Reuse: Can It Halt the SoC Train Wreck Mike Keating VP of R&D Design Reuse

Design Reuse: Can It Halt the SoC Train Wreck - Peoplenewton/Classes/EE290sp99/... · © 1996 Synopsys, Inc. (Name.1) Design Reuse: Can It Halt the SoC Train Wreck Mike Keating VP

  • Upload
    voduong

  • View
    216

  • Download
    0

Embed Size (px)

Citation preview

© 1996 Synopsys, Inc. (Name.1)

Design Reuse: Can It Haltthe SoC Train Wreck

Design Reuse: Can It Haltthe SoC Train Wreck

Mike KeatingVP of R&D

Design Reuse

© 1996 Synopsys, Inc. (Name.2)

The Irresistible Force

Moore’s Law

© 1996 Synopsys, Inc. (Name.3)

Moore’s Law

Engineering Productivity

The Unmovable Object

© 1996 Synopsys, Inc. (Name.4)

Outline

• How big is the problem• Internal Reuse• Third Party IP• Abdallah Tabbara’s questions• Standard View of Design for Reuse

© 1996 Synopsys, Inc. (Name.5)

It is human natureto underestimateexponential growth

Problemsof Scale

© 1996 Synopsys, Inc. (Name.6)

Plate Tectonics—Shifts in IC Landscape

• System houses–Using higher abstraction to deal with Moore’s Law

–Want to hand off specifications to semiconductor house

• Semiconductor Houses–Doing many of the large SoC designs today

–Can they track Moore’s Law?

The SoC Battle is being fought in the Semiconductor Companies

© 1996 Synopsys, Inc. (Name.7)

HW

0

20

40

60

80

100

120

140

160

1980

1982

1984

1986

1988

1990

1992

1994

1996

1998

Gat

es P

er D

ay

HW

HW Productivity - Gates

© 1996 Synopsys, Inc. (Name.8)

HW

05

101520253035404550

1980

1982

1984

1986

1988

1990

1992

1994

1996

1998

Lin

es o

f Co

de

Per

Day

HW

HW Productivity - LOC

© 1996 Synopsys, Inc. (Name.9)

0

10

20

30

40

50

60

1980

1982

1984

1986

1988

1990

1992

1994

1996

1998

Lin

es o

f C

od

e P

er D

ay

HWSW

HW and SW Productivity

© 1996 Synopsys, Inc. (Name.10)

0

100

200

300

400

500

600

700

800

1990 1992 1994 1996 1998 2000 2002 2004

Lin

es o

f C

od

e P

er D

ay

HWSW

HW and SW Productivity

© 1996 Synopsys, Inc. (Name.11)

HW and SW Code Size

1st Qtr 2nd Qtr 3rd Qtr 4th QtrEast 20.4 27.4 90 20.4West 30.6 38.6 34.6 31.6North 45.9 46.9 45 43.9

= 300k lines of code

3D Graphics Chip = 300k lines of code

© 1996 Synopsys, Inc. (Name.12)

0

100

200

300

400

500

600

700

800

1990 1992 1994 1996 1998 2000 2002 2004

Lin

es o

f C

od

e P

er D

ay

HWSW

The Inflection Point

95% reuse

50% reuse

© 1996 Synopsys, Inc. (Name.13)

We can’t follow software any more

© 1996 Synopsys, Inc. (Name.14)

How Will theSemiconductor

Companies AchieveThis Level of Reuse?

How Will theSemiconductor

Companies AchieveThis Level of Reuse?

© 1996 Synopsys, Inc. (Name.15)

Synopsys Technology/Services

Reusable IP(RMM compliant)

IP Mgmt/QA System

IP Repository

Core CoreIP IP

S/WS/W

Core

AnlgS/WIP

AnlgAnlgMemMemMem

Legacy IP

FAB1

. . .

FABn

Libs LibsLibs

IP Creation

Bus.Unit

Bus.Unit

Bus.Unit

SoC Design Flow

3rd party IP

IP Conversionfor Reuse “Reuse-Prepped”IP

Customers

IP Integration

Reusable IP

IP CatalogInfo

SoC CapabilitiesInfo

Marketing

LocalReuse

Consensus on the Model

© 1996 Synopsys, Inc. (Name.16)

Reuse Experience to Date

• Assigned CAD team to investigate reuse–Planning large investment in tools, infrastructure

–Major focus on integration flow for SoC designs–Excellent coding and design guidelines

• IP is still of poor quality–Does not follow coding and design guidelines–IP is very difficult to integrate

We are not on track to meet our goals

© 1996 Synopsys, Inc. (Name.17)

What’s Going Wrong

• Management issues

• Engineering issues

© 1996 Synopsys, Inc. (Name.18)

Management View

• CAD can drive Reuse

• Reality: Reuse requires an integratedbusiness, engineering, and CAD solution

CAD-driven reuse initiatives will FAIL

© 1996 Synopsys, Inc. (Name.19)

The CAD View

• Reuse is a tool andflow problem

• Reality: SoC designand reuse are abouthow we design blocksof IP

But it will only take 1 scoop!

© 1996 Synopsys, Inc. (Name.20)

Engineering View

• Full custom design iskey to success

• Reality: Good RTL,SC design is key tomeeting TTM, QOR

But when we’re done, it will be a piece of art!

© 1996 Synopsys, Inc. (Name.21)

What Do We Do About It

• We have a vision of the goal

• We need to create a vision of the path

• Engineers execute a greedy algorithm

Culture change must be incremental

© 1996 Synopsys, Inc. (Name.22)

Step 1: The value of Good Design

• Three fundamental rules–Fully synchronous RTL designs

–Rigorous, well-planned verification

–Develop specification before design,update after design

• They accelerate the design process–Synchronous designs speed up timing convergence

–Bottom-up verification speeds up chip verification

–Good specs reduce iterations through the flow

© 1996 Synopsys, Inc. (Name.23)

Case Study

• Redesign of processors–several processors from several companies–convert customer version to synthesizable

• Results–initially about 2x larger, higher power–use full custom memory, PC clock gating–within 10% in speed, area, power

© 1996 Synopsys, Inc. (Name.24)

Case Study - Importance ofLocality

• Without registers–timing is global–optimization is flat

–runtimes are long

• Result–more iterations–slower iterations–months wasted

© 1996 Synopsys, Inc. (Name.25)

Short list of key design rules

• Fully synchronous design–Register outputs (inputs also, if possible)–Flip flops, not latches

–Single clock, single edge–No false paths, multi-cycle paths–No state-dependent timing–Use synchronous rams only

© 1996 Synopsys, Inc. (Name.26)

Short list of key design rules

• Use a library that has been through theflow before

• Verify as early and as completely aspossible–use code coverage tools, aim for 100%–use industry standard testbenches and

methodology–real code, random code, corner testing

© 1996 Synopsys, Inc. (Name.27)

Step 2: Extend to reuse

• Good designs can be reused as is

• More architecture work can extend uses

• Additional packaging can facilitateintegration–better documentation, scripts, verification–package existing designs for easier

integration

© 1996 Synopsys, Inc. (Name.28)

Step 3: Lower the Cost

• Include architecture work as part ofsystem design

• Document design as it is being done

• Use tools to lower packaging costs

© 1996 Synopsys, Inc. (Name.29)

Step 4: The Rest of the Path

• Standardize processes, buses• Automate compliance checking• Infrastructure to support standards• Create and import more IP• Develop infrastructure to manage IP

Once the practicality and value of reuse is demonstrated, this will happen on its own

© 1996 Synopsys, Inc. (Name.30)

Managing the Culture Change

• Don’t dictate reuse—facilitate it–Need to show that reuse can accelerate projects

• Pilot projects to show true cost/benefit of designfor reuse

• Start small, use good third-party IP to show value

–Find design managers who are committedto design discipline

–Can force the first time; after that, engineers mustdrive on their own

• Develop an organizational structure/economythat rewards design for reuse

© 1996 Synopsys, Inc. (Name.31)

Synopsys’ Role

• Examples of quality IP–Foundation—thousands of customers–8051, PCI—state-of-the-art ease of use

• Kick-starting internal reuse byredesign services–A7S—synthesizable ARM, including

working silicon

• Reuse tools–AppBuilder, CoreMill, VeraCore–Helping engineers to develop, package, and use IP

• Documenting the Methodology—RMM

© 1996 Synopsys, Inc. (Name.32)

Summary—Journey of a Thousand Miles

• The SoC/reuse battle is urgent and critical

• It will be won or lost by engineering

• It means changing the engineering culture

• Culture change needs to be incremental

We must take the right first steps NOW

© 1

996

Syn

opsy

s, I

nc.

(Nam

e.3

3)

© 1996 Synopsys, Inc. (Name.34)

SupplementalMaterial

SupplementalMaterial

© 1996 Synopsys, Inc. (Name.35)

Taxonomy of IP

• Domain Independent–ARM, PCI

• Domain Specific–MPEG

• Application Specific–Specialized block for Sega game

© 1996 Synopsys, Inc. (Name.36)

Third Party IP Landscape

High ValueARM, ARC, 3D Graphics

Rambus, DSP Group

Standards-BasedPCI, 8051, MPEG

Phoenix

CommodityFoundation Library

© 1996 Synopsys, Inc. (Name.37)

Third Party IP Landscape

High ValueARM, ARC, 3D Graphics

Rambus, DSP Group

Standards-BasedPCI, 8051, MPEG

CommodityFoundation Library

Mentor Graphics

© 1996 Synopsys, Inc. (Name.38)

What’s Happening with 3rdParty IP

• ARM, DSP Group, Rambus, Snps doingwell

• Phoenix profitable but not growing• Rest are struggling

© 1996 Synopsys, Inc. (Name.39)

Why the Problems

• Not enough value for enough customers• Most IP is hard to use

–Poor documentation–Poor synthesis scripts–Designs focused to one customer’s needs

• Standards-based IP is not differentiated–can’t sell enough at $100k to justify

investment in quality

© 1996 Synopsys, Inc. (Name.40)

Technical Issues

• what is a good component in terms of:• - size and complexity• - interface size and complexity• - hard vs. firm vs. soft

© 1996 Synopsys, Inc. (Name.41)

• what sort of interface specification isgood for components

• issues that arise are:• - synchronous vs. asynchronous timing

at the chip level• - register bounding of IPs• - how robust are the specifications and

components typically• -

© 1996 Synopsys, Inc. (Name.42)

• how good are the estimates for IPs interms of power, performance, area

• - how do components vendors ensurethat components will work correctly

• when incorporated into a design

© 1996 Synopsys, Inc. (Name.43)

Design for ReuseThe Standard Model

Mike KeatingJuly 28, 1998

© 1996 Synopsys, Inc. (Name.44)

Outline

• Fundamental Goals and Approach• Deliverables• Architecture and External Interfaces• Internals• Manufacturing Test• Summary

© 1996 Synopsys, Inc. (Name.45)

Fundamental Goal

• Enable team to get the right chips tomarket at the right time at the right cost

• Make SoC (ie, large chip) design muchfaster, easier

• Make development time, performancemore predictable

• Solution: Reuse-based SoC Design

© 1996 Synopsys, Inc. (Name.46)

SoC Canonical Design

UP MemoryMemCntrl

I/F (PCI) Data Xform(IDCT)

I/O(DRAM Ctl)

CHIP

© 1996 Synopsys, Inc. (Name.47)

SoC - Sources of Blocks

UP MemoryMemCntrl

I/F (PCI) Data Xform I/O

Hard Soft

Soft Soft Soft

Generator

© 1996 Synopsys, Inc. (Name.48)

Fundamental Approach

• Good IP design makes:–chip development time, performance

predictable–chip integration effort linear in number of

blocks

• Poor IP design makes:–chip development time, performance

unpredictable–chip integration effort exponential in

number of blocks

© 1996 Synopsys, Inc. (Name.49)

• THE SOC DESIGN BATTLE IS WONOR LOST ON THE QUALITY OF IP

© 1996 Synopsys, Inc. (Name.50)

Corollary

• More IP integration problems will besolved by improving IP than byimproving the integration flow

• Rapid Hard IP integration tools are notas important as Hard IP standards(layer assignments, drc decks)

© 1996 Synopsys, Inc. (Name.51)

Deliverables for IPDeliverables for IP

© 1996 Synopsys, Inc. (Name.52)

Soft vs Hard IP

• All Digital IP starts as soft IP–RTL model is reference implementation

model–Specification can be written and/or

executable (C++ or behavioral HDL)–Synthesizable RTL used to generate all hard

views–Full custom blocks can be used to replace

blocks in critical path

© 1996 Synopsys, Inc. (Name.53)

Soft vs Hard IP

• GDSII (Hard Version) is just anotherview–Provided to expedite other designs on same

process–Porting is done via re-synthesis–Full custom sections ported by porting tools

or manually

© 1996 Synopsys, Inc. (Name.54)

IP Deliverables

• Documentation• Behavioral models (processors,

complex IP)• Soft IP

–RTL, Synthesis scripts, Verification suite–Works in integrators environment -

simulator, synth, lib

© 1996 Synopsys, Inc. (Name.55)

Deliverables

• Hard IP–GDSII compliant to process rules and

standards–Integration models: simulation, timing,

physical–Works in integrators environment - sim,

physical tools

• Quality must be demonstrated–functional correctness must be demonstrated

in silicon

© 1996 Synopsys, Inc. (Name.56)

Design Guidelinesfor IP

Design Guidelinesfor IP

© 1996 Synopsys, Inc. (Name.57)

Architecture and Interfaces

IP

• All inputs go directly to flop input–assures consistent input timing, independent of

state

• All outputs come directly from flop output–assures consistent output timing, independent of

state

© 1996 Synopsys, Inc. (Name.58)

SoC Requires Scalability

IP

• With this architecture:–one full clock cycle for block-to-block signals

–chip performance limited only by block internaltiming

–timing is simple, easy to analyze

• Architecture scales, allowing arbitrary blocksto plug and meet timing

IP IP

© 1996 Synopsys, Inc. (Name.59)

Designs that don’t Scale

IP1

Logic Logic

Logic

Logic Logic

Logic

IP2

• Logic on inputs and combinational paths–make chip level timing complex and IP-dependent

–top level timing may never converge

–chip performance limited by inter-block timing

© 1996 Synopsys, Inc. (Name.60)

Internals -

Logic Logic

• Fully synchronous design–Flop-based

–Single edge of single clock (wherever possible)

–Exceptions partitioned separately for easy analysis

• Assures portable designs that work with tools–Synthesis, timing analysis, timing driven P&R

© 1996 Synopsys, Inc. (Name.61)

Low Power Design for Reuse

• Lower supply voltage (P = CV2f)–increase pipeline depth to compensate for

slower speed

• Single clock + low power flop is lowerpower than Multiple clocks + latches–standard fully synchronous design

© 1996 Synopsys, Inc. (Name.62)

Low Power Design for Reuse

• Gate sizing–optimize drive strengths for lowest that

meets timing–low power library + power compiler

• Clock gating–per register - use power compiler–per block - separate out gating circuit from

rest of design

© 1996 Synopsys, Inc. (Name.63)

Bus Interface Standards

UP MemoryMemCntrl

I/F (PCI)Data Xform(IDCT)

I/O(DRAM Ctl)

CHIP

Bridge

© 1996 Synopsys, Inc. (Name.64)

Manufacturing TestManufacturing Test

© 1996 Synopsys, Inc. (Name.65)

Manufacturing Test Strategy

• Memory uses BIST• Logic use full scan

–soft IP is verified for scan testability–hard IP includes scan and scan control port–Logic BIST may be provided

• Processors that don’t use scan/BIST–must provide test structures and test control

port–usually boundary scan

© 1996 Synopsys, Inc. (Name.66)

Libraries andMemory

Libraries andMemory

© 1996 Synopsys, Inc. (Name.67)

Prerequisites for Reuse

• Good libraries and Memory Compilers–synthesis-friendly, well supported–available early - BU’s should not have to

make their own–address the needs of the BU’s

• low power, high performance, high temp

• SRAM, Flash

–currently a mess, requires significantinvestment to fix

© 1996 Synopsys, Inc. (Name.68)

Prerequisites for Reuse

• Consistent physical design rules–necessary for integration of hard IP–standardized layer assignments

–standardized drc, lvs decks

© 1996 Synopsys, Inc. (Name.69)

Foundry/Library GroupSpecification:

Geo, Tech, EquiptDevice Perf

Device Physics, Process Modeling

Prelim Device Models

Final Device Models, Design rules, Process recipe

Prelim Libraries: Digital, Memory, Mem CompilersAnalog, DRC/LVS Decks

Final Libraries: Digital, Memory, Mem CompilersAnalog, DRC/LVS Decks

© 1996 Synopsys, Inc. (Name.70)

FunctionalVerificationFunctional

Verification

© 1996 Synopsys, Inc. (Name.71)

Functional Test - IP

IPBFM BFM

CmdFile

Responsechecker

Mon

CmdController

ReferenceModel

Testgenerator

Mon

Specified byStandards

© 1996 Synopsys, Inc. (Name.72)

Functional Test - Chip

BFM BFM

Mon Mon

CmdFile

Responsechecker

CmdController

ReferenceModel

Testgenerator

Specified by Standards

UPMemory

I/F(PCI)

Data Xform(IDCT)

I/O(DRAM Ctl)

Cntrl

SW Tests

Compiler

Mon

© 1996 Synopsys, Inc. (Name.73)

Summary

• Good IP design makes SoC design mucheasier–Back end flow becomes straight-forward,

consistent from design to design–SoC design focuses on application and

architecture–Implementation focuses on functional

verification

© 1996 Synopsys, Inc. (Name.74)

Summary

• Good IP provides simple, regularinterfaces to chip–registered inputs, outputs–timing model is simple, not state dependent

–manufacturing test is easy to interface to restof chip