6
Electromigration in passivated Cu interconnects studied by transmission x-ray microscopy G. Schneider a) Center for X-ray Optics, Lawrence Berkeley National Laboratory, One Cyclotron Road, MS 2-400, Berkeley, California 94720 M. A. Meyer AMD Saxony Manufacturing GmbH, P.O. Box 11 01 10, D-01330 Dresden, Germany G. Denbeaux, E. Anderson, B. Bates, and A. Pearson Center for X-ray Optics, Lawrence Berkeley National Laboratory, One Cyclotron Road, MS 2-400, Berkeley, California 94720 C. Kno ¨ chel and D. Hambach Institut fu ¨r Ro ¨ntgenphysik, Universita ¨t Go ¨ttingen, Geiststraße 11, D-37073 Go ¨ttingen, Germany E. A. Stach National Center for Electron Microscopy, Lawrence Berkeley National Laboratory, One Cyclotron Road, MS 72-150, Berkeley, California 94720 E. Zschech AMD Saxony Manufacturing GmbH, P.O. Box 11 01 10, D-01330 Dresden, Germany ~Received 27 June 2002; accepted 30 September 2002! Time-resolved x-ray microscopy studies of the electromigration in inlaid Cu line/via structures were performed on focused-ion-beam-prepared cross sections of an advanced interconnect layer system. Multiple x-ray images were recorded at 1.8 keV photon energy while stressing the passivated Cu structures with an applied current. The image sequences show that void formation is a dynamic process, with voids being observed to nucleate and grow within the Cu via and migrate towards the via sidewall. Correlation of the real time x-ray microscopy images with postmortem high voltage transmission electron and scanning electron micrographs indicates that the void nucleation occurs at grain boundaries in the copper, and that the voids migrate along these grain boundaries during electromigration. By taking multiple images at different viewing angles, the three-dimensional arrangement of an interconnect stack with Cu line / via structures was reconstructed. In future studies time-resolved tomography will be used to visualize void dynamics within the volume, thereby identifying pathways for Cu diffusion. © 2002 American Vacuum Society. @DOI: 10.1116/1.1523403# I. INTRODUCTION In state-of-the-art microprocessors, more than 100 000 000 transistors have to be integrated. As the number of devices increases, and both transistor and interconnect di- mensions decrease, the overall performance of the micropro- cessors is increasingly determined by interconnect design and materials. Al-based interconnects are being replaced by inlaid copper, as copper has a higher conductivity and im- proved electromigration ~EM! performance. 1 Nevertheless, EM and stress-induced migration phenomena remain reli- ability concerns for inlaid copper interconnects, especially as the dimensions of the interconnect lines continue to shrink. 2 The formation of voids induced by high current densities ~electromigration! during integrated circuit operation can cause an open circuit or an increase in resistance, resulting in malfunction or speed degradation. 3 Many failure-analysis studies using postmortem EM test structures have been performed, but the degradation mecha- nisms during EM are still not well understood. 4 Some studies of unpassivated interconnect structures exist, but these only partially represent the real case, because the sample surface is a dominant diffusion path in these samples and the stress state has been changed by sample preparation. 5 Therefore, our approach is to perform in situ experiments of fully pas- sivated interconnect structures to get some ideas about the effect of the copper microstructure on interconnect reliability as well as more detailed information concerning degradation mechanisms. Recently, in situ scanning electron microscopy ~SEM! studies of copper interconnect structures embedded in about 100 nm thick passivation layers were reported. 6 These experiments were very sensitive to the early stages of elec- tromigration, as the formation and movement of interface voids could be clearly seen. However, it is difficult to detect bulk voids in SEM images. Tomography—based on trans- mission electron microscopy ~TEM! images—provides high resolution three-dimensional ~3D! information. 7 However, it requires samples much thinner than 1 m m to avoid multiple scattering ~in the case of 200–300 keV incident electron en- ergy!. The high-voltage TEM ~with about 1 MeV electron a! Author to whom correspondence should be addressed; electronic mail: [email protected] 3089 3089 J. Vac. Sci. Technol. B 206, NovÕDec 2002 1071-1023Õ2002Õ206Õ3089Õ6Õ$19.00 ©2002 American Vacuum Society

Electromigration in passivated Cu interconnects studied by

  • Upload
    others

  • View
    9

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Electromigration in passivated Cu interconnects studied by

Electromigration in passivated Cu interconnects studied by transmissionx-ray microscopy

G. Schneidera)

Center for X-ray Optics, Lawrence Berkeley National Laboratory, One Cyclotron Road, MS 2-400,Berkeley, California 94720

M. A. MeyerAMD Saxony Manufacturing GmbH, P.O. Box 11 01 10, D-01330 Dresden, Germany

G. Denbeaux, E. Anderson, B. Bates, and A. PearsonCenter for X-ray Optics, Lawrence Berkeley National Laboratory, One Cyclotron Road, MS 2-400,Berkeley, California 94720

C. Knochel and D. HambachInstitut fur Rontgenphysik, Universita¨t Gottingen, Geiststraße 11, D-37073 Go¨ttingen, Germany

E. A. StachNational Center for Electron Microscopy, Lawrence Berkeley National Laboratory, One Cyclotron Road,MS 72-150, Berkeley, California 94720

E. ZschechAMD Saxony Manufacturing GmbH, P.O. Box 11 01 10, D-01330 Dresden, Germany

~Received 27 June 2002; accepted 30 September 2002!

Time-resolved x-ray microscopy studies of the electromigration in inlaid Cu line/via structures wereperformed on focused-ion-beam-prepared cross sections of an advanced interconnect layer system.Multiple x-ray images were recorded at 1.8 keV photon energy while stressing the passivated Custructures with an applied current. The image sequences show that void formation is a dynamicprocess, with voids being observed to nucleate and grow within the Cu via and migrate towards thevia sidewall. Correlation of the real time x-ray microscopy images with postmortem high voltagetransmission electron and scanning electron micrographs indicates that the void nucleation occurs atgrain boundaries in the copper, and that the voids migrate along these grain boundaries duringelectromigration. By taking multiple images at different viewing angles, the three-dimensionalarrangement of an interconnect stack with Cu line / via structures was reconstructed. In futurestudies time-resolved tomography will be used to visualize void dynamics within the volume,thereby identifying pathways for Cu diffusion. ©2002 American Vacuum Society.@DOI: 10.1116/1.1523403#

abtprsigdim

reak

iesng

esc

onlyrfaceress

t thelitytionyd in

lec-cect

ns-

n-ma

I. INTRODUCTION

In state-of-the-art microprocessors, more th100 000 000 transistors have to be integrated. As the numof devices increases, and both transistor and interconnecmensions decrease, the overall performance of the microcessors is increasingly determined by interconnect deand materials. Al-based interconnects are being replaceinlaid copper, as copper has a higher conductivity andproved electromigration~EM! performance.1 Nevertheless,EM and stress-induced migration phenomena remainability concerns for inlaid copper interconnects, especiallythe dimensions of the interconnect lines continue to shrin2

The formation of voids induced by high current densit~electromigration! during integrated circuit operation cacause an open circuit or an increase in resistance, resultinmalfunction or speed degradation.3

Many failure-analysis studies using postmortem EM tstructures have been performed, but the degradation me

a!Author to whom correspondence should be addressed; [email protected]

3089 J. Vac. Sci. Technol. B 20 „6…, Nov ÕDec 2002 1071-1023Õ200

nerdi-o-n

by-

li-s.

in

tha-

nisms during EM are still not well understood.4 Some studiesof unpassivated interconnect structures exist, but thesepartially represent the real case, because the sample suis a dominant diffusion path in these samples and the ststate has been changed by sample preparation.5 Therefore,our approach is to performin situ experiments of fully pas-sivated interconnect structures to get some ideas aboueffect of the copper microstructure on interconnect reliabias well as more detailed information concerning degradamechanisms. Recently,in situ scanning electron microscop~SEM! studies of copper interconnect structures embeddeabout 100 nm thick passivation layers were reported.6 Theseexperiments were very sensitive to the early stages of etromigration, as the formation and movement of interfavoids could be clearly seen. However, it is difficult to detebulk voids in SEM images. Tomography—based on tramission electron microscopy~TEM! images—provides highresolution three-dimensional~3D! information.7 However, itrequires samples much thinner than 1mm to avoid multiplescattering~in the case of 200–300 keV incident electron eergy!. The high-voltage TEM~with about 1 MeV electronil:

30892Õ20„6…Õ3089Õ6Õ$19.00 ©2002 American Vacuum Society

Page 2: Electromigration in passivated Cu interconnects studied by

hdh

i

teneeOthvia

oin

lec

iaye-eVnngc

t/Sio

-s-ie

g

thearlyed.mi-

lyly,eo-

inggetotly

esd a

inleouthy,Ni

lo-

ures

pe

d

mi-e

3090 Schneider et al. : Electromigration in passivated Cu interconnects 3090

energy! permits imaging of thicker samples, but the higmomentum transfer of the electrons to the atoms can leasevere radiation damage. This problem is exacerbated wmultiple images are required, as is the case forin situ EMstudies. In addition, SEM and TEM studies do not permquantitative mass transport measurements.

X rays can penetrate samples that are many micromethick. Additionally, because of the nature of their interactiowith matter, they provide a natural image contrast betwdifferent elements, e.g., Cu structures embedded in Si2 .Therefore, in this work x-ray microscopy is used to studydynamics of void development in passivated Cu line/structures.

II. SETUP OF THE X-RAY MICROSCOPE

Model calculations showed that the number of x-ray phtons required to detect voids in Cu interconnects is at a mmum at a photon energy ofEPh51.8 keV.8 In addition, thephoton flux emitted by a bending magnet installed in an etron storage ring like the Advanced Light Source~ALS! inBerkeley operating at 1.9 GeV electron energy is close tomaximum at this photon energy. However, the full-field x-rmicroscope XM-1 installed at the ALS was originally dsigned for imaging samples with soft x rays below 0.8 kphoton energy.9,10 Its x-ray optical setup, which is shown iFig. 1, originally incorporated a Ni-coated mirror operatiat a fixed angular position of 3°. This had a very low refletivity for photons with energies higher thanEPh50.8 keV. Inorder to extend the usable photon energy range of XM-1energies of about 1.8 keV, we manufactured a Rumultilayer withN545 periods, a period of 15 nm, and a rat~high Z material layer thickness/period! of g50.4. Thismultilayer mirror has its reflectivity peak with 30% reflectivity at 1.8 keV photon energy. With this multilayer it is posible to perform microscopy experiments at photon energ

FIG. 1. X-ray optical setup of the full-field transmission x-ray microscoXM-1. The Cu line/via under electromigration test is illuminated byEPh

51.8 keV photons with an energy bandwidthE/DE'400. The enlargedimage of the sample formed by a micro zone plate objective is recordea CCD camera.

J. Vac. Sci. Technol. B, Vol. 20, No. 6, Nov ÕDec 2002

toen

t

rssn

e

-i-

-

ts

-

oi

s

between theK-absorption edge of carbon~0.27 keV! and theK-absorption edge of Si~1.8 keV! without changing the in-cidence angle of the mirror.

The mirror reflects the radiation from an ALS bendinmagnet onto a condenser zone plate~CZP! which monochro-matizes the radiation and at the same time illuminatessample. The focal length of zone plates increases linewith photon energy while the beam divergence is reducThus, we manufactured a CZP with a diameter of 8.53 mand an outermost zone width of 53.3 nm for sample illumnation with 1.8 keV radiation. At this energy, the CZP is fulilluminated with the bending magnet radiation. Additionalwe designed zone plate objectives that matched the gmetrical requirements of the existing microscope for imagat this photon energy in order to achieve sufficiently larmagnifications. The maximum distance from the samplethe detector plane is 2 m, and the pixel size of the direcilluminated back-thinned charge coupled device~CCD! de-tector is 24mm. Therefore, we used zone plate objectivwith only 230 zones, a 35 nm outermost zone width anfocal length of 1.6 mm atEPh51.8 keV to nearly fulfill thesampling theorem. Under these conditions, the pixel sizethe x-ray images is 19 nm, which fits with the obtainabresolution determined by the zone plate objectives of ab40 nm. Using a bilayer process and e-beam lithograpCZPs and zone plate objectives were manufactured inhaving a zone thickness of about 180 nm.11,12

III. SAMPLE PREPARATION

The test structures used for the EM experiments arecated within the scribelines of production wafers. Figure 2~a!shows a schematic cross section of the EM test struct

on

FIG. 2. ~a! Schematic of the cross section of the Cu line/via under electrogration testing conditions.~b! SEM micrograph of the cross section of thCu metallization system which was thinned locally with a FIB.

Page 3: Electromigration in passivated Cu interconnects studied by

oncaif aal 2n

l.thtdein

theheandof

Mted

outaryenp-e

as

til50ingtesndal

eretheere

hsap-

herateid-uc-e

asrrent

-a

hat

3091 Schneider et al. : Electromigration in passivated Cu interconnects 3091

used in the experiments with a two-level copper intercnect. The samples were fabricated in dual-inlaid copper tenology, using physical vapor deposition tantalum for the brier and plasma-enhanced chemical vapor deposition S3N4

as caplayer for the metal lines. The structure consists oarray of metal lines. Depending on which level of metalliztion is tested, this array of lines is either Metal 1 or Metaof a two-level structure. In this study, Metal 2 was tested athe connections to the bond pads are in the Metal 1 levethis case, the Cu vias at the ends of the line are part ofdevice under test. According to lifetime measurements, iimportant for the EM degradation mechanism and forstructive failure analysis that the vias at the end of the l

FIG. 3. Some selected images~A!–~E! from a sequence of x-ray micrographs taken at successive times showing void formation, movement,agglomeration inside the buried copper via.

JVST B - Microelectronics and Nanometer Structures

-h-r-

n-

dIne

is-e

belong to the device under test for Metal 2. The design oftransmission x-ray microscope XM-1 requires that tsamples are located in a lamella of about 10 mm length250 mm width, with the region of interest near the endsuch a lamella.

The general preparation procedure is similar to TEsample preparation. In a first step the lamella was extracfrom the wafer using a wire saw. This lamella has to be ab250 mm wide to contain the bondpads which are necessfor electrical connection of the line under test. It was thmounted on a modified 24-pin test chip in a way that aproximately 6 mm of the lamella stick out, containing thregion of interest at its end. Using a focused ion beam~FIB!microscope, the final lamella at the region of interest wthinned to a thickness of about 2mm @see Fig. 2~b!#. It isimportant to mill from both sides of the line under test unall neighboring metal lines are removed. Effectively, amm wide trench was cut perpendicular to the needle, leadto the area of interest. The x-ray beam of XM-1 penetrathe sample through this trench. Note that both the line athe via under test were kept fully passivated within the finlamella while all metal lines next to the one under test wremoved. In a final step, electrical connections frombondpads to the landing pads of the 24-pin test chip wmade by wire bonding.

IV. ELECTROMIGRATION IN PASSIVATEDCOPPER VIAS

Figures 3~A!–3~E! show a sequence of x-ray micrograpof a copper via/line interconnect structure, which were ctured during anin situ EM experiment with the XM-1 oper-ating atEPh51.8 keV. The images clearly demonstrate tadvantage of x-ray imaging. The x rays are able to penetthrough thick dielectric layers while at the same time proving a high absorption contrast image of the buried Cu strtures. During thein situ experiment, the upper line of thtwo-level test structure was the line under test. The via wstressed at a temperature of about 150 °C and at a cu

nd

FIG. 4. X-ray micrograph imaged at 0.85 keV photon energy showing tthe Cu line failed in the dc stressed sample.

Page 4: Electromigration in passivated Cu interconnects studied by

exra

ndfex

.tut

hepeeanto

k

e

raic

nt

lti-

innot

olu-ible.

3092 Schneider et al. : Electromigration in passivated Cu interconnects 3092

density of about 33107 A/cm2. This current density waschosen to perform thein situ experiment in a reasonablperiod of time. It is much higher than for standard EM eperiments but is applicable for the study of principal degdation processes. The totalin situ EM experiment lasted 13h. The electron flow was from left to right in the image, aupward through the via. Figure 3~A! shows the initial state othe interconnect structure without any voids. During theperiment, void formation@Fig. 3~B!#, movement@Figs. 3~C!–3~D!# and agglomeration@Fig. 3~E!# were seen in the viaThe shadow-like features around the metal line 1 struccan be attributed to copper diffusion across the surface oflamella. Since this metal line was originally wider than tlamella, it was cut by the FIB and, consequently, the copwas exposed to air and surface diffusion occurred. Figurshows an x-ray micrograph of the EM sample which wimaged with a 0.85 keV photon energy. Note that differedielectric layers can even be distinguished at this phoenergy.

Although it is difficult to distinguish clearly between bulvoids and interface voids based on x-ray micrographs~pro-jection in one direction!, the image sequence gives som

FIG. 5. High-voltage TEM bright field image~a! and SEM micrograph~b!showing the Cu line/via structure after the electromigration test. Gboundaries that appear consistent with the path of the void as it migratedbe seen. The void that was formed during the electromigration experimealso clearly visible.

J. Vac. Sci. Technol. B, Vol. 20, No. 6, Nov ÕDec 2002

--

-

rehe

r4

stn

nanis

FIG. 6. Some selected projections at different viewing angles of the mulevel Cu metallization layer system imaged at 1.8 keV photon energy.

FIG. 7. Slice representation of a multilevel Cu metallization layer systemdifferent distances from the Si wafer surface. Since the projections werewell aligned regarding the rotation axis during data acquisition, the restion obtained in the reconstruction is much lower than theoretically poss

Page 5: Electromigration in passivated Cu interconnects studied by

ca

3093 Schneider et al. : Electromigration in passivated Cu interconnects 3093

FIG. 8. Volume representation of the Cu via/line structures calculated from 50 different projections spanning an angular range of 140°. Note that thislculated3D micrograph is not representative of the quality of the Cu interconnect structures, because of the imperfectly aligned sample rotation axis.

lkahec

eragsageresn

os

inon

ssell

ofldin-edic

heebyay

ael-the

le

indications that initial voids are formed in the copper bustructure, probably at grain boundaries or grain boundtriple points. To obtain detailed structural information of tvia after the EM experiment, the National Center for Eletron Microscopy’s JEOL atomic resolution microscope opating at an accelerating voltage of 800 kV was used to imthe stressed sample. No additional thinning was necesThe high-voltage TEM image in Fig. 5 shows that the larvoid in Fig. 3~F! is located next to the Ta barrier, i.e., copphas been dissolved but the Ta barrier still exists. There seto be a significant mass transport along grain boundariethe Cu/Ta interface at the via sidewall, where voids grow aagglomerate. Figure 5 shows a SEM image of a FIB crsection of this sample. There is a grain boundary leadingthe large void. Small voids are visible along this graboundary, giving evidence for the grain boundary diffusimechanism.

JVST B - Microelectronics and Nanometer Structures

ry

--ery.e

mstods

to

V. COMPUTED TOMOGRAPHY OF MICRO-PROCESSOR INTERCONNECT STRUCTURES

X-ray transmission is quantitatively related to the madistribution of the sample. This permits tomography as was quantitative determination of the mass transport.8 To dem-onstrate that it is possible to determine the 3D structurefully intact passivated Cu line/via structures with a full-fiex-ray microscope, we have performed tomography of anterconnect stack with three Cu layers. The back-thinnCCD camera used in the EM study has a limited dynamrange at 1.8 keV. This limited dynamic range affects tattainable resolution by increasing shot noise, and therpreventing the resolution limit—as determined by the x-rmicroscope objective aperture—from being attained insingle exposure. To overcome this limitation, we have devoped a system whereby a phosphor screen is coupled toCCD. In this system, x rays are first converted into visiblight with a thin aluminum-coated P43 (Gd2O2S:Tb! phos-

Page 6: Electromigration in passivated Cu interconnects studied by

ivsdVte

hag

re

efte,liectSthe

cae

yshiupoaoM

nL

lizu

anheio

oely,will

snt

yfortheNo.cees,3-

ig,

M

bil-

Ni-

R.iat.

ci.

3094 Schneider et al. : Electromigration in passivated Cu interconnects 3094

phor screen and then imaged with a visible light objectonto a CCD camera. Under these conditions, an increadynamic range is obtained and radiation damage to therectly illuminated back-thinned CCD caused by 1.8 kex rays is avoided. In addition, the pixel size is reducedabout 7mm, which allows higher x-ray magnifications. Thphosphor-coupled CCD is about five times less efficient tthe directly illuminated back-thinned CCD, when collectinthe visible light with an objective with a numerical apertu~NA! of NA50.357.

Figure 6 shows some selected images from 50 differviewing angles spanning an angular range of 140°. Aalignment of the projections to a common rotation axismultiplicative algebraic reconstruction technique was appto reconstruct the 3D structure of the copper interconneFigure 7 shows slices in different depth parallel to thewafer surface. Since the sample was not well aligned torotation axis, the quality of the reconstruction is much lowthan under ideal conditions, and therefore, the resolutionthe reconstruction is significantly lower than the theoretilimit. However, the individual Cu layers, as well as somstructural details, are visible. The complete metallization stem with copper lines and vias, which is obtained from ttomographic data set, is shown in Fig. 8. As the reconstrtion shows, it will be possible to measure the mass transof copper within the bulk with an x-ray microscope intime-resolved manner. For this purpose, an improved tomraphy setup that allows heating and pre-aligning of the Esample onto the rotation axis is required. These experimeimprovements are currently under development at the AXM-1.

VI. CONCLUSIONS

In summary, we have developed a method to visuavoid formation in buried copper interconnect structures. Oobservations indicate that voids grow inside the coppermove along grain boundaries toward the interface where tagglomerate. To provide information about the exact locat

J. Vac. Sci. Technol. B, Vol. 20, No. 6, Nov ÕDec 2002

eedi-

o

n

ntr

ads.ierofl

-sc-rt

g-

talS

erdy

n

~bulk or interface! of voids during an EM experiment and tmeasure the mass transport in bulk copper quantitativtime-resolved tomography based on x-ray micrographsbe performed in futurein situ EM experiments. By correlat-ing this information with the copper microstructure of viaobtained from high-voltage TEM micrographs, the dominadiffusion pathways can be identified.

ACKNOWLEDGMENTS

The authors wish to thank Peter Hu¨bler, Inka Zienert,Eckhard Langer, and Holger Saage~AMD Saxony Manufac-turing GmbH Dresden! for stimulating discussions. Thegratefully acknowledge B. Harteneck and D. Richardsontechnical support. This work was supported in part byDeutsche Forschungsgemeinschaft under ContractSCHN 529/1-1 and by the Director, Office of Science, Offiof Basic Energy Sciences, Division of Materials SciencU.S. Department of Energy under Contract No. DE-AC076SF00098.

1D. Edelsteinet al., Proc. IEDM, 773~1997!.2E. Zschech, W. Blum, I. Zienert, and P. R. Besser, Z. Metallkd.92, 803~2001!.

3W. T. Lynch and L. A. Arledge, Mater. Res. Soc. Symp. Proc.514, 11~1998!.

4C. K. Hu, S. G. Malhotra, and L. Gignac, Proc.-Electrochem. Soc.31, 206~1999!.

5T. G. Koetter, H. Wendrock, H. Schuehrer, C. Wenzel, and K. WetzMicroelectron. Reliab.40, 1295~2000!.

6M. A. Meyer, M. Herrmann, E. Langer, and E. Zschech, Proc. MAConference, 2002~in press!.

7H. Stegmann, H. J. Engelmann, and E. Zschech, Microlectronics Reliaitz ~in press, 2002!.

8G. Schneider, D. Hambach, B. Kaulich, N. Hoffmann, W. Hasse, B.emann, and J. Susini, Appl. Phys. Lett.78, 1936~2001!.

9W. Meyer-Ilse, H. Medecki, L. Jochum, D. Attwood, C. Magowan,Balhorn, M. Moronne, D. Rudolph, and G. Schmahl, Synchrotron RadNews8, 23 ~1995!.

10G. Denbeauxet al., Nucl. Instrum. Methods Phys. Res. A467–468, 841~2001!.

11D. Olynick, E. Anderson, B. Harteneck, and E. Veklerov, J. Vac. STechnol. B19, 2896~2001!.

12E. H. Andersonet al., J. Vac. Sci. Technol. B18, 2970~2000!.