6
EXP:1 VHDL PROGRAM D FLIP FLOP: 1 library ieee; use ieee.std_logic_1164.all; ENTITY dff IS PORT d! cl"# IN ST$_%O&I'; (# O)T ST$_%O&I'*; EN$ dff; +R',ITE'T)RE be-a ior O/ dff IS 0E&IN PRO'ESS cl"* begi I/ cl"2E3ENT +N$ cl" 212* T,EN ( 5 d; EN$ I/; EN$ PRO'ESS; EN$ be-a ior;

EXP 1

Embed Size (px)

DESCRIPTION

notes

Citation preview

EXP:1 VHDL PROGRAMD FLIP FLOP:1

library ieee;use ieee.std_logic_1164.all;ENTITY dff IS PORT ( d, clk: IN STD_LOGIC; q: OUT STD_LOGIC); END dff; ARCHITECTURE behavior OF dff IS BEGIN PROCESS (clk) begin IF (clk'EVENT AND clk='1') THEN q