Upload
others
View
1
Download
0
Embed Size (px)
Citation preview
File = mux2a1_vhd_altera_131219.pptx
1
2
3
boole.exe
4
Step 1
Step 3Step 2
Step 4
5
Step 4
Step 5
Step 6
Step 7
6
Step 8
library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity mux2a1 isPort (
s: in std_logic;x: in std_logic;y: in std_logic;z: out std_logic);
end mux2a1;
architecture behavioral of mux2a1 isbegin
z<=((not(s) and x) or (s and y))end behavioral;
Step 9
File = mux2a1_131219.vhd
7
library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity mux2a1 isPort (
s: in std_logic;x: in std_logic;y: in std_logic;z: out std_logic);
end mux2a1;
architecture behavioral of mux2a1 isbegin
z<=((not(s) and x) or (s and y))end behavioral;
File = mux2a1_131219.vhd
Manca ; dopo l’istruzione!!! z<=((not(s) and x) or (s and y)) ;
Sommario sintesi mux2a1 usando SW Boole-Deusto
8
Per il progetto del MUX2a1 usando MAX+plusII
9
10
11
12
13
14
15