15
File = mux2a1_vhd_altera_131219.pptx 1

File = mux2a1 vhd altera 131219 - Welcome to the INFN Roma … · 2019-12-13 · MAX+pIus Il - and documents\prove altera 131219\mux2aI - MAX+pIus Il File Node Analysis Assign Utilities

  • Upload
    others

  • View
    1

  • Download
    0

Embed Size (px)

Citation preview

Page 1: File = mux2a1 vhd altera 131219 - Welcome to the INFN Roma … · 2019-12-13 · MAX+pIus Il - and documents\prove altera 131219\mux2aI - MAX+pIus Il File Node Analysis Assign Utilities

File = mux2a1_vhd_altera_131219.pptx

1

Page 2: File = mux2a1 vhd altera 131219 - Welcome to the INFN Roma … · 2019-12-13 · MAX+pIus Il - and documents\prove altera 131219\mux2aI - MAX+pIus Il File Node Analysis Assign Utilities

2

Page 3: File = mux2a1 vhd altera 131219 - Welcome to the INFN Roma … · 2019-12-13 · MAX+pIus Il - and documents\prove altera 131219\mux2aI - MAX+pIus Il File Node Analysis Assign Utilities

3

boole.exe

Page 4: File = mux2a1 vhd altera 131219 - Welcome to the INFN Roma … · 2019-12-13 · MAX+pIus Il - and documents\prove altera 131219\mux2aI - MAX+pIus Il File Node Analysis Assign Utilities

4

Step 1

Step 3Step 2

Step 4

Page 5: File = mux2a1 vhd altera 131219 - Welcome to the INFN Roma … · 2019-12-13 · MAX+pIus Il - and documents\prove altera 131219\mux2aI - MAX+pIus Il File Node Analysis Assign Utilities

5

Step 4

Step 5

Step 6

Step 7

Page 6: File = mux2a1 vhd altera 131219 - Welcome to the INFN Roma … · 2019-12-13 · MAX+pIus Il - and documents\prove altera 131219\mux2aI - MAX+pIus Il File Node Analysis Assign Utilities

6

Step 8

library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity mux2a1 isPort (

s: in std_logic;x: in std_logic;y: in std_logic;z: out std_logic);

end mux2a1;

architecture behavioral of mux2a1 isbegin

z<=((not(s) and x) or (s and y))end behavioral;

Step 9

File = mux2a1_131219.vhd

Page 7: File = mux2a1 vhd altera 131219 - Welcome to the INFN Roma … · 2019-12-13 · MAX+pIus Il - and documents\prove altera 131219\mux2aI - MAX+pIus Il File Node Analysis Assign Utilities

7

library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity mux2a1 isPort (

s: in std_logic;x: in std_logic;y: in std_logic;z: out std_logic);

end mux2a1;

architecture behavioral of mux2a1 isbegin

z<=((not(s) and x) or (s and y))end behavioral;

File = mux2a1_131219.vhd

Manca ; dopo l’istruzione!!! z<=((not(s) and x) or (s and y)) ;

Sommario sintesi mux2a1 usando SW Boole-Deusto

Page 8: File = mux2a1 vhd altera 131219 - Welcome to the INFN Roma … · 2019-12-13 · MAX+pIus Il - and documents\prove altera 131219\mux2aI - MAX+pIus Il File Node Analysis Assign Utilities

8

Per il progetto del MUX2a1 usando MAX+plusII

Page 9: File = mux2a1 vhd altera 131219 - Welcome to the INFN Roma … · 2019-12-13 · MAX+pIus Il - and documents\prove altera 131219\mux2aI - MAX+pIus Il File Node Analysis Assign Utilities

9

Page 10: File = mux2a1 vhd altera 131219 - Welcome to the INFN Roma … · 2019-12-13 · MAX+pIus Il - and documents\prove altera 131219\mux2aI - MAX+pIus Il File Node Analysis Assign Utilities

10

Page 11: File = mux2a1 vhd altera 131219 - Welcome to the INFN Roma … · 2019-12-13 · MAX+pIus Il - and documents\prove altera 131219\mux2aI - MAX+pIus Il File Node Analysis Assign Utilities

11

Page 12: File = mux2a1 vhd altera 131219 - Welcome to the INFN Roma … · 2019-12-13 · MAX+pIus Il - and documents\prove altera 131219\mux2aI - MAX+pIus Il File Node Analysis Assign Utilities

12

Page 13: File = mux2a1 vhd altera 131219 - Welcome to the INFN Roma … · 2019-12-13 · MAX+pIus Il - and documents\prove altera 131219\mux2aI - MAX+pIus Il File Node Analysis Assign Utilities

13

Page 14: File = mux2a1 vhd altera 131219 - Welcome to the INFN Roma … · 2019-12-13 · MAX+pIus Il - and documents\prove altera 131219\mux2aI - MAX+pIus Il File Node Analysis Assign Utilities

14

Page 15: File = mux2a1 vhd altera 131219 - Welcome to the INFN Roma … · 2019-12-13 · MAX+pIus Il - and documents\prove altera 131219\mux2aI - MAX+pIus Il File Node Analysis Assign Utilities

15