156
GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC APPLICATIONS A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF DOCTORAL OF PHILOSOPHY Abhijit Pethe March 2007

GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

  • Upload
    others

  • View
    1

  • Download
    0

Embed Size (px)

Citation preview

Page 1: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

GE-BASED TRANSISTORS

FOR HIGH-PERFORMANCE LOGIC APPLICATIONS

A DISSERTATION

SUBMITTED TO

THE DEPARTMENT OF ELECTRICAL ENGINEERING

AND THE COMMITTEE ON GRADUATE STUDIES

OF STANFORD UNIVERSITY

IN PARTIAL FULFILLMENT OF THE REQUIREMENTS

FOR THE DEGREE OF

DOCTORAL OF PHILOSOPHY

Abhijit Pethe

March 2007

Page 2: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

ii

© Copyright by Abhijit Pethe 2007

All Rights Reserved

Page 3: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

iii

I certify that I have read this dissertation and that, in my opinion, it is fully adequate in

scope and quality as a dissertation for the degree of Doctor of Philosophy.

(Krishna Saraswat) Principal Advisor

I certify that I have read this dissertation and that, in my opinion, it is fully adequate in

scope and quality as a dissertation for the degree of Doctor of Philosophy.

(Yoshio Nishi)

I certify that I have read this dissertation and that, in my opinion, it is fully adequate in

scope and quality as a dissertation for the degree of Doctor of Philosophy.

(H. –S. Philip Wong)

Approved for the University Committee on Graduate Studies.

Page 4: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

iv

Page 5: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

v

Abstract Ultra-fast and reliable switching transistors have been the backbone of explo-

sive growth in the semiconductor industry over the last few decades. This has been

achieved mainly by continued scaling of transistor dimensions to achieve higher drive

currents and higher switching speeds. However, with gate lengths presently scaling

into the sub-50nm node, switching these devices off may pose a considerable chal-

lenge to the reliable operation of the transistor switch. One way to continue with

enhanced device performance is with the introduction of novel materials in the chan-

nel to boost the drive currents without compromising the off state characteristics of the

switch.

In the first part of the thesis, the performance limits of MOSFETs using vari-

ous materials like Ge and III-Vs are discussed. Even though, many of these materials

have a low effective mass for electrons providing for higher injection velocities, they

also have a high dielectric constant and smaller bandgap making them susceptible to

higher leakage and worse short channel effects. Ballistic transport simulations taking

into account conduction in all valleys, quantum effects in thin film structures, band-to

band tunneling and short-channel effects, were performed for transistors with suitable

architectures in the sub 20nm regime and the materials were benchmarked for their

efficacy as channel materials for NMOS. Our results show that under normal operation

a significant portion of the ON current in the III-V materials occurs through the

heavier L-valleys, and hence they perform very similar to Ge. The effect of channel

orientation on Ge ballistic performance will also be discussed.

Experimental results on the characterization of bulk Ge transistors are dis-

cussed in the second part of this thesis. Higher electron and hole inversion mobilities

in bulk-Ge transistors were obtained as compared to Si. The gate stack of thermally

grown

!

GeOxNycapped with CVD SiO2 was used. The interface state density

!

Dit at the

gate interface was extracted using low temperature quasi-static and conductance

Page 6: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

vi

measurements and a low- interface trap density of 3-4X1011 #/cm-2 was reported. The

effect of surface orientation on the mobility and interface states at the gate interface is

also discussed. Replacing the source/drain region in the MOSFET with a metal of a

suitable barrier-height has been investigated as an avenue to increase performance by

reducing the parasitic resistance in the device structure. The barrier heights of various

metals on the Ge interface were characterized. A low barrier height of ~100mV at the

NiGe/Ge interface was reported. NiGe-based Schottky Source/Drain Ge transistors

were successfully fabricated. Schottky transistors with Si/Ge/Si heterostructures in the

channel were fabricated to increase the inversion layer mobility without adversely

impacting the OFF state leakage. This transistor provides an ideal avenue for scaling

of PMOSFETs to the sub-25nm regime, by exploiting the excellent transport proper-

ties of inversion holes in Ge and the use of metal in the Source/Drain region to reduce

the parasitic resistance.

Page 7: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

vii

Acknowledgements I wish to acknowledge the support of many people who have contributed to my

Ph. D. research and have made my stay at Stanford a highly enjoyable and useful

experience.

First of all, I express my sincere gratitude to my advisor, Prof. Krishna Saras-

wat, for his support, guidance and patience throughout the course of my research. I

especially appreciate the latitude and time that he gave me to choose a research topic

and explore a large number of areas in novel device physics and technology. It has

been a rewarding experience to work with him.

I thank my associate advisor Prof. Yoshio Nishi for his insightful suggestions,

questions and comments. He has always been a constant source of encouragement

throughout my time at Stanford. I thank Prof. Philip Wong for all his help throughout

my project especially with regard to the ballistic transport simulations. I also thank

Prof. James Harris for agreeing to serve as the chair of my Ph. D. oral examination

committee. I acknowledge the help and guidance offered by Prof. Jim Plummer and

Prof. Fabian Pease, especially during the first few years of my stay at Stanford.

Being in Stanford offers the unique opportunity to interact with scholars from

different universities and companies. I have been fortunate to interact with Dr. Ken

Uchida from Toshiba Corp. and learn a lot on device simulations and measurements

from him.

I am grateful to Dr. James McVittie for being a good mentor and friend. He has

always been ready to help me, whether it was with equipment issues in the lab, or to

share some of his immense depth and breadth of knowledge and experience. I have

been fortunate to interact on a technical basis with some of the other faculty and

research staff at Stanford. Dr. Baylor Triplett has always been forthcoming with

suggestions and questions during group meetings and otherwise. I have learned a lot

Page 8: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

viii

from them and thank them for that. I sincerely thank Dr. Ann Marshall for introducing

me to the fascinating world of transmission electron microscopy.

I thank Irene Sweeney for her efficient administrative support especially for

getting my PO’s and reimbursements in time always.

Financial support for this work, in the form of funding from the MARCO Ma-

terials, Structures and Devices Focus Center and the Stanford Initiative for Nanoscale

Materials and Processes is gratefully acknowledged.

This Ph. D. research has benefited from the direct help and collaboration of

many colleagues. I would like to acknowledge their contributions. Dr. Rohit Shenoy,

Dr. Kailash Gopalakrishnan and Dr. Sameer Jain were instrumental in my initial

training in the lab. I especially acknowledge all the hour-long discussions and guid-

ance from Dr. Tejas Krishnamohan on a variety of topics that formed a large part of

my dissertation.

A large portion of my Ph.D. was spent in the Stanford Nanofabrication Facility

(SNF) clean room. I thank Dr. Eric Peroziello and Robin King for being strong pillars

of support during my time here. I cannot thank the staff enough for training me and

keeping most of the equipment running smoothly. Special thanks to Cesar Baxter, Ted

Berg, Mike Dickey, Elmer Enriquez, Jim Haydon, Paul Jerabek, Nancy Latta, Mahnaz

Mansourpour, Mike Martinez, Dr. Ed Myers, Jeannie Perez, Gladys Sarmiento, Ray

Seymour, Dr. John Shott, Maurice Stevens, Dr. Mary Tang, Uli Thumser, Mario

Vilanova and Uija Yoon.

Stanford has been a place where I have met probably the finest people in the

world. I have thoroughly enjoyed working with and being friends with a lot of people

here. I thank all my colleagues in the Center for Integrated Systems including Ali,

Bipin, Donghyun, Duygu, Gloria, Gunhan, Hemanth, Hiro, Hoon, Hoyeol, Hyun-

yong, Jia, Jinhong, Joseph, Jungyup, Maurice, Nevran, Pawan, Raghav, Raja, Ray,

Rohan, Samuel, Saroonter, Sarves, Serene and Takuya for all their support and friend-

ship throughout my Ph. D.

Page 9: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

ix

I have made some great friends during my stay in the Bay Area over the last

six years. All my friends have been my support system constantly providing me the

encouragement as well as a sympathetic ear whenever I needed it. I count myself as

being lucky for having found such fine people. I am grateful to Anshul, Arvind,

Ashish, Janani, Manoj, Nidhi, Prasanthi, Rajesh, Shalini, Shreekar, Shyam, Sunitha

and Vitthal for all their support.

There are no words in the dictionary to express my deepest sense of gratitude

to my parents and my brother, Pranav. My parents have been my role models through-

out my life, my greatest critics and my best friends. None of this would have been

possible if it was not for them. I dedicate this work to them.

Page 10: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

x

This page is intentionally left blank

Page 11: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

xi

Contents

CHAPTER 1 1

INTRODUCTION 1

1.1 MOTIVATION 1 1.2 THESIS ORGANIZATION 4 1.3 REFERENCES 5

CHAPTER 2 7

MOSFET SCALING BEYOND THE 22NM NODE 7

2.1 INTRODUCTION 7 2.2 CHALLENGES IN SCALING CONVENTIONAL BULK MOSFETS 7 2.2.1 THINNER EOT 9 2.2.2 INCREASED CHANNEL DOPING 10 2.2.3 SHALLOW JUNCTION IN SOURCE/DRAIN JUNCTIONS 10 2.3 NOVEL DEVICE STRUCTURES 11 2.3.1 PARTIALLY DEPLETED SOI-MOSFETS 11 2.3.2 FULLY DEPLETED SOI MOSFETS 11 2.3.3 MULTI-GATE MOSFETS 12 2.3.4 FUNDAMENTAL LIMIT FOR SI MOSFETS 14 2.4 NEW CHANNEL MATERIALS 15 2.4.1 IMPORTANCE OF MOBILITY IN SCALED MOSFETS 15 2.4.2 INVERSION CARRIER TRANSPORT IN STRAINED-SI 17 2.4.3 INVERSION CARRIER TRANSPORT IN GERMANIUM 22

Page 12: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

xii

2.5 EXTRINSIC FACTORS – PARASITIC RESISTANCE 24 2.6 REFERENCES 30

CHAPTER 3 37

INVESTIGATION OF PERFORMANCE LIMITS IN N-MOSFETS 37

3.1 INTRODUCTION 37 3.2 BALLISTIC TRANSPORT IN NMOSFETS 38 3.2.1 QUANTIZATION IN THIN FILMS 38 4.2.2 MODELING OF SHORT-CHANNEL MOSFETS 42 3.2.3 MODELING THE BALLISTIC CURRENT 44 3.2.4 GENERALIZED APPROACH TO CALCULATE EFFECTIVE MASSES 46 3.3 EFFECT OF EFFECTIVE MASSES ON THE BALLISTIC DRIVE CURRENTS 47 3.4 PERFORMANCE IN NOVEL CHANNEL MATERIALS 50 3.4.1 QUANTIZATION IN THIN FILMS 50 3.4.2 DRIVE CURRENTS IN THIN FILM STRUCTURES 54 3.5 OFF-STATE LEAKAGE 58 3.5.1 BAND-TO-BAND TUNNELING 58 3.6 PICKING THE RIGHT CHANNEL MATERIAL 59 3.6.1 N-CHANNEL MOSFETS 59 3.6.2 P-CHANNEL MOSFETS 61 3.7 SUMMARY 61 3.8 REFERENCES 62

CHAPTER 4 65

GE – CHANNEL MOSFETS 65

Page 13: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

xiii

4.1 INTRODUCTION 65 4.2 GATE STACK DEVELOPMENT 66 4.2.1 STATUS OF THE HIGH-K GE INTERFACE 66 4.2.2 GE OXIDES AND NITRIDES 72 4.3 CHARACTERIZATION OF THE MOS INTERFACE 73 4.3.1 LOW-HIGH FREQUENCY METHOD 74 4.3.2 CONDUCTANCE METHOD 76 4.4 BULK GE- TRANSISTORS 78 4.4.1 PROCESS FLOW 78 4.4.2 MATERIALS AND ELECTRICAL CHARACTERIZATION OF THE INTERFACE 80 4.4.3 TRANSISTOR CHARACTERIZATION 85 4.4.4 SOURCE/DRAIN CHARACTERISTICS 88 4.4.5 REASON FOR HIGHER OFF CURRENT IN THE NMOS 89 4.4.6 EFFECT OF SURFACE ORIENTATION ON CARRIER MOBILITY 89 4.6 SUMMARY 90 4.7 REFERENCES 91

CHAPTER 5 95

SCHOTTKY SOURCE/DRAIN GE – CHANNEL P- MOSFETS 95

5.1 INTRODUCTION 95 5.2 SCHOTTKY BARRIER (SB) MOS – DEVICE OPERATION 96 5.3 THE METAL-SEMICONDUCTOR JUNCTION 101 5.3.1 METHODS OF ENGINEERING BH 104 5.3.2 EXPERIMENTS 106 5.4 SCHOTTKY S/D GE PMOS 110 5.4.1 PROCESS FLOW 110 5.4.2 DEVICE RESULTS 111 5.5 SI – GE HETEROSTRUCTURE – GROWTH AND DEVICE PROPERTIES 113

Page 14: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

xiv

5.5.1 PREVIOUS WORK ON HIGH MOBILITY SCHOTTKY S/D MOSFETS 117 5.5.1 EXPERIMENTAL DETAILS 118 5.5.2 DEVICE MEASUREMENT AND DISCUSSION 119 5.6 SUMMARY 124 5.7 REFERENCES 124

CHAPTER 6 129

CONCLUSIONS AND RECOMMENDATIONS 129

6.1 DISSERTATION SUMMARY 129 6.2 CONTRIBUTIONS 131 6.3 RECOMMENDATIONS FOR FUTURE WORK 132 6.4 REFERENCES 133

Page 15: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

xv

List of Figures Chapter 1 FIGURE 1. 1: GATE LENGTH SCALING OVER THE LAST FEW DECADES FOR IMPROVED PERFORMANCE [1.3]2 FIGURE 1. 2: MICROPROCESSOR PERFORMANCE INCREASE DUE TO SCALING. THE DATA POINTS

REPRESENT DIFFERENT GENERATIONS OF INTEL MICROPROCESSORS [1.3].......................................... 2 FIGURE 1. 3: ACTIVE AND STANDBY POWER DENSITY TRENDS PLOTTED FROM INDUSTRY DATA. THE

EXTRAPOLATIONS INDICATE A CROSS OVER BELOW 20NM GATE LENGTH. AS DEVICES SCALE

TOWARDS THAT POINT, IT IS QUESTIONABLE IF THE TRADITIONAL APPROACHES AND REASONS FOR

SCALING WILL STILL BE VALID. [1.4]..................................................................................................... 3

Chapter 2 FIGURE 2. 1: PROBLEMS ASSOCIATED WITH THE SCALING OF CMOS TRANSISTORS LIMITED BY PHYSICAL

AND TECHNOLOGICAL REASONS. [2.1] .................................................................................................. 8 FIGURE 2. 2: SCHEMATIC ILLUSTRATING THE PROBLEMS ASSOCIATED WITH THE INTRODUCTION OF HIGH-

!

" MATERIALS IN CMOS. [2.2].............................................................................................................. 9 FIGURE 2. 3: EVOLUTION OF THE ARCHITECTURE OF THE SINGLE-GATE MOSFET FROM THE PDSOI TO

THE FDSOI STRUCTURE....................................................................................................................... 12 FIGURE 2. 4: EVOLUTION OF MULTI-GATE ARCHITECTURE. DELTA MOS AND FINFET HAVE TWO GATES.

TRI GATE AND OMEGA GATE FET HAVE MORE THAN THREE GATES. [2.3, 2.4, 2.5, 2.6] ................. 13 FIGURE 2. 5: BAND DIAGRAM IN THE OFF STATE OF AN EXTREMELY SCALED MOSFET INDICATING THE

CURRENT MECHANISM THAT WOULD LIMIT LENGTH SCALABILITY OF TRANSISTORS. [2.7].............. 14 FIGURE 2. 6: HISTORICAL EVOLUTION OF THE VIRTUAL SOURCE VELOCITY AS A FUNCTION OF REDUCING

CHANNEL LENGTH. THE ADVANTAGES THAT WERE GAINED WITH STRAINED-SI ARE ALSO SHOWN.

THE POINTS IN RED DEPICT THE VELOCITY TARGET REQUIRED FOR CONTINUED PERFORMANCE

IMPROVEMENT. [2.13] .......................................................................................................................... 16 FIGURE 2. 7: MODELS USED TO DESCRIBE THE DRIVE CURRENT IN NANOSCALE MOSFETS. THE CURRENT

IS PROPORTIONAL TO THE VELOCITY OF THE CARRIERS AT THE SOURCE AND THE BACKSCATTERING

RATE, BOTH OF WHICH ARE DETERMINED BY THE LOW-FIELD MOBILITY. ......................................... 17 FIGURE 2. 8: EQUIVALENT ENERGY ELLIPSOIDS IN THE SI CONDUCTION BAND. STRAIN CAUSES THE

BANDS TO SPLIT INTO THE 2-FOLD

!

"2

AND THE 4-FOLD

!

"4

VALLEYS............................................. 18

Page 16: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

xvi

FIGURE 2. 9: TRANSPORT IN BIAXIALLY STRAINED-SI. THE ELECTRON AND HOLE MOBILITY ARE PLOTTED

AS A FUNCTION OF FIELD IN (A) AND (B). (C) AND (D) DEPICT THE MOBILITY ENHANCEMENT

OBTAINED USING STRAINED-SI IN THE CHANNEL. [2.20].................................................................... 20 FIGURE 2. 10: UNIAXIAL STRAIN: NITRIDE LAYERS WERE USED TO PROVIDE UNIAXIAL STRESS ON BOTH N-

AND P- CHANNEL MOSFETS. IMPROVEMENTS IN DRIVE CURRENT ARE DEPICTED. [2.27] .............. 21 FIGURE 2. 11: HIGH-K GE CAPACITORS: C-V CHARACTERISTICS OF

!

Al2O3

(A) AND

!

HfO2

(B)

CAPACITORS. THE

!

HfO2

SAMPLES SHOW LARGER HYSTERESIS AND

!

VFB

SHIFTS. [2.30]............... 23 FIGURE 2. 12: INVERSION LAYER MOBILITY: MEASURED MOBILITY IN TRANSISTORS BOTH N- AND P-

MOS WITH

!

TaN /HfO2

GATE STACK. [2.31]................................................................................... 23 FIGURE 2. 13: COMPONENTS OF PARASITIC RESISTANCE IN THE SOURCE REGION OF CONVENTIONAL BULK

MOSFETS. [2.32,2.33]........................................................................................................................ 24 FIGURE 2. 14: SCALING OF SOURCE RESISTANCE. THE COMPONENTS OF THE SOURCE RESISTANCE ARE

PLOTTED AS A FUNCTION OF THE GATE LENGTH. [2.32,2.33] ............................................................. 25 FIGURE 2. 15: EFFECT OF FIN THICKNESS ON THE SERIES RESISTANCE OBSERVED IN SCALED GATE LENGTH

FIN FETS. [2.34] .................................................................................................................................. 25 FIGURE 2. 16: EFFECT OF CHANGING THE S/D ARCHITECTURE ON THE PERFORMANCE OF NMOS AND

PMOS FINFETS. LG=30NM AND TS=20NM. [2.34]............................................................................ 26 FIGURE 2. 17: STRESSORS IN S/D.

!

Si1"xGex AND

!

SiC ARE USED TO STRAIN THE CHANNEL. THEY

FURTHER PROVIDE FOR REDUCED SERIES RESISTANCE DUE TO RAISED S/D ARCHITECTURE AND

HIGHER SOLUBILITY OF DOPANTS IN THESE MATERIALS. [2.10,2.29] ................................................ 27 FIGURE 2. 18: MEASURED SHEET RESISTANCE OF THE NI-GERMANOSILICIDE AS A FUNCTION OF

ANNEALING TEMPERATURE. [2.35]...................................................................................................... 27 FIGURE 2. 19: THE BAND DIAGRAMS AT THE SURFACE OF THE CHANNEL IN A SB-MOSFET WITH A FINITE

BARRIER TO THE CONDUCTION BAND IN OFF AND ON STATE. .......................................................... 28 FIGURE 2. 20: COMPARISON OF SCHOTTKY AND DOPED S/D DG-MOSFETS. THE HORIZONTAL LINES

DENOTE THE SWITCHING SPEEDS OF THE BEST DOPED S/D DEVICE AT FIXED FILM THICKNESS. THE

SHADED CIRCLES INDICATE THE MAXIMUM BARRIER HEIGHT THAT CAN BE TOLERATED AT THE

SOURCE OF THE SB-MOSFET FOR IT TO BE COMPETITIVE WITH DOPED S/D MOSFETS. [2.37] .... 29 FIGURE 2. 21: ITRS REQUIREMENTS – THE VARIOUS DEVICE ARCHITECTURES AVAILABLE WITH THEIR

RESPECTIVE

!

ION

VS.

!

IOFF

TRADEOFFS AND THE ITRS 2003 REQUIREMENTS FOR HP TECHNOLOGY

SCALING. [2.36] .................................................................................................................................... 30

Page 17: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

xvii

Chapter 3 FIGURE 3. 1: BAND DIAGRAM IN THE CHANNEL REGION OF THE DG-MOSFET. THE QUANTUM WELL IS

DEFINED BY THE OXIDE – SEMICONDUCTOR BARRIER AND THE THICKNESS OF THE SEMICONDUCTOR

FILM. [3.6]............................................................................................................................................. 38 FIGURE 3. 2: FINITE INVERSION LAYER THICKNESS. THE THICKNESS OF THE INVERSION LAYER IS

COMPARABLE TO THE OXIDE THICKNESS LEADING TO A REDUCTION IN INVERSION CAPACITANCE. 41 FIGURE 3. 3: DUE TO THE QUANTIZATION IN THIN FILMS THE FERMI LEVEL IS HIGHER THAN THE REGULAR

3-D STRUCTURE. FURTHERMORE, THE INVERSION LAYER THICKNESS IS HIGHER THAN THE BULK

CASE. ..................................................................................................................................................... 41 FIGURE 3. 4: STRUCTURE OF THE DEVICE FOR MODELING THE SUB-THRESHOLD SLOPE. THE CENTER OF

THE CHANNEL HAS LEAST GATE CONTROL AND HENCE MORE PRONE TO DRAIN FIELDS. .................. 43 FIGURE 3. 5: ON CURRENT MODEL. THE CARRIERS ON THE TOP OF THE BARRIER ARE THERMALIZED TO

THE SOURCE AND DRAIN. THE NET CHARGE AT THE CHANNEL IS CALCULATED USING A POISSON –

SCHRÖDINGER MODEL.......................................................................................................................... 44 FIGURE 3. 6: DEVICE STRUCTURES SIMULATED. X- IS THE TRANSPORT DIRECTION, Z- THE DIRECTION OF

QUANTIZATION AND Y- IS IN THE WIDTH DIRECTION ASSUMED TO BE INFINITE. ............................... 48 FIGURE 3. 7: EFFECT OF CHANGING THE EFFECTIVE MASS ON BALLISTIC TRANSPORT. ALL PLOTS DEPICT

THE LOWEST SUB-BAND ENERGY LEVEL AND THE INJECTION VELOCITY FOR ELECTRONS IN THE

QUANTIZED CONDUCTION BAND. ALL SIMULATIONS WERE DONE FOR A FIXED INVERSION CHARGE

!

Ninv

=1"1013cm

#2 .......................................................................................................................... 49 FIGURE 3. 8: EFFECTIVE GATE CAPACITANCE. CHANGE IN EFFECTIVE MASSES CAUSES A CHANGE IN THE

SUB-BAND ENERGY LEVELS AND THE DENSITY OF STATES IN THOSE SUB-BANDS. (A), (B) AND (C)

DEPICT THE CHANGE IN THE EFFECTIVE GATE CAPACITANCE IN INVERSION FOR A CHANGE IN

EFFECTIVE MASS IN X, Z AND ALL DIRECTIONS (ISOTROPIC). ............................................................. 50 FIGURE 3. 9: ELECTRON QUANTIZATION IN THIN FILM III-V SEMICONDUCTORS. GAAS, INAS AND INSB

WERE CHOSEN AS REPRESENTATIVE III-V MATERIALS. THE FIGURES REPRESENT THE SUB-BAND

ENERGY LEVELS ACROSS ALL VALLEYS IN THE SEMICONDUCTOR CONDUCTION BAND AT A FIXED

INVERSION DENSITY

!

Ninv

=1"1013cm

#2 ........................................................................................ 51 FIGURE 3. 10: INJECTION VELOCITY. THE FIGURES DEPICT THE INJECTION VELOCITIES IN THE VARIOUS

VALLEYS OF THE CONDUCTION BAND OF THE SEMICONDUCTOR AS A FUNCTION OF THE FILM

THICKNESS FOR A FIXED INVERSION CHARGE DENSITY OF

!

Ninv

=1"1013cm

#2 . ........................... 52

Page 18: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

xviii

FIGURE 3. 11: EFFECT OF QUANTIZATION ON DRIVE CURRENTS. THE FIGURES DEPICT THE FRACTION OF

THE CURRENT IN THE

!

L AND

!

" AS A FUNCTION OF THE INVERSION CHARGE DENSITY FOR A FIXED

!

TS

= 5nm IN (A) AND AS A FUNCTION OF FILM THICKNESS FOR A FIXED INVERSION CHARGE

DENSITY OF

!

Ninv

=1"1013cm

#2 IN (B) ........................................................................................... 53

FIGURE 3. 12: SHORT-CHANNEL EFFECTS. THE SUB-THRESHOLD SLOPE IS PLOTTED FOR THE VARIOUS

CHANNEL MATERIALS AROUND A NOMINAL DEVICE DIMENSION OF

!

LG

=15nm ,

!

TS

= 5nm AND

!

TOX

=1nm . HIGH MOBILITY MATERIALS HAVE HIGHER DIELECTRIC CONSTANTS AND HENCE

DEGRADED SCE.................................................................................................................................... 54 FIGURE 3. 13: SAMPLE

!

IDS"V

GS CHARACTERISTICS FOR VARIOUS CHANNEL MATERIAL DG-MOSFETS

FOR FIXED DIMENSIONS OF

!

LG

=15nm ,

!

TS

= 5nm AND

!

TOX

=1nm FOR A FIXED

!

VDD

= 0.7V . ...................................................................................................................................... 54 FIGURE 3. 14: EFFECT OF QUANTIZATION ON DRIVE CURRENT. (A) AND (B) DEPICT THE DRIVE CURRENTS

AS A FUNCTION OF FILM THICKNESS FOR

!

TOX

=1nm AND

!

TOX

= 0.1nm FOR

!

LG

=15nm AND

!

VDD

=1V . SIMILARLY, (C) AND (D) DEPICT THE DRIVE CURRENT FOR

!

LG

= 7nm ...................... 55 FIGURE 3. 15: EFFECT OF REDUCING SUPPLY VOLTAGE. (A) DEPICTS THE CHANGE IN DRIVE CURRENT FOR

A DEVICE WITH

!

LG

=15nm ,

!

TS

= 5nm AND

!

TOX

=1nm . (B) SHOWS THE CHANGE IN DRIVE

CURRENT FOR THE SAME DEVICE BUT WITH

!

TOX

= 0.1nm . ............................................................. 57 FIGURE 3. 16: BAND-TO-BAND TUNNELING CURRENT. CALCULATED BTBT CURRENT FOR A FIXED

DEVICE DIMENSION OF

!

LG

=15nm ,

!

TOX

= 0.7nm AND

!

VDD

= 0.9V . INCREASE IN THE

EFFECTIVE BAND GAP OF GE DUE TO QUANTIZATION CAUSES A SHARP REDUCTION IN THE BTBT

CURRENT AS A FUNCTION OF FILM THICKNESS. ................................................................................... 58 FIGURE 3. 17: TRADEOFF ASSOCIATED WITH CHANGING CHANNEL MATERIAL – NMOS. ......................... 59 FIGURE 3. 18: TRADEOFF ASSOCIATED WITH CHANGING THE CHANNEL MATERIALS –PMOS. THE BTBT

LIMITED OFF CURRENT IS PLOTTED AGAINST THE INTRINSIC SWITCHING SPEED OF THE DEVICE.

(X,Y) INDICATES THE CONDITION OF STRAIN IN THE CHANNEL, WHERE X IS THE COMPOSITION OF GE

IN THE CHANNEL AND Y IS THE COMPOSITION OF GE IN THE VIRTUAL SUBSTRATE THE CHANNEL IS

STRAINED TO......................................................................................................................................... 60

Chapter 4 FIGURE 4. 1:

!

Al /Al2O3/Ge CAPACITORS WITH POST DEPOSITION ANNEAL IN O2 AT 550°C. [4.4] ....... 67

Page 19: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

xix

FIGURE 4. 2:

!

HfO2/Ge CAPACITORS SHOW MUCH WORSE CHARACTERISTICS AFTER THE FGA ANNEAL

[4.4]....................................................................................................................................................... 67 FIGURE 4. 3: EFFECT OF SI PASSIVATION ON C-V OF MOS CAPACITORS ON GE. SP INDICATE THE SI

PASSIVATED SAMPLES WHEREAS SN ARE SURFACE NITRIDED ONES [4.7] ......................................... 68 FIGURE 4. 4: EFFECT OF S – COVERAGE ON REDUCTION OF

!

Dit

. [4.12] ..................................................... 70 FIGURE 4. 5: XPS SPECTRA OF GE 3D PEAK DURING OXIDATION OF GE SURFACE. (A) CLEAN GE (111) (B)

EXPOSURE TO 100L OF O2 AT 250°C (C) OXIDATION BY DIPPING IN

!

H2O AND

!

H2O2

(D) CLEAN

GE (111) EXPOSED TO AIR FOR 6H AT ROOM TEMPERATURE. [4.14].................................................. 71 FIGURE 4. 6:

!

Dit

MEASURED USING CONDUCTANCE METHOD IN

!

GeO2/Ge SAMPLES FABRICATED USING

ECR PLASMA. [4.8].............................................................................................................................. 73 FIGURE 4. 7: EQUIVALENT CIRCUIT FOR MOS C-V AT LOW FREQUENCY. CD IS THE DEPLETION

CAPACITANCE, CI – THE INVERSION CAPACITANCE AND CIT THE CAPACITANCE ASSOCIATED WITH

INTERFACE STATES. .............................................................................................................................. 74 FIGURE 4. 8: EQUIVALENT CIRCUIT OF THE MOS FOR CONDUCTANCE MEASUREMENTS. THE COMPONENTS

IN RED ARE THE EQUIVALENT CIRCUIT FOR THE CAPACITOR IN INVERSION. [4.18]........................... 76 FIGURE 4. 9: CONDUCTANCE MEASUREMENTS FOR SI/SIO2 CAPACITORS. MEASURED

!

Dit

=1.8 "109cm

#2eV

#1 [4.19] ..................................................................................................... 77

FIGURE 4. 10: RELATIVE COMPOSITION OF THE

!

GeOxNy FILM NEAR THE INTERFACE FOR VARYING

PARTIAL PRESSURE OF O2 AT 600°C.................................................................................................... 80 FIGURE 4. 11: MEASURED C-V ON

!

GeOxNy /Ge CAPACITORS AT 250K. ............................................... 82

FIGURE 4. 12:

!

Dit

MEASURED USING QUASI-STATIC MEASUREMENTS FOR BOTH PMOS AND NMOS

SURFACES.............................................................................................................................................. 83 FIGURE 4. 13: CONDUCTANCE MEASUREMENTS DONE AT

!

GeOxNy /Ge INTERFACE AT 250K .............. 84

FIGURE 4. 14:

!

Dit

EXTRACTED WITH CONDUCTANCE METHODS (RED) CONTRASTED WITH THE LF-HF

METHOD. ............................................................................................................................................... 84 FIGURE 4. 15: MEASURED OUTPUT CHARACTERISTICS OF THE PMOS AND NMOS RESPECTIVELY.......... 85 FIGURE 4. 16: MEASURED TRANSFER CHARACTERISTICS OF THE PMOS AND NMOS. THE RED CURVES

ARE THE CURRENT MEASURED AT THE SOURCE TERMINAL WHILE THE BLACK IS THE DRAIN

CURRENT. .............................................................................................................................................. 85 FIGURE 4. 17: SPLIT C-V DATA AND THE EXTRACTED INVERSION HOLE MOBILITY IN GEOXNY/GE

TRANSISTORS. ....................................................................................................................................... 86

Page 20: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

xx

FIGURE 4. 18: SPLIT C-V MEASUREMENTS DONE ON LARGE AREA NMOS TRANSISTORS. DUE TO POOR

N+ S/D FORMATION, THE MEASUREMENTS NEEDED TO BE CORRECTED FOR SERIES RESISTANCE.

EXTRACTED ELECTRON MOBILITY IS PLOTTED IN (C). ........................................................................ 87 FIGURE 4. 19: RECTIFYING CHARACTERISTICS OF THE P+/N AND THE N+/P JUNCTIONS IN THE PMOS AND

NMOS RESPECTIVELY. HIGH REVERSE BIAS LEAKAGE OBSERVED IN THE N+/P JUNCTION.............. 88 FIGURE 4. 20: EXTRACTED SHEET RESISTANCE FOR THE N+ S/D REGION IN THE NMOS AS A FUNCTION OF

ACTIVATION ANNEAL TEMPERATURE. ................................................................................................. 89 FIGURE 4. 21: INVERSION ELECTRON AND HOLE MOBILITY ON (111) GE SUBSTRATES CONTRASTED TO GE

(100) SUBSTRATES................................................................................................................................ 90

Chapter 5 FIGURE 5. 1: SCHEMATIC OF THE SB-MOS ILLUSTRATING SEVERAL KEY PARAMETERS [5.2] .................. 96 FIGURE 5. 2: QUALITATIVE SURFACE (S) AND SUB-SURFACE (SS) BAND DIAGRAMS IN A SBMOS (A) AND

A CONVENTIONAL DOPED S/D PMOS (B) [5.4]. ................................................................................. 96 FIGURE 5. 3: SIMULATED CURRENT DENSITY AT THE TRIANGULAR BARRIER AT THE SOURCE-CHANNEL

END OF A SBMOS INVERSION LAYER. DRIVE CURRENTS DROP EXPONENTIALLY WITH INCREASE IN

THE BARRIER HEIGHT AT THE SOURCE JUNCTION [5.11] ..................................................................... 97 FIGURE 5. 4: TRANSFER CHARACTERISTICS OF PTSI-PMOS (A) AND YBSI- NMOS (B) SCHOTTKY

SOURCE/DRAIN TRANSISTORS. [5.4][5.6] ........................................................................................... 98 FIGURE 5. 5: PROFILE OF THE LOWEST SUB-BAND IN THIN FILM QUANTIZED STRUCTURES FOR BARRIER

HEIGHTS OF 0.1EV, 0EV AND -46MEV. THE BARRIER HEIGHT AT THE SOURCE-CHANNEL JUNCTION

IS INCREASED FROM -46MEV TO 0MEV DUE TO QUANTIZATION IN (C). [5.9].................................... 99 FIGURE 5. 6: DEVICE STRUCTURES COMPARED IN [5.10] TO STUDY THE EFFICACY OF REPLACING DOPED

SEMICONDUCTOR REGIONS WITH METAL FOR SUB-20NM NODES AND BEYOND. ............................. 100 FIGURE 5. 7: PERFORMANCE COMPARISON BETWEEN DOPED S/D AND SB-MOSFETS. THE FILLED

CIRCLES INDICATE THE MAXIMUM BH THAT CAN BE TOLERATED AT THE SOURCE-CHANNEL

JUNCTION BELOW, WHICH THE SB-MOSFETS PERFORMS BETTER THAN THE DOPED S/D DEVICE

FOR THE SAME FILM THICKNESS. [5.10]............................................................................................. 101 FIGURE 5. 8 TYPES OF STATES AT THE SCHOTTKY INTERFACE (1) BULK-LIKE STATES IN BOTH MATERIALS

(2) SEMICONDUCTOR STATES DECAYING INTO METAL (3) LOCALIZED INTERFACE STATES (4) METAL

STATES DECAYING INTO THE SEMICONDUCTOR. [5.13] .................................................................... 102 FIGURE 5. 9: BARRIER HEIGHT PINNING AT LATERALLY HOMOGENOUS SILICIDE-SI SCHOTTKY BARRIERS

[5.13] .................................................................................................................................................. 102

Page 21: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

xxi

FIGURE 5. 10: BARRIER HEIGHTS ENGINEERING WITH A NITRIDE INTERFACIAL LAYER [5.14]................. 104 FIGURE 5. 11: MEASURED AL CONTACTS ON N+ SI SHOW A CLEAR MINIMUM. AS INTERFACIAL

TREATMENT IS INCREASED, RESISTANCE DROPS SHARPLY AS THE GAP STATES ARE BLOCKED AND

THE FERMI LEVEL IS LIBERATED. A FURTHER INCREASE IN THE INTERFACIAL THICKNESS,

HOWEVER, RESULTS IN INCREASED RESISTANCE DUE TO BLOCKING OF FREE CARRIERS. [5.15] .... 105 FIGURE 5. 12: MEASURED I-V CHARACTERISTICS AT THE MG/N-SI CONTACT WITH A PASSIVATING SE

LAYER FOLLOWED BY AN ANNEAL IN N2 FOR 30S. [5.16]................................................................. 106 FIGURE 5. 13: MEASURED I-V CHARACTERISTICS AT THE NIGE/GE SCHOTTKY DIODES ON LIGHTLY

DOPED N- AND P- GE SUBSTRATES..................................................................................................... 107 FIGURE 5. 14: I-V CHARACTERISTICS AT THE NIGE/N-GE AT VARIOUS TEMPERATURES (A). THE

ARHENNIUS RELATION FOR THERMIONIC EMISSION IS PLOTTED AND THE BARRIER HEIGHT IS

CALCULATED FROM THE SLOPE OF GRAPH IN (B) .............................................................................. 108 FIGURE 5. 15: MEASURED I-V CHARACTERISTICS AT THE NIGE/N-GE SCHOTTKY DIODE AND THE XRD

SPECTRUM ASSOCIATED WITH THE GERMANIDES FORMED FOR VARIOUS ANNEALING TEMPERATURES

............................................................................................................................................................. 108 FIGURE 5. 16: MEASURED I-V CHARACTERISTICS AT COGE/GE AND TIGE/GE SCHOTTKY DIODES ON N-

AND P- GE. RECTIFYING CHARACTERISTICS OBSERVED ON N-TYPE GE. OHMIC CHARACTERISTICS

OBSERVED ON LIGHTLY DOPED P-TYPE GE........................................................................................ 109 FIGURE 5. 17: FERMI LEVEL PINNING AT THE METAL-GE INTERFACE. THE CNL AT THE GE SURFACE IS

~50MEV AWAY FROM THE VALENCE BAND. A PINNING FACTOR OF 0.05 IS MEASURED FROM THE

ABOVE GRAPH. [5.18]......................................................................................................................... 110 FIGURE 5. 18: SCHEMATIC OF THE BULK GE SCHOTTKY S/D TRANSISTOR............................................... 111 FIGURE 5. 19: MEASURED OUTPUT CHARACTERISTICS OF THE NIGE SCHOTTKY S/D BULK GE

TRANSISTOR. RED AND BLUE ARE FOR SOURCE AND DRAIN CURRENTS RESPECTIVELY.................. 111 FIGURE 5. 20: TRANSFER CHARACTERISTICS OF THE NIGE S/D MOSFETS. THE HIGH OFF CURRENT

MEASURED IS DUE TO THE HIGH REVERSE-BIAS LEAKAGE AT THE DRAIN SCHOTTKY DIODE.......... 112 FIGURE 5. 21: PSEUDOMORPHIC LAYER GROWTH ON SI SUBSTRATES. TYPICAL RELAXATION IN THESE

LAYERS OCCURS THROUGH DISLOCATIONS [5.19] ............................................................................ 113 FIGURE 5. 22: CRITICAL LAYER THICKNESS OF SIGE GROWN PSEUDOMORPHICALLY ON SI [5.19] ......... 114 FIGURE 5. 23: MEASURED INVERSION MOBILITY FOR HOLES [5.21] .......................................................... 116 FIGURE 5. 24: INVERSION HOLE MOBILITY AS A FUNCTION OF THE SI CAP LAYER ON SIGE CHANNELS

[5.23] .................................................................................................................................................. 116 FIGURE 5. 25: THE HIGH E-FIELD IN THE OFF STATE IS LOCATED IN THE WIDER BAND GAP SI AND HENCE

EXHIBITS REDUCED BTBT LEAKAGE THAN BULK GE [5.27]............................................................ 117

Page 22: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

xxii

FIGURE 5. 26: SCHEMATIC OF THE DEVICE WITH A SI/GE/SI HETEROSTRUCTURE CHANNEL. (B) SHOWS

THE TEM MICROGRAPH OF THE DEPOSITED HETEROSTRUCTURE .................................................... 118 FIGURE 5. 27: BAND DIAGRAM PERPENDICULAR TO THE GATE INTERFACE. ............................................. 119 FIGURE 5. 28: SAMPLE C-V MEASUREMENTS DONE ON THE HETEROSTRUCTURE GATE STACK............... 120 FIGURE 5. 29: OUTPUT CHARACTERISTICS ON THE SI/GE/SI CHANNEL AND THE CONTROL SI CHANNEL

SCHOTTKY S/D PMOSFETS ............................................................................................................. 120 FIGURE 5. 30: IS-VG CHARACTERISTICS OF SI/GE/SI HETEROSTRUCTURE CHANNEL SCHOTTKY S/D

MOSFETS. INCREASING TGE AT FIXED TCAPSI CAUSES VT SHIFTS. HOWEVER, WHEN TCAPSI

INCREASES FOR FIXED TGE, INVERSION IS LOCALIZED IN SI WITH HIGH

!

"Bp AND HENCE ON

CURRENT DROPS EXPONENTIALLY. .................................................................................................... 121 FIGURE 5. 31: INVERSION HOLE MOBILITY MEASURED USING SPLIT C-V TECHNIQUE FOR FIXED TGE (A)

AND FIXED TCAPSI (B)........................................................................................................................... 122 FIGURE 5. 32: SCHOTTKY S/D TRANSISTORS WITH VARYING CHANNEL MATERIALS. THE SI/GE/SI

CHANNEL PROVIDES THE HIGH DRIVE CURRENT DUE TO HIGH MOBILITY AND LOW

!

"Bp OF GE-

CHANNEL MOSFETS AND THE LOW OFF CURRENT DUE TO THE HIGH

!

"Bn

IN SI-CHANNEL

SCHOTTKY S/D MOSFETS................................................................................................................ 123

Page 23: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 1

Introduction

1.1 Motivation

The silicon Metal-Oxide-Semiconductor Field Effect Transistor [1.1] has been

the workhorse of the semiconductor industry for the last three to four decades. It

provides for an ideal voltage controlled switch enabling basic logic operations as well

as for amplifiers in analog applications. Even though, the fundamental architecture of

the device has remained the same, the physical dimensions have been continually

shrinking by a factor of 2-3 in accordance with Moore’s Law [1.2] resulting in faster

chips. Also, with the reduced dimensions, the packing density of transistors in the

integrated circuits has exploded leading to greater computational complexity and

reduced cost per individual transistor for every successive generation [1.3]. Fig.1.2

depicts the exponential increase in microprocessor performance represented by

millions of instructions per second, over technology generations. This exponential

decrease in device dimensions cannot continue forever, as beyond the 22nm node

fundamental as well as practical constraints will limit the maximum performance

achievable by these scaled transistors.

1

Page 24: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 1: Introduction

2

Figure 1. 1: Gate Length scaling over the last few decades for improved performance [1.3]

Increased performance has however come at a cost of increased off state power

in transistors. Fig. 1.3 depicts the evolution of power density as the devices are scaled

traditionally [1.4].

Figure 1. 2: Microprocessor performance increase due to scaling. The data points represent

different generations of Intel microprocessors [1.3]

Page 25: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 1.1 Motivation

3

The static power density has increased exponentially as opposed to a linear in-

crease in the active power dissipation. Hence beyond gate lengths of 20nm, it is

questionable if the traditional scaling techniques would be effective. The major source

of static power dissipation is the sub-threshold leakage in the transistor because the

MOSFET at these small dimensions does not behave as an ideal switch and continues

to flow current in OFF state. Typically, this exponential increase in off state power has

been arrested by decreasing the supply voltage as the technology is scaled. This leads

to reduced voltage overdrive and hence much reduced ON state currents.

Figure 1. 3: Active and standby power density trends plotted from industry data. The extrapo-

lations indicate a cross over below 20nm gate length. As devices scale towards that point, it is

questionable if the traditional approaches and reasons for scaling will still be valid. [1.4]

Page 26: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 1: Introduction

4

Management and suppression of static power is one of the major challenges to

continued gate length reduction for higher performance. Once the scaling of conven-

tional bulk MOSFETs starts slowing down, the insertion of performance boosters, like

novel materials and non classical device structures, will be necessary to continue to

improve performance.

1.2 Thesis Organization Chapter 2 provides the background for this work. The issues related to the

physical and technological challenges in continued CMOS scaling are outlined.

Beyond the 32nm technological node, alternative device structures may be required to

continue with historic CMOS scaling. Introduction of various performance boosters

will be required to maintain the high drive current at lower cost of leakage. Some of

the performance boosters like changing the channel material, introduction of strain in

the channel and replacing the source/drain regions of the device with metal have been

discussed in detail. The impact of changing the channel material at the sub-25nm node

is discussed in Chapter 3. Ballistic transport is assumed in the inversion channels at

these dimensions.

One of the performance boosters discussed in detail is replacing the channel

material. Germanium (Ge), as discussed in Chapter 3, provides for excellent transport

for both electron and hole inversion layers. However, Ge surfaces lack a good passiva-

tion technology and hence poor mobility characteristics have been observed. We have

studied the GeOxNy/Ge interface in detail and present the results transistors, both n-

and p- channel built on bulk-Ge substrates, details of which are presented in Chapter

4.

Another factor that limits the drive currents in transistors is the parasitic resis-

tance in the device architecture. Combining device architectures with lower parasitic

resistance along with a high mobility channel provides an ideal avenue for achieving

very high performance transistors. A novel device, with a strained-Ge channel and a

Page 27: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 1.3 References

5

Schottky S/D has been fabricated and the key results have been elucidated in Chapter

5.

Finally, in chapter 6, the contributions of this work are summarized, and possi-

ble areas of investigation are discussed.

1.3 References [1.1] D. Kahng and M. M. Atalla, “Silicon-silicon dioxide field induced surface

devices”, in IRE-AIEEE Solid State Device Research Conference (Carnegie Inst.

Of Tech., Pittsburgh, PA), 1960.

[1.2] G. E. Moore, “Cramming more components onto integrated circuits”, Electron-

ics, Vol. 38, No. 8, 1965, pp. 114-117.

[1.3] G. E. Moore, “No exponential is forever: but “forever” can be delayed! [semi-

conductor industry]”, in Digest of Technical Papers – IEEE International Solid-

State Circuits Conference, 2003, pp. 20-23.

[1.4] E. J. Nowak, “Maintaining the benefits of CMOS scaling when scaling bogs

down”, in IBM Journal of Research and Development, Vol. 46, No. 2/3, 2002,

pp. 169-180.

Page 28: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 1: Introduction

6

This page is intentionally left blank

Page 29: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 2.2 Challenges in scaling conventional bulk MOSFETs

7

Chapter 2

MOSFET scaling beyond the 22nm node

2.1 Introduction As devices are scaled beyond the 22nm node, various architectural and mate-

rial changes in the traditional MOSFET would be required for efficient operation of

the transistor as a switch. Some of these aspects have been examined in this chapter.

The limits to the indefinite scaling of the bulk Si MOSFET are discussed along with

various other thin film device options like the Partially Depleted Silicon on Insulator

(PDSOI), Fully depleted Silicon on Insulator (FDSOI) and multi-gate FETs (MuG-

FETs). The impact of using performance boosters like new channel materials and

different source/drain structures to increase the device performance are also examined.

2.2 Challenges in scaling conventional bulk MOS-

FETs In digital applications, the gate of the MOSFET is used as a control terminal to

modulate a conduction channel between the source and drain regions of the device.

The conduction channel can comprise of electrons or holes. The simplest scaling

approach was to reduce the dimensions in the horizontal and vertical direction and the

Page 30: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 2: MOSFET scaling beyond the 22nm node

8

supply voltage proportionally so that the electric field at the surface is unchanged

(constant electric field scaling). However, actual scaling implementations have been

based on slightly modified approaches where both the geometry and supply voltage

have been reduced by different factors (generalized scaling) as shown in Figure 2.1

Figure 2. 1: Problems associated with the scaling of CMOS transistors limited by physical

and technological reasons. [2.1]

In long-channel, well tempered MOSFETs, the operation of the transistor

can be simplified to a gate controlled charge sheet formation and a drain-controlled

charge transport – the gradual channel approximation. The threshold voltage, at which

the channel turns ON, is independent of the drain voltage. However, as the channel

lengths are scaled, independent control of the channel by the gate is lost and the drain

field also influences the ease of channel formation – called short-channel effects. Due

to these effects, the threshold voltage (

!

VT

) reduces with reducing channel length (

!

VT

roll off), the

!

VT

reduces with increased drain voltage (DIBL) and the sub-threshold

Page 31: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 2.2 Challenges in scaling conventional bulk MOSFETs

9

swing is degraded, leading to higher OFF state currents. Engineers have resorted to

some or all of the techniques listed below to arrest the degradation of the MOSFET

performance as a switch with scaling.

2.2.1 Thinner EOT

The gate control of the channel can be improved by increasing the capacitive

coupling between the channel and the gate electrode. Traditionally decreasing the

thickness of the gate oxide did this. However when these oxides become too thin, they

begin to conduct current via quantum mechanical tunneling. This current contributes

to the OFF state leakage of the device leading to higher standby power and compro-

mises proper logic gate operation. Dielectrics with higher permittivity are hence

necessitated to provide for reduced equivalent oxide thickness without reducing the

physical thickness of the material.

Figure 2. 2: Schematic illustrating the problems associated with the introduction of high-

!

"

materials in CMOS. [2.2]

Transitional metal oxides especially those of Hf- provide for excellent candidates.

Pure

!

HfO2 exhibits more fixed charge and

!

Dit as compared to the excellent properties

exhibited by the

!

Si /SiO2 interface. Addition of Si and N in this material increases the

Page 32: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 2: MOSFET scaling beyond the 22nm node

10

reliability of these materials and does not degrade the inversion layer mobility of

transistors built with these gate stack materials. Use of these high-

!

" materials necessi-

tates the use of metal gates to provide suitable

!

VT

of both the n- and p- MOSFETs.

Recently, both Intel and IBM announced the use of Hf- based dielectrics and metal

gates for the 45nm nodes for high performance applications.

2.2.2 Increased Channel Doping

Increasing the channel doping terminates the field lines from the drain. Well-

scaled bulk MOSFETs have carefully tailored doping profile to regulate the short

channel effects. Vertical and horizontal doping profiles like channel retrograde and

deep halos are used to reduce the DIBL. However, increasing the doping in the

channel leads to a decrease in the mobility due to increased impurity scattering. Also

increased channel doping increases the sub-threshold slope leading to higher OFF state

currents. This is because of the capacitive division between the gate capacitance and

the increased depletion capacitance, which reduces the effective gate control. Use of

heavily doped regions near the drain further increases the effective horizontal field as

the channel length is scaled, which leads to higher OFF state leakage due to Band-to-

Band Tunneling (BTBT) at the drain junction increasing the net standby power.

2.2.3 Shallow Junction in Source/Drain junctions

Geometrically, reducing the source/drain junction depth, especially near the

gate edge reduces the drain coupling to the source barrier. However as these junctions

are made shallower, their doping needs to be increased to keep the sheet resistance

constant. The solid solubility of dopants in Si poses an upper limit to the maximum

doping that can be achieved. Hence, reducing the junction depths increases the extrin-

sic resistance leading to a reduced overdrive and hence reduced drive currents.

Technologically, it is extremely challenging to anneal the implanted dopants without

reducing the abruptness of the junction.

Page 33: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 2.3 Novel Device Structures

11

As a result, new device architectures are needed to continue the traditional

scaling for improved performance in Si MOSFETs.

2.3 Novel Device Structures

2.3.1 Partially Depleted SOI-MOSFETs

In partially depleted SOI MOSFETs, a layer of insulating

!

SiO2 separates the

upper device-containing layer from the bulk Si below. The PDSOI MOSFET is

designed similar to a bulk MOSFET with the same dimensions. In the OFF state, the

depletion width under the gate is smaller than the thickness of device layer. The

PDSOI offers lower parasitic capacitance at the Source/Drain nodes in an inverter

circuit, and provide for lower self-capacitances and higher switching speeds. The

potential of the floating body is determined dynamically by capacitive coupling of the

various electrodes connected to this layer. Hence charge can accumulate in this region,

which modifies the device characteristics (floating-body and history effects). Using an

implanted body contact may mitigate the floating body effects. Using clever circuit

design, the history effects may be used to speed up circuits. PDSOI technology has

been successfully ported into high volume manufacturing; however PDSOI runs into

similar problems as the bulk MOSFET with respect to scaling and hence may not be a

scalable technology for future generations.

2.3.2 Fully Depleted SOI MOSFETs

If the top film in the PDSOI MOSFET is thinned down so that the semiconduc-

tor film under the gate is completely depleted in the OFF state of the device, then it is

referred to as a fully depleted (FDSOI) SOI MOSFET. By eliminating the thin-doped

region, the history effects and the floating body effects are suppressed in the FD-SOI

MOSFET. Since reducing the film thickness can now control the short channel effects,

lower channel doping densities may be employed. Also, the channel vertical electric

Page 34: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 2: MOSFET scaling beyond the 22nm node

12

fields are reduced for the same channel carrier concentration. Hence the inversion

layer mobility may be increased without compromising the OFF state current. By

increasing the buried oxide thickness, essentially ideal sub-threshold slopes of

60mV/decade may be achieved. However, this increases the drain control on the

source-channel barrier through the buried oxide. Using a thin buried oxide can miti-

gate this, by terminating the drain fields on the back substrate at the expense of

degraded sub-threshold slope.

Figure 2. 3: Evolution of the architecture of the single-gate MOSFET from the PDSOI to the

FDSOI structure.

2.3.3 Multi-Gate MOSFETs

The gate control on the channel is increased by geometrically placing the gate

as close to the channel. Tighter gate coupling may be achieved by increasing the

number of gates from single-gate FDSOI to a double-gate SOI, an Omega-FET and a

Gate all around (GAA-) MOSFET [2.3-6]. The double-gate MOSFET provides for a

symmetric device architecture where the channel is controlled by gate on either side of

the Si film. Since the gate control is increased, the requirements on the Si- film

thickness are relaxed as compared to a FD-SOI with the same gate length to achieve

similar OFF state performance. By suitably designing the device, volume inversion is

Page 35: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 2.3 Novel Device Structures

13

achieved in the film, where most of the inversion charge resides in the center of the Si

film, which has very low vertical field and hence provides for higher mobility. On the

other hand, because of the two gates, the channel length can be further reduced.

Advanced architectures like the Pi-MOSFET and the Omega-FET may be used to

increase the effective number of gates allowing for further reduction in the gate

length..

Figure 2. 4: Evolution of multi-gate architecture. Delta MOS and FinFET have two gates. Tri

gate and Omega gate FET have more than three gates. [2.3, 2.4, 2.5, 2.6]

Page 36: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 2: MOSFET scaling beyond the 22nm node

14

2.3.4 Fundamental Limit for Si MOSFETs

The fundamental limit to scaling MOSFETs has been plaguing device engi-

neers since the early nineties. Various theories have been put forward to establish the

limit of gate lengths. One common theory is that the limit of channel length scaling

may be limited by the direct tunneling of carriers from the source to the drain. The

potential profile in such a device can be approximated as a parabola. Using [2.8], the

leakage current is dominated by intra-band tunneling when

!

kT

q"#

$

a

2mq, where

!

a is

the curvature of the parabola which is related to the geometry of the device through

the channel length

!

Lch

, the effective barrier

!

" , the drain voltage

!

VD

,

!

m the effective

electronic mass,

!

q the electronic charge and

!

kT the thermal voltage. Using values, for

Si, a

!

VD

= 0.5V requires a

!

Lch" 7nm to suppress tunneling. In [2.9] Zhirnov et al.

assume a square barrier and estimate the minimum channel length of 4nm.

Figure 2. 5: Band diagram in the OFF state of an extremely scaled MOSFET indicating the

current mechanism that would limit length scalability of transistors. [2.7]

Page 37: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 2.4 New Channel Materials

15

Another factor, which might affect this limit, is the band-to-band tunneling at

the drain end of the channel. For this current to be minimum, the band-to-band overlap

must be minimum. This happens when

!

Vds " Eg #$barrier where

!

VDS

is the drain to

source voltage. From [2.10] it is shown that the BTBT current becomes dominant

when the tunneling distance reduces to ~4nm. This is typically 1/3 of the channel

length, which sets the minimum channel length to 12nm.

However, the authors in [2.7] note that as technology nodes decrease, newer

channel materials will be required to provide for performance boosts. The net per-

formance advantage will also be strongly determined by extrinsic factors like contacts

to the channel region.

2.4 New Channel Materials Intrinsic device performance has been increasing at a steady rate of 17% com-

mensurate to the channel length decrease. The introduction of strain at the 90nm node

has been instrumental in maintaining historic CMOS scaling trends [2.11].

2.4.1 Importance of mobility in scaled MOSFETs

The drain current in saturation normalized to channel width can be given as

!

IdsW = C'

ox,invVGS"V

t( )v , where

!

v is the effective carrier velocity at the virtual

source. This virtual source point is located at the top of the potential barrier between

the source and the channel [2.13]. Hence for extremely scaled MOSFETs the velocity

of the carriers at the source determines the net drive current. By using new materials in

the channel, which have lower carrier effective masses in the length direction, the

injection velocity in these materials may be increased leading to higher drive currents.

The values of

!

vx0

extracted from literature are shown in Figure 2.6. Carrier velocities

have been increasing primarily because of the reduction of the characteristic length of

the potential barrier near the source, as

!

LG

is scaled, and therefore a reduction in the

Page 38: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 2: MOSFET scaling beyond the 22nm node

16

backscattering. Increasing the mobility reduces this backscattering rate, hence provid-

ing for higher carrier velocities and hence higher drive currents.

Figure 2. 6: Historical evolution of the virtual source velocity as a function of reducing

channel length. The advantages that were gained with strained-Si are also shown. The points

in red depict the velocity target required for continued performance improvement. [2.13]

Hence current flow in ultra-short channel transistors may be described by a

small number of scattering events referred to as a quasi-ballistic transport model.

Figure 2.7 depicts the factors that dominate current drive in the classical drift model

and the quasi-ballistic model respectively. In the drift model, the velocity near the

source region is strongly affected under non-stationary transport by the low-field

mobility near the source region, while the injection velocity and the back-scattering

rate at the source determine the velocity near the source region in the quasi-ballistic

model. Both these factors are strongly related to the low-field mobility at the source.

As a result, both the models predict an increase in the current in nanoscale MOSFETs

by increasing the low-field mobility near the source region.

Page 39: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 2.4 New Channel Materials

17

There are several different approaches to enhance the carrier transport in future

MOSFETs to obtain high drive currents. Some of the recent approaches use a combi-

nation of novel high-mobility materials [2.14,2.15,2.16,2.18], incorporating strain into

the channel [2.17], through novel processes [2.22] or at the package level [2.25],

finding optimal substrate/channel orientations [2.24] or a combination of all the above.

Figure 2. 7: Models used to describe the drive current in nanoscale MOSFETs. The current is

proportional to the velocity of the carriers at the source and the backscattering rate, both of

which are determined by the low-field mobility.

2.4.2 Inversion carrier transport in strained-Si

Strained Si has been investigated as an avenue to increase inversion carrier

mobility since the 1980s. It has been used successfully in high volume manufacturing

for the 90nm and 65nm node to increase the drive currents of the NMOS and PMOS

simultaneously. Strain can be introduced biaxially or uniaxially in Si depending on

the process used to strain the channel.

2.4.2.1 Effect of strain on electron transport

The Si conduction band is comprised of six equivalent valleys located at the X-

symmetry point in the E-k space, with a transverse mass of

!

0.19m0 and a longitudinal

Page 40: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 2: MOSFET scaling beyond the 22nm node

18

mass of

!

0.92m0 as shown in figure 2.8. However, in 2-D quantized structures, such as

the inversion layers in the MOSFETs the six valleys split into two sets - two equiva-

lent valleys perpendicular to the (001) plane (

!

"2), and four equivalent valleys in the

(001) plane (

!

"4), each group with different masses in the channel transport direction

assumed to be along <100> as shown in Figure. The two-fold valleys exhibit a smaller

transport mass compared to the four-fold valleys due to smaller transport effective

mass. The four-fold valleys however, are quantized higher in the 2-D electron gas,

since they have smaller masses in the direction perpendicular to the MOS interface.

Figure 2. 8: Equivalent energy ellipsoids in the Si conduction band. Strain causes the bands to

split into the 2-fold

!

"2 and the 4-fold

!

"4 valleys.

Figure 2.8 shows the effect of tensile stress on the conduction band of Si.

When tensile stress is applied along the channel direction (compressive perpendicular

to the interface) further splitting occurs between the four-fold

!

"4 valleys and the two-

fold

!

"2 adding to the split due to quantization. This causes increased electron occu-

pancy in the

!

"2 valley with smaller transport mass leading to increased mobility.

Further increase in mobility is observed, which is caused due to the reduced electron

Page 41: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 2.4 New Channel Materials

19

scattering because of the higher split in the valleys. This leads to reduced overlap

between the wavefunctions of electrons in the inversion layer. Figure 2.9 (a) and (b)

show the mobility enhancement in biaxially strained Si MOSFETs that are grown on

relaxed

!

Si1"xGex buffers.

2.4.2.2 Effect of strain on hole transport

The impact of strain on the valence band in Si is not completely understood

because of the anisotropy in the energy surfaces in the band. The applied strain splits

the normally degenerate light-hole (LH) and heavy-hole (HH) bands, causing the LH

band to shift closer to the top of the valence band. Furthermore, the curvature of the

bands is modified, leading to a change in the effective masses for the holes along and

perpendicular to the channel.

(a) (c)

Page 42: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 2: MOSFET scaling beyond the 22nm node

20

Figure 2. 9: Transport in biaxially strained-Si. The electron and hole mobility are plotted as a

function of field in (a) and (b). (c) and (d) depict the mobility enhancement obtained using

strained-Si in the channel. [2.20]

Figure 2.9 (c) and (d) depicts the improvements obtained from biaxially

strained p-MOSFETs grown on relaxed

!

Si1"xGex buffers. A 2X enhancement is

obtained in the hole mobility, which is diminished at higher E-fields. This enhance-

ment is attributed to the splitting of the LH and HH bands leading to an increase in

mobility at lower fields. At higher fields, however, the splitting between the LH and

the HH decreases due to the smaller mass of the LH in the direction perpendicular to

the channel, reducing the effect of the lower conductivity mass of the LH and causing

a reduction in the mobility enhancement.

(b)

(d)

Page 43: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 2.4 New Channel Materials

21

Figure 2. 10: Uniaxial strain: Nitride layers were used to provide uniaxial stress on both n- and

p- channel MOSFETs. Improvements in drive current are depicted. [2.27]

Strain may be implemented in conventional MOSFETs in a biaxial or

uniaxial fashion. The channel may be biaxially strained by growing Si on a relaxed

!

Si1"xGex buffer, which has a much higher lattice constant as discussed previously.

Uniaxial strain however is implemented locally by either one or a combination of

some of the techniques listed below

(i) Epitaxial

!

Si1"xGex in the S/D: this provides uniaxial strain along the chan-

nel and has been successfully implemented for pMOSFETs.

[2.11,2.12.2.21,2.26]

Page 44: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 2: MOSFET scaling beyond the 22nm node

22

(ii) Stressor layers: Deposited Silicon Nitride layers have intrinsic stress and

have been used in both N- and P- MOSFETs to provide uniaxial tensile and

compressive stress respectively along the channel. [2.22]

(iii) Other local Techniques: Shallow stress isolation (STI) [2.23], gate elec-

trodes [2.27], silicide regions [2.28] etc have been used to induce stress

along the channel.

Uniaxial stress increases the mobility in ways similar to the biaxial case. In ad-

dition, for the PMOSFETs, under uniaxial stress, the effective mass perpendicular to

the interface in the LH band becomes heavier than the HH band, leading to enhanced

band splitting at higher fields. Hence, the mobility enhancement is retained even at

higher E-fields.

2.4.3 Inversion carrier transport in Germanium

The bulk carrier mobility in Germanium is substantially higher than those in

Si. This has prompted a huge research effort towards exploring the efficacy of intro-

ducing Ge as a channel material in MOSFETs. Various groups all over the world have

achieved varying success using high-k materials on clean Ge surfaces to form transis-

tors. A sizeable part of this effort was on understanding the deposition of high-k

materials and to get reliable C-V gate characteristics on Ge surfaces. Among the

materials considered, alumina

!

Al2O3 exhibits the best gate characteristics as shown in

Figure 2.11. It was also observed that these characteristics are very sensitive to the

surface preparation methods used prior to the deposition of the high-k material. Figure

2.12 summarizes mobility measurements done on transistors built on Ge substrates.

The hole mobility measured in these devices is substantially higher than those meas-

ured on the control Si samples. However, experimentally very poor electron mobility

was measured on Ge substrates.

Page 45: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 2.4 New Channel Materials

23

Figure 2. 11: High-k Ge capacitors: C-V characteristics of

!

Al2O3 (a) and

!

HfO2 (b) capacitors.

The

!

HfO2 samples show larger hysteresis and

!

VFB

shifts. [2.30]

Figure 2. 12: Inversion layer mobility: Measured mobility in transistors both n- and p- MOS

with

!

TaN /HfO2 gate stack. [2.31]

As is clear from the above discussion, a lot of factors regarding the process in-

tegration in Ge need to be addressed for it to be competitive to strained Si. Major

portion of this work has been devoted to understanding the various aspects of process

integration of Ge-channel MOSFETs.

Page 46: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 2: MOSFET scaling beyond the 22nm node

24

2.5 Extrinsic Factors – Parasitic Resistance As discussed in the previous sections, the device structures need to evolve to-

wards thin body multi-gate structures for continued scaling of gate lengths. In most of

these devices, current needs to flow across thin Si regions, which provides high

resistance. This extrinsic resistance reduces the effective overdrive in the intrinsic

device leading to reduced drive currents.

!

ID = k Vg"eff "Vt( )#

= k Vg"app " IDRS( ) "Vt[ ]#

where

!

Vg"app is the voltage applied between the gate and the source terminals

and

!

RS is the parasitic resistance in the source.

Figure 2. 13: Components of parasitic resistance in the source region of conventional bulk

MOSFETs. [2.32,2.33]

Kim et al. in [2.32, 2.33] reported a comprehensive study on the various com-

ponents of resistance in the source region. The series resistance in the source can be

divided into four components, overlap resistance

!

Rsp , extension resistance

!

Rext

, deep

source resistance

!

Rsh

, and silicide-diffusion contact resistance

!

Rcon

. As discussed

before, each of the components are dependent on the maximum doping attainable

along with the junction abruptness both of which are limited by the solid solubility of

the dopants in Si.

Page 47: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 2.5 Extrinsic Factors – Parasitic Resistance

25

Figure 2. 14: Scaling of source resistance. The components of the source resistance are plotted

as a function of the gate length. [2.32,2.33]

Figure 2. 15: Effect of fin thickness on the series resistance observed in scaled gate length Fin

FETs. [2.34]

The plots in Figure 2.14 depict the change in the resistance in the source as a

function of the technology node. It is observed that the resistance in the source be-

comes an increasing fraction of the ON resistance of the transistor, hence posing a

serious limit to drive current enhancement as the gate lengths are scaled.

Page 48: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 2: MOSFET scaling beyond the 22nm node

26

Figure 2. 16: Effect of changing the S/D architecture on the performance of NMOS and

PMOS FinFETs. LG=30nm and TS=20nm. [2.34]

Experiments were done in [2.34] to study the deleterious effects of parasitic re-

sistance in FinFETs. Figure 2.15 depicts the extension resistance measured in both n-

and p- type MOSFETs as a function of the fin thickness. The resistance in NMOS-

FETs increases sharply at lower fin thicknesses due to a combined effect of dopant

loss and increased extent of amorphization. Raised source/drain regions provide for

reduction in the parasitic resistance by increasing the effective thickness of the Si film

in the current carrying source region. Figure 2.16 shows an example of the drive

current enhancement by the use of the raised source/drain. The process involves the

selective epitaxy of heavily doped Si in these regions. Use of large lattice constant

materials like SiGe and SiC in this raised source/drain regions can be used as stressors

to strain the channel to provide for higher enhancement in current by a combination of

much reduced resistance in the source and the use of a high mobility materials in the

channel [2.29]. Specific contact resistivities of the order of

!

1" 2 #10"8

!

" cm2 would

be required. The

!

Ni /SiGe system provides for low contact resistivities due to: (a) The

smaller bandgap in SiGe, which provides for a smaller Schottky barrier height and (b)

Page 49: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 2.5 Extrinsic Factors – Parasitic Resistance

27

due to higher solid solubility of boron in SiGe compared to Si. [2.35] Addition of Pt to

this system increases the thermal stability of the contact formed.

Figure 2. 17: Stressors in S/D.

!

Si1"xGex and

!

SiC are used to strain the channel. They further

provide for reduced series resistance due to raised S/D architecture and higher solubility of

dopants in these materials. [2.10,2.29]

Figure 2. 18: Measured Sheet Resistance of the Ni-germanosilicide as a function of annealing

temperature. [2.35]

Page 50: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 2: MOSFET scaling beyond the 22nm node

28

From the above it is critical that to extract the maximum advantage of chang-

ing the channel material, the device structures should have minimum external parasitic

resistance. Schottky Source/Drain (S/D) MOSFETs have been suggested as possible

device architecture that would provide for much reduced resistance in the source/drain

for suitable metal-semiconductor barrier heights.

Figure 2. 19: the band diagrams at the surface of the channel in a SB-MOSFET with a finite

barrier to the conduction band in OFF and ON state.

Figure 2.19 shows the conduction band profiles of a Schottky source/drain

MOSFET in ON and OFF states. The device can operate in two regimes, (a) like a

regular doped source/drain MOSFET where the gate controls the barrier in the chan-

nel- this is typically the case for very low barrier height Schottky contacts and (b)

where the gate modulates the tunneling barrier of the carriers from the source into the

channel. This is the case for mid-gap or large barrier height Schottky barriers. In the

second case, the tunnel resistance at the source limits the ON current in the device.

Guo et al. [2.366] have discussed the use of Schottky barrier in ballistic MOS-

FETs to provide for high drive currents. They estimate that negative barrier heights

from metal to semiconductor would be needed for the Schottky source/drain device to

be competitive with the traditional doped source/drain in a double-gate configuration.

The situation however changes, when practical considerations are imposed on the

OFF ON

Page 51: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 2.5 Extrinsic Factors – Parasitic Resistance

29

device design. These include realistic doping densities and dopant roll-offs along with

experimentally achievable specific contact resistivities. [2.37] Figure 2.20 shows the

comparison of performance of the Schottky source/drain double-gate MOSFETs

considering parasitics

.

Figure 2. 20: Comparison of Schottky and doped S/D DG-MOSFETs. The horizontal lines

denote the switching speeds of the best doped S/D device at fixed film thickness. The shaded

circles indicate the maximum barrier height that can be tolerated at the source of the SB-

MOSFET for it to be competitive with doped S/D MOSFETs. [2.37]

The peak performance of a doped S/D with the same body thickness,

!

TB is plot-

ted in dotted lines. The metal S/D devices have reduced drive currents as the barrier

heights are increased but still are competitive and perform better than doped S/D

devices for low barrier heights. Figure 2.20 plots the upper bounds of the S/D Schot-

tky barrier height versus body thickness for metal S/D DG devices to outperform

doped S/D devices. Devices with thinner bodies have higher bounds because the series

resistance effects are more dominant.

Various metal/semiconductor options have been studied by groups across the

world to achieve acceptable barrier heights for this application. Some of that work is

summarized in Chapter 5 along with the results of this work. Figure 2.21 depicts the

Page 52: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 2: MOSFET scaling beyond the 22nm node

30

various device architectures available and the

!

ION" I

OFFrequirements according to the

ITRS 2003 for high performance logic applications. Transistors with high mobility

channels with metal S/D would provide for scalable avenues for scaling into the sub-

20nm regime.

Figure 2. 21: ITRS Requirements – the various device architectures available with their

respective

!

ION

vs.

!

IOFF

tradeoffs and the ITRS 2003 requirements for HP technology scaling.

[2.36]

2.6 References [2.1] H. –S. P. Wong, “Double-gate FET – device design and performance analysis”,

in Short Course, 2000 IEEE International SOI Conference, 2000.

[2.2] S. Saito, D. Hisamoto, S. Kimura, M. Hiratani, “ Unified mobility model for

high-k gate stacks”, in IEDM Technical Digest, 2003, pp.797-800.

Page 53: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 2.6 References

31

[2.3] D. Hisamoto, W. – C. Lee, J. Kedzierski, E. Anderson, H. Takeuchi, K. Asano,

T. – J. King, J. Bokor and C. Hu, “A folded channel MOSFET for deep-sub-

tenth micron era”, in IEDM Technical Digest, 1998, pp.1032-1034.

[2.4] X.Huang, W. – C. Lee, C. Kuo, D. Hisamoto, L. Chang, J. Kedzierski, E. An-

derosn, H. Takeuchi, Y. – K. Choi, K. Asano, V. Subramanian, T. – J. King, J.

Bokor and C. Hu, “Sub-50nm FinFET: PMOS”, in IEDM Technical Digest,

1999, pp.67-70.

[2.5] Y. –K. Choi, N. Lindert, P. Xuan, S. Tang, D. Ha, E. Anderson, T. – J. King, J.

Bokor and C. Hu, “Sub-20nm CMOS FinFET Technologies”, in IEDM Techni-

cal Digest, 2001, pp. 421-424.

[2.6] R. Chau, B. Doyle, J. Kavelieros, D. Barlage, A. Murthy, M. Doczy, R. Argha-

vani and S. Datta, “Advanced Depleted-Substrate Transistors: Single-Gate,

Double-Gate and Tri-Gate”, in Extended Abstracts of the International Confer-

ence on Solid-State Devices and Materials (SSDM), Nagoya, Japan, 2002, pp.

68-69.

[2.7] W. Haensch, E. J. Nowak, R. H. Dennard, P. M. Solomon, A. Bryant, O. H.

Dokumaci, A. Kumar, X. Wang, J. B. Johnson and M. V. Fischetti, “Silicon

CMOS devices beyond scaling”, in IBM Journal of Research and Development,

Vol. 50, No. 4/5, July/September 2006, pp. 339- 361.

[2.8] F. G. Picus and K. K. Likharev, “Nanoscale Field Effect Transistors – An

ultimate size analysis”, in Applied Physics Letters, 71, 1997, pp. 3661-63.

[2.9] V.V. Zhirnov, R. K. Cavin, J. A. Hutchby and G. I. Bourianoff, “ Limits to

Binary Logic Switch Scaling – A Gedanken Model”, in Proceedings of the

IEEE, Vol. 91, No. 11, November 2003.

[2.10] P. M. Solomon, J. Jopling, D. J. Frank, C. D’Emic, O. Dokumaci, P. Ronsheim

and W. E. Haensch, “Universal Tunneling behavior in technologically relevant

P/N junction diodes”, in Journal of Applied Physics, Vol. 95, No. 10, May 2004,

pp. 5800-5812.

Page 54: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 2: MOSFET scaling beyond the 22nm node

32

[2.11] T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann,

K. Johnson, C. Kenyon, J. Klaus, B. McIntyre, K. Mistry, A. Murthy, J. Sand-

ford, M. Silberstein, S. Sivakumar, P. smith, K. Zawadzki, S. Thompson and M.

Bohr, “ A 90nm High Volume Manufacturing Logic Technology featuring Novel

45nm Gate Length Strained Silicon CMOS Transistors”, in IEDM Technical Di-

gest, 2003.

[2.12] S. Thompson, M. Alavi, R. Arghavani, A. Brand, R. Bigwood, J. Brandenburg,

B. Crew, V. Dubin, M. Hussein, P. Jocob, C. Kenyon, E. Lee, B. McIntyre, Z.

Ma, P. Moon, P. Nguyen, M. Prince, R. Schweinfurth, S. Sivakumar, P. Smith,

M. Stettler, S. Tyagi, M. Wei, J. Xu, S. Yang and M. Bohr, “ A 90nm Logic

Technology featuring 50nm Strained Silicon Channel Transistors, 7 layers of Cu

Interconnects, low k ILD, and 1 um2 SRAM Cell”, in IEDM Technical Digest,

2002.

[2.13] D. A. Antoniadis, I. Aberg, C. Ni, Chleirigh, O. M. Nayfeh, A. Khakifirooz and

J. L. Hoyt, “Continuous MOSFET performance increase with device scaling: the

role of strain and channel material innovations”, in IBM Journal of Research and

Development, Vol. 50, No. 4/5, July/September 2006, pp. 363- 376.

[2.14] H. Shang, M.M. Frank, E. P. Gusev, J. O. Chu, S. W. Bedell, K. W. Guiriani

and M. Ieong, “Germanium Channel MOSFETs: Opportunities and Challenges”,

in IBM Journal of Research and Development, Vol. 50, No. 4/5, July/September

2006, pp. 377-386.

[2.15] A. Lochtefeld and D. Antoniadis, “ On Experimental Determination of Carrier

Velocity in Deeply Scaled NMOS: How Close to the Thermal Limit?”, in IEEE

Electron Device Letters, Vol. 22, No. 2, February 2001, pp. 95-97.

[2.16] T. Krishnamohan, Z. Krivokapic, K. Uchida, Y. Nishi and K. C. Saraswat, “

High-mobility ultrathin strained Ge MOSFETs on bulk and SOI with low band-

to-band tunneling leakage: experiments”, in IEEE Transactions on Electron De-

vices, Vol. 53, No. 5, May 2006, pp. 990-999.

Page 55: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 2.6 References

33

[2.17] S. Datta, G. Dewey, M. Doczy, B. S. Doyle, B. Jin, J. Kavelieros, R. Kotlyar,

M. Metz, N. Zelick and R.Chau, “ High mobility Si/SiGe strained channel MOS

transistors with HfO2/TiN gate stacks”, in IEDM Technical Digest, 2003, pp.

653-656.

[2.18] P. Avouris, J. Appenzeller, R. Martel and S. J. Wind, “Carbon Nanotube

Electronics”, in Proceedings of the IEEE, vol. 91, No. 11, November 2003.

[2.19] J. Welser, J.L. Hoyt and J. F. Gibbons, “Electron mobility enhancement in

strained-Si n-type metal-oxide-semiconductor field –effect transistors”, in IEEE

Electron Device Letters, Vol. 15. No. 3, March 1994, pp. 100-102.

[2.20] T. Mizuno, N. Sugiyama, T. Tezuka, T. Numata and S. Takagi, “High-

Performance Strained-SOI CMOS Devices Using Thin Film SiGe-on-Insulator

Technology”, in IEEE Transactions on Electron Devices, Vol. 50, No. 4, April

2003, pp. 988-994.

[2.21] K. Rim, J. Welser, J. L. Hoyt and J. F. Gibbons, “ Fabrication and mobility

characteristics of ultra-thin strained Si directly on insulator (SSDOI) MOS-

FETs”, in IEDM Technical Digest, 2003, pp. 47-52.

[2.22] K. W. Ang, K. J. Chui, V. Bliznetsov, A. Du, N. Balasubramanian, M. F. Li, G.

Samudra and Y. – C. Yeo, “ Enhanced Performance in 50nm NMOSFETs with

Silicon-Carbon Source/Drain Regions”, in IEDM Technical Digest, 2004.

[2.23] F. Ootsuka, S. Wakahara, K. Ichinose, A. Honzawa, S. Wada, H. Sato, T. Ando,

H. Ohta, K. Watanabe and T. Onai, “ A Highly Dense, High-Performance 130nm

node CMOS Technology for Large Scale System-On-Chip Applications”, in

IEDM Technical Digest, 2000.

[2.24] M. Yang, M. Ieong, L. Shi, K. Chan, V. Chan, A. Chou, E. Gusev, K. Jenkins,

D. Boyd, Y. Ninomiya, D. Pendleton, Y. Surpris, D. Heenan, J. Ott, K. Guarini,

C. D’Emic, M. Cobb, P. Mooney, B. To, N. Rovedo, J. Benedict, R. Mo and H.

Ng, “High Performance CMOS Fabricated on Hybrid Substrate with Different

Crystal Orientation”, in IEDM Technical Digest, 2003.

Page 56: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 2: MOSFET scaling beyond the 22nm node

34

[2.25] G. Dorda, “ Piezoresistance in Quantized Conduction Bands in Silicon Inver-

sion Layers”, in Journal of Applied Physics, Vol. 42, No. 5, 1971, pp. 2053-

2060.

[2.26] S. Tiwari, M. V. Fischetti, P. M. Mooney and J. J. Welser, “Hole Mobility

Improvement on Silicon-on-Insulator and Bulk Silicon Transistors Using Local

Strain”, in IEDM Technical Digest, 1997.

[2.27] K. Ota, K. Sugihara, H. Sayama, T. Uchida, H. Oda, T. Eimori, H. Morimoto

and Y. Inoue, “ Novel Locally Strained Channel Technique for High Perform-

ance 55nm CMOS”, in IEDM Technical Digest, 2002.

[2.28] Z. Krivokapic, V. Moroz, W. Maszara and M. – R. Lin, “ Locally Strained

Ultra-thin Channel 25nm Narrow FDSOI Devices with Metal Gate and Mesa

Isolation”, in IEDM Technical Digest, 2003.

[2.29] T. –Y. Low et.al, “Carrier Transport Characteristics of Sub-30nm Strained N-

Channel FinFETs Featuring Silicon-Carbon Source/Drain Region and Methods

for Further Performance Improvement”, in IEDM Technical Digest, 2006, Paper

17.6

[2.30] J.J. Chen, N. A. Bojarczuk, H. Shang, M. Copel, J. B. Hannon, J. Karasinski, E.

Preisler, S. K. Bannerjee and S. Guha, “ Ultrathin

!

Al2O3 and

!

HfO2 Gate Dielec-

trics on Surface-Nitrided Ge “, in IEEE Transactions on Electron Devices, Vol.

51, No. 9, September 2004, pp.1441-1447.

[2.31] S.J. Whang, S. J. Lee, F. Gao, N. Wu, C. X. Zhu, J. Pan, L. J. Tang and D. L.

Kwong, “ Germanium p- and n-MOSFETs fabricated with novel surface passiva-

tion (plasma

!

PH3 and thin

!

AlN ) and

!

TaN /HfO2 Gate Stack”, in IEDM

Technical Digest, 2004.

[2.32] S-D. Kim , C.-M. Park and J. C. S. Woo, “ Advanced Model and Analysis of

Series Resistance for CMOS Scaling into Nanometer Regime – Part I: Theoreti-

cal Derivation”, in IEEE Transactions on Electron Devices, Vol. 49, No. 3,

March 2002, pp. 457-466.

Page 57: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 2.6 References

35

[2.33] S-D. Kim , C.-M. Park and J. C. S. Woo, “ Advanced Model and Analysis of

Series Resistance for CMOS Scaling into Nanometer Regime – Part II: Quantita-

tive Analysis”, in IEEE Transactions on Electron Devices, Vol. 49, No. 3, March

2002, pp. 467-472.

[2.34] J. Kedzierski et.al, “Extension and Source/Drain Design for High-Performance

FinFET Devices”, in IEEE Transactions on Electron Devices, Vol. 50, No. 4,

April 2004, pp. 952-958.

[2.35] J. Liu and M.C. Ozturk, “Nickel Germanosilicide Contacts Formed on Heavily

Boron Doped

!

Si1"xGex Source/Drain Junctions for Nanoscale CMOS”, in IEEE

Transactions on Electron Devices, Vol. 52, No. 7, July 2005, pp. 1535-1540.

[2.36] J. Guo and M. S. Lundstrom, “ A Computational Study of Thin-Body, Double-

Gate, Schottky Barrier MOSFETs”, in IEEE Transactions on Electron Devices,

Vol. 49, No. 11, November 2002, pp. 1897-1902.

[2.37] S. Xiong, T. –J. King and J. Bokor, “ A Comparison Study of Symmetric

Ultrathin-Body Double-Gate Devices with Metal Source/Drain and Doped

Source/Drain”, in IEEE Transactions on Electron Devices, Vol. 52, No. 8,

August 2005, pp. 1859-1867.

[2.38] International Technology Roadmap for Semiconductors, 2003 Edition, SIA,

2003.

Page 58: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 3: MOSFET scaling beyond the 22nm node

36

This page is intentionally left blank

Page 59: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 3.1 Introduction

37

Chapter 3

Investigation of Performance Limits in

n-MOSFETs

3.1 Introduction Due to their small electron mass in the Γ-valley, Ge and III-V materials like

GaAs, InAs and InSb are being investigated as high mobility channel materials for

high performance NMOS [3.1, 3.3, 3.4, 3.5]. Under ballistic conditions, the main

advantage of a semiconductor with a small transport mass is its high injection velocity.

Following section discusses the effect of changing the channel materials on the

transistor performance at sub-20nm technology nodes. The DG-MOSFET has been

used as a vehicle. The models that are used in this analysis are described in detail in

the next section. These include the solution to the Poisson-Schrödinger equation to

calculate the electronic band structure in the thin film semiconductor and the ballistic

transport model to calculate the transfer characteristics. The short channel effects are

modeled using evanescent mode analysis that is described in detail. As discussed in

chapter 2, the OFF state leakage in the transistor might limit the scalability of the new

materials for use as a channel in sub-20nm MOSFETs. A model based on the 2-D

Page 60: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 3: Investigation of Performance Limits in n-MOSFETs

38

quantization and inter- and intra- valley scattering was used to accurately model the

band-to-band tunneling (BTBT) limited leakage in these short channel transistors.

Finally, the trade-offs between the ON and the OFF characteristics are discussed and

are used as guidelines to suitably tailor the channel to improve the switching proper-

ties of the transistors.

3.2 Ballistic Transport in nMOSFETs

3.2.1 Quantization in thin films

Figure 3. 1: Band diagram in the channel region of the DG-MOSFET. The quantum well is

defined by the oxide – semiconductor barrier and the thickness of the semiconductor film.

[3.6]

The Double-gate MOSFET was used as a vehicle to assess the effects of

changing the channel materials on device performance. The DG-MOSFETs provide

for superior short channel immunity, near ideal sub-threshold slope, high near ballistic

drive currents and low sub-threshold intrinsic capacitance. When a symmetric DG

Page 61: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 3.2 Ballistic Transport in nMOSFETs

39

MOSFET is employed then additional enhancements are obtained due to volume

inversion.

Typically the inversion carriers are confined near the gate interface due to

the deep potential well caused by high electric fields at the surface. In the DG configu-

ration, the well is defined by the front and the back gate of the oxide barriers, the

semiconductor film thickness

!

TS and the electric field within this well. Due to the

confinement the electrons in the channel occupy sub-bands with a continuum of levels

for free motion in the plane parallel to the surface. A compact model as described in

[3.6] is used to evaluate the electronic structure in the confined conduction band.

In this approach a variational approach is used to solve the 1-D Poisson

Schrödinger equation assuming that the ground state energy provides a good approxi-

mation of the inversion depth. Infinite barriers are assumed between the

semiconductor and the gate insulator. A modified sinusoidal wavefunction is used to

describe the electrons in the sub-bands.

!

" j z( ) =2

TSsin

j +1( )#zTS

$

% &

'

( ) exp *

bjz

TS

$

% &

'

( )

!

j = 0,1,2,...

Assuming that all the charge is located in the lowest energy level, the Poisson

equation is solved assuming the charge profile of lowest sub-band energy.

!

d2

dz2" z( ) =

q

#SNA + Ninv$ z( )

2

( )

Where

!

" z( ) is the potential profile inside the semiconductor film. The Poisson

equation is solved for the lowest sub-band energy levels using the boundary conditions

for the potential as follows

!

" z( ) = 0 at

!

z = 0,TS

and

!

d

dz" z( ) = 0 at

!

z =TS

2

Page 62: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 3: Investigation of Performance Limits in n-MOSFETs

40

The potential is thus used in the Schrödinger equation to solve for the wave-

function of the electrons and evaluate the energies of the electrons that are minimized

to evaluate the Eigen wavefunctions and in turn estimate the sub-band energy levels.

!

"h2

2mZ

d2

dz2# j z( ) + "q( )$ z( )# j z( ) = E j# j z( )

!

E j"kin = "h2

2mZ

# j

d2# j

dz2d

0

TS

$ z

!

E j" pot = "q # j$# jdz0

TS

%

!

E j = E j"kin + E j" pot

!

j = 0,1,2,...

The procedure to simulate the electronic structures involved fixing the inver-

sion charge and hence evaluating the required gate voltage that needs to be applied to

the gate by summing the components of voltage drops across the various capacitors.

!

VG

=VOX

+VS

At moderate to high inversion densities, the centroid of the charge profile is lo-

cated in the center of the channel, which has zero E-field. The DG- MOSFET

conducts current away from the gate interfaces and hence exhibits high mobility. The

onset of volume inversion occurs earlier as the film thickness is reduced. Hence the

current flow in these structures is very close to the ballistic limits for the carriers in the

channel material. From [3.6], mathematically the onset of volume inversion occurs

when

!

d2" 2

dz2

= 0 at

!

x = TS2

which results in the condition

!

TSES( )1 3

" CVI

!

ES

=Qi2"

S( ) is the surface electric field at the insulator/semiconductor inter-

face.

Page 63: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 3.2 Ballistic Transport in nMOSFETs

41

Figure 3. 2: Finite inversion layer thickness. The thickness of the inversion layer is compara-

ble to the oxide thickness leading to a reduction in inversion capacitance.

The above models are applicable only for infinite barrier at the ox-

ide/semiconductor interface, which is a good assumption for the

!

Si /SiO2 interface. If

smaller bandgap materials like high-

!

"s are used, the system needs to be solved nu-

merically to estimate the sub-band energy levels due to quantization.

Figure 3. 3: Due to the quantization in thin films the Fermi level is higher than the regular 3-D

structure. Furthermore, the inversion layer thickness is higher than the bulk case.

The charge centroid is away from the insulator-semiconductor interface. Hence

for very small insulator thicknesses, when the inversion layer depth is comparable to

the oxide thickness, then the net capacitance of the device in inversion is reduced. The

Page 64: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 3: Investigation of Performance Limits in n-MOSFETs

42

thickness of the inversion layer is expressed by calculating the average distance of the

electrons from the interface

!

ZkZ

=

z" z( )2

dz

0

TS

#

" z( )2

dz

0

TS

#

hence the gate capacitance in inversion can be simplified to obtain

!

CEFF

=TOX

"OX

+ZkZ

2"S

#

$

% %

&

'

( (

)1

The effects of reduced inversion capacitance will be discussed in sub-

sequent sections.

4.2.2 Modeling of short-channel MOSFETs

As discussed earlier the DG-MOSFET has much better gate control on the

channel than the bulk MOSFETs. [3.7] provides an analytical model for the sub-

threshold swing in these devices based on the evanescent mode-analysis of the Pois-

son’s equation within the semiconductor film.

In the evanescent mode analysis, the channel potential is written as a sum of a

long channel potential in the film thickness direction (z-) and a 2-D short channel

perturbation.

!

" x,y( ) = "1D y( ) +#

2D x,y( )

!

d2

dy2"1D y( ) =

q

#SNA

!

" 2

"x 2+" 2

"y 2#

$ %

&

' ( )2D x,y( ) = 0

The long channel potential is assumed to vary according to the gradual channel

approximation and satisfies the Poisson equation with the boundary conditions set by

the gate bias. The short channel potential is a solution to a Laplace equation and can

Page 65: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 3.2 Ballistic Transport in nMOSFETs

43

be resolved into a Fourier sum of evanescent modes with characteristic lengths. The

lowest order length is the scale length

!

"( ) and is used to quantify the control of the

drain electrode on the potential barrier at the gate-source edge.

Figure 3. 4: Structure of the device for modeling the sub-threshold slope. The center of the

channel has least gate control and hence more prone to drain fields.

The problem is much simplified when an undoped body is used. In that case,

the midpoint of the body is the point with the weakest gate control hence the one most

influenced by the drain voltage. By evaluating, the change in the source-channel

barrier at this slice of the body thickness with changes in the drain-source voltages

gives an expression for the slope of the diffusion controlled

!

IDS

- the sub-threshold

slope. From [3.7], the sub-threshold slope

!

S can be simplified as

!

S = 1" 2#1cos

TS

4$1

e"L

2$1%

& '

(

) * kT

qln10

where

!

"1 and

!

"1 are parameters dependent on the dimensions of the device,

primarily the EOT

!

TOX

of the gate dielectric, the semiconductor film thickness (

!

TS)

and the gate length (

!

L ). The model developed in [3.7] was compared with MEDICITM

to test its validity.

It is observed in these simulations, for

!

Si DG-MOSFET devices to have

excellent sub-threshold characteristics (

!

s=70mV/decade) the semiconductor film

thickness should be at least one-third the gate length.

Page 66: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 3: Investigation of Performance Limits in n-MOSFETs

44

3.2.3 Modeling the ballistic current

Figure 3. 5: ON Current model. The carriers on the top of the barrier are thermalized to the

source and drain. The net charge at the channel is calculated using a Poisson – Schrödinger

model.

As the gate lengths of the transistors are reduced, the number of scattering

events the inversion carrier encounters as it drifts from source to drain is reduced. Due

to this the current becomes increasingly ballistic as the lengths are scaled into the sub-

20nm regime. The current hence becomes independent of the channel length but

remains proportional to the width. The current value is governed by the product of the

carrier density near the source edge of the channel, and the velocity at which carriers

are injected from the source into the channel.

For a well-tempered MOSFET the potential profile from the source to the drain

increases gradually between the source and the maximum point before falling off

sharply near the drain. The top of this barrier is the point in the channel that has

maximum gate control. It is assumed that the electrons undergo no scattering events as

they diffuse from the source to the top of the potential barrier beyond which they are

swept by the high electric field into the drain junction. The sub-band electronic

structure at the potential maximum point may be calculated using methods described

Page 67: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 3.2 Ballistic Transport in nMOSFETs

45

in Section 3.3.1. The device is assumed to be very long in the width direction hence

allowing for free motion in that direction.

!

"h2

2mX

# 2

#x 2"

h2

2mY

# 2

#y 2"

h2

2mZ

# 2

#z2+U x,z( )

$

% &

'

( ) * x,y,z( ) = E* x,y,z( )

The electrons in the channel are assumed to be quantized only in the z- direc-

tion i.e. only certain

!

kZ

will be allowed. The electrons can however assume any

!

kX

and

!

kY. The electron motion in the channel can be number of two-dimensional wave

sub-channels specified with

!

kZ

. Wave reflection at the drain edge is neglected.

The current can now be evaluated by a Landauer-type method, when the

contribution of each sub-channel is counted by counting the number of states using a

2-D density of states in this case weighted by the Fermi occupancy factor.

!

I = qr v D

r E ( ) f "FS,E( ) 1# f "FS,E( )[ ] #

s v D

s E ( ) f "FD,E( ) 1# f "FS,E( )[ ]{ }T E( )dE$

sub#bands

%

where

!

f "F ,E( ) is the Fermi occupancy factor defined by

!

f "F ,E( ) = 1+ expE #"FkT

$

% &

'

( )

*

+ ,

-

. /

#1

where

!

k is the Boltzmann constant and

!

T the temperature. The source and

drain regions are assumed to be ideal reservoirs with Fermi energies

!

"FS

and

!

"FD

respectively. They feed carriers in thermal equilibrium to the channel and also absorb

carriers from the channel without reflection.

!

T E( ) is the transmission coefficient of

the sub-channel at energy E. For ballistic transport,

!

T E( )=1. Now transforming eqn.

the current in the channel can be described as

!

I =W2q kT( )

3 2

" 2h2

mY #1 2

$FS % EkZ

kT

&

' (

)

* + %#1 2

$FS % EkZ%VDS

kT

&

' (

)

* +

,

- .

/

0 1

kZ

2valley

2

where

!

"1 2u( ) is the Fermi-Dirac integral given by

Page 68: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 3: Investigation of Performance Limits in n-MOSFETs

46

!

"1 2 u( ) =y

1+ exp y # u( )dy

0

$

%

Assuming a charge density in the channel the electronic band structure in the

semiconductor film is calculated. The gate voltage required to induce this charge is

then calculated by solving the Poisson equation in the z- direction. Hence the

!

Id "Vg

characteristics of the DG-MOSFET in ballistic current regime may be estimated.

3.2.4 Generalized Approach to calculate effective masses

Silicon MOSFETs are generally built on (100) wafers with transport along the

<001> direction. The quantum simulation of electron transport in Si is greatly simpli-

fied because the principal axes of the six-fold degenerate conduction band are aligned

along the device coordinate axes, hence decoupling the kinetic energies along the

device coordinate axes. In general, however, the principal axes are not aligned to the

device coordinate axes so the kinetic energies become coupled and the effective-mass

equation becomes non-trivial.

Rahman et.al. in [3.10] discuss an effective way to extract the effective

masses of the electrons in arbitrarily oriented wafers. This involves unitary transfor-

mation of axes from the device coordinate axes into the crystal axes, finally then into

the E-k coordinate axes. The effective mass equation is simple in the E-k space and is

given by

!

E =h2k||

2

2ml

+h2k"12 + k"2

2( )2m

t

and hence the effective mass tensor

!

ME

"1 is a diagonal matrix. This may now be

transformed into the device axes through a rotation

!

"E#D

and the effective mass

tensor may be found as

!

MD

"1( ) =#E$D

TM

E

"1( )#E$D

Page 69: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 3.3 Effect of effective masses on the ballistic drive currents

47

!

"E#D

may be found for various wafer orientations for the X(

!

") and L(

!

") val-

leys. The effective masses for these cases are listed in the Table 3.1.

Table 3. 1: Effective masses in the L and X valleys for different wafer orientations

3.3 Effect of effective masses on the ballistic drive

currents Effective masses in the length (x), width (y) and thickness (z) direction are cru-

cial for ballistic current operation as they determine the injection velocity and the

charge induced in the channel. To observe the independent effects of changing the

effective mass in the x, y and z direction, we consider quantum-ballistic transport

through four different constant energy surfaces at varying semiconductor film thick-

nesses (

!

TS) (Fig. 3.6). The electron sub-band energies and injection velocities at a

constant charge boundary condition of

!

Ninv

=

!

1013cm

"2are shown in Fig. 3.7. In the

thin film regime, the sub-band energy level is strongly dependent on the

!

mZ

in the

ladder. The injection velocities for the electrons from the Source into the Channel are

Page 70: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 3: Investigation of Performance Limits in n-MOSFETs

48

proportional to

!

mX

"1. At these boundary conditions, however, even for the same

!

mX

,

the injection velocity is doubled when

!

mZ

is reduced by 100X. This is because as the

quantization mass (

!

mZ

) is reduced, for the same charge boundary condition, the quasi-

Fermi level rises up higher to accommodate this charge. This leads to heating of the

carriers at the source end and hence higher carrier velocity for reduced

!

mZ

.

Figure 3. 6: Device structures simulated. x- is the transport direction, z- the direction of

quantization and y- is in the width direction assumed to be infinite.

When a voltage boundary condition is used, we find that the advantage of high

injection velocity is greatly reduced. Reducing the effective masses isotropically

sharply increases the injection velocity due to a combination of both the effects

discussed above. The gate capacitance (

!

CG"eff ), which determines the channel charge,

is also a strong function of the effective masses. Reducing the mass in the x- and y-

directions causes a reduction in the DOS available in the various sub-bands and hence

causes a reduction in the semiconductor capacitance. Reducing the mass in the z-

direction causes an increase in the sub-band energy levels. For strong inversion, the

entire charge resides in the lowest sub-band level; hence the centroid of the charge is

at the center of the semiconductor film. The net capacitance hence decreases as the

film thickness increases. This effect is enhanced as

!

mZ

is decreased.

Page 71: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 3.3 Effect of effective masses on the ballistic drive currents

49

Figure 3. 7: Effect of changing the effective mass on ballistic transport. All plots depict the

lowest sub-band energy level and the injection velocity for electrons in the quantized conduc-

tion band. All simulations were done for a fixed inversion charge

!

Ninv

=1"1013cm

#2 .

Isotropic reduction in the mass in the sub-bands however sharply reduces the

net gate capacitance due to a reduction in the DOS (Fig. 3.8). This is the major prob-

lem in semiconductors where conduction is in the

!

" valley. Evaluating the ballistic

current, we find that for the highest drivability, the current should be carried in a

valley with low

!

mX

(strongly increased

!

vinj), high

!

mY (higher density of states) and

low

!

mZ

(higher

!

vinj).

(a) (b)

(c) (d)

Page 72: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 3: Investigation of Performance Limits in n-MOSFETs

50

Figure 3. 8: Effective gate capacitance. Change in effective masses causes a change in the sub-

band energy levels and the density of states in those sub-bands. (a), (b) and (c) depict the

change in the effective gate capacitance in inversion for a change in effective mass in x, z and

all directions (isotropic).

3.4 Performance in novel channel materials

3.4.1 Quantization in thin films

The high carrier velocities in III-V materials like GaAs, InAs and InSb are due

to the very low effective mass in the Γ-valley. However, since this is an isotropic

valley it also has a very low DOS. Hence in moderate or strong inversion, all valleys

need to be accounted for to get the effective sub-band structure in the thin film.

(a) (b)

(c)

Page 73: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 3.4 Performance in novel channel materials

51

Figure 3. 9: Electron quantization in thin film III-V semiconductors. GaAs, InAs and InSb

were chosen as representative III-V materials. The figures represent the sub-band energy

levels across all valleys in the semiconductor conduction band at a fixed inversion density

!

Ninv

=1"1013cm

#2

Page 74: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 3: Investigation of Performance Limits in n-MOSFETs

52

Figure 3. 10: Injection velocity. The figures depict the injection velocities in the various

valleys of the conduction band of the semiconductor as a function of the film thickness for a

fixed inversion charge density of

!

Ninv

=1"1013cm

#2 .

Quantization due to space and/or E-field strongly affects the relative occupation

of carriers in these valleys. Fig. 3.9 shows the lowest electron sub-band energies and

the occupation of these levels in the different semiconductors at an inversion charge

density of

!

1"1013cm

#2 . As we scale

!

TS or induce more inversion charge, the Γ-valley

energies in all the III-V materials rise up rapidly due to very low

!

mZ

resulting in most

of the inversion charge in the thin films moving to the heavier L-valley. The fraction

of the charge that spills from the Γ- valley into the L-valley is determined by the DOS

in the L- and the X- valleys and the relative energy separation between the energy

Page 75: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 3.4 Performance in novel channel materials

53

minima of these valleys. In the case of GaAs, as the film thickness is reduced, the

charge spills from the L- into the X- valley. In InAs, however, because of the large

separation of the Γ- from the L- valley, charge is shared between the valleys as carriers

are further quantized. Similar effects are seen in the Ge<110> direction [3.11], where

charge begins to leak into the heavier X-valley from the L-valley at strong quantiza-

tion. Fig. 3.10 shows the injection velocities in the various valleys.

Figure 3. 11: Effect of quantization on drive currents. The figures depict the fraction of the

current in the

!

L and

!

" as a function of the inversion charge density for a fixed

!

TS

= 5nm in

(a) and as a function of film thickness for a fixed inversion charge density of

!

Ninv

=1"1013cm

#2 in (b)

Clearly, the advantage of the high injection velocity in the Γ-valley of the III-V

material is lost if the charge moves into the L-valley. As seen from Fig. 3.11, at large

inversion densities more than 50% of the current is carried in the L-valley. This

fraction increases with the extent of quantization. The current in InSb, which exhibits

the highest electron mobility among all the materials considered, is largely carried

only in the L-valley in moderate and strong inversion. The L-valley velocities in the

III-V materials are similar to the L-valleys in Ge. Most of the III-V materials lose the

advantage of their smaller Γ-valley electron mass and begin to conduct very similar to

Ge under strong quantization (thin

!

TS and/or high

!

Ninv

).

Page 76: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 3: Investigation of Performance Limits in n-MOSFETs

54

3.4.2 Drive currents in thin film structures

Figure 3. 12: Short-channel effects. The sub-threshold slope is plotted for the various channel

materials around a nominal device dimension of

!

LG

=15nm ,

!

TS

= 5nm and

!

TOX

=1nm .

High mobility materials have higher dielectric constants and hence degraded SCE.

Figure 3. 13: Sample

!

IDS"V

GS characteristics for various channel material DG-MOSFETs for

fixed dimensions of

!

LG

=15nm ,

!

TS

= 5nm and

!

TOX

=1nm for a fixed

!

VDD

= 0.7V .

The high mobility III-V materials typically also have higher dielectric con-

stants than Si and suffer from worse SCE (Fig. 3.12). Hence, a higher

!

VT

is required

on these devices to maintain low OFF state currents. InSb (

!

"r=17) exhibits the worst

characteristics among the semiconductors considered. Fig. 3.13 shows typical

!

ID"V

G

Page 77: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 3.4 Performance in novel channel materials

55

data obtained for nominal devices with matched

!

IOFF

. The poor SCE in InSb is one of

the main factors for the reduction of its current drivability at nominal gate lengths and

oxide thicknesses.

3.4.2.1 Effect of scaling semiconductor film thickness on drive cur-

rents

Figure 3. 14: Effect of quantization on drive current. (a) and (b) depict the drive currents as a

function of film thickness for

!

TOX

=1nm and

!

TOX

= 0.1nm for

!

LG

=15nm and

!

VDD

=1V .

Similarly, (c) and (d) depict the drive current for

!

LG

= 7nm .

The ballistic drive currents for

!

TOX

=1nm and 0.1nm are shown in Fig. 3.14.

Examining the transistors at

!

TOX

=0.1nm, enables us to evaluate the ultimate intrinsic

(a) (b)

(c) (d)

Page 78: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 3: Investigation of Performance Limits in n-MOSFETs

56

device performance, where the quantum/semiconductor capacitance dominates and

SCE are negligible. At the technological limit when

!

TOX

is scaled to 0.1nm, the III-V

materials perform better as

!

TS is reduced from 10nm to 3nm. At thicker

!

TS, Ge devices

have the highest drives because of a higher inversion density due to the larger

!

CDOS

the density of states capacitance. In contrast, most of the charge in the III-V materials

at thick

!

TS is present in the Γ- and L- valleys that have a low density of states (DOS).

InSb performs better than InAs and GaAs at thick

!

TS, since it has the highest DOS in

the L-valley among the III-V materials considered. As

!

TS is reduced, charge fills the

heavier X-valley in Ge, causing a reduction in its drive currents. On the other hand,

reducing

!

TS moves the charge from the Γ- valley into the L-valleys in the III-V

materials. These L-valleys have lower conductivity effective masses than Ge and

hence carry higher currents than Ge. The drive current for Si MOSFETs remains

largely unaffected due to reducing

!

TS. This is because the

!

CDOS

reduces as

!

TS is

reduced as charge moves from the four-fold valley into the low DOS two-fold valley.

Fig. 3.14(b) illustrates drive current scaling at

!

LG

=15nm with an effective

!

TOX

=1nm. At these dimensions, the effect of

!

CDOS

is reduced and the SCE severely

impact device performance in the III-V materials. At thick

!

TS, GaAs MOSFETs have

the highest drive currents. This is because a significant portion of this drive current in

GaAs is carried in the very low mass Γ-valley as compared to the InSb or InAs MOS-

FETs. As

!

TS is reduced, in the III-V MOSFETs, most of current is carried in the L-

valleys. GaAs has the lightest conductivity effective mass in the L-valley among the

III-V materials and hence continues to provide higher drive currents than InAs or

InSb. However, at thin

!

TS, the charge in GaAs moves into the heavy mass X- valley

causing a further drop in the drive current. Even though InSb has a larger DOS in the

L-valley than InAs, these devices have comparable drive currents due to a larger

contribution to the current in InAs from the Γ-valley. Ge devices continue to exhibit

higher drive currents due to their low mass L- valley. As

!

LG

is scaled, the ON charac-

Page 79: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 3.4 Performance in novel channel materials

57

teristics of the device are completely dominated by the SCE at higher

!

TOX

. Hence,

even though InAs has lower DOS than InSb, InAs provides a higher drive current due

to better short channel control. However, at

!

TOX

=0.1, the III-V materials continue to

provide higher currents due to better transport characteristics of their respective L-

valleys.

3.4.2.2 Effect of supply voltage

!

VDD

scaling on drive currents

Figure 3. 15: Effect of reducing supply voltage. (a) depicts the change in drive current for a

device with

!

LG

=15nm ,

!

TS

= 5nm and

!

TOX

=1nm . (b) shows the change in drive current for

the same device but with

!

TOX

= 0.1nm .

Fig. 3.15(a) and (b) illustrate the effect of scaling the supply voltage on the

drive current in MOSFETs with

!

LG

=15nm and

!

TS=5nm. As

!

VDD

is reduced, less

charge is induced in the channel reducing the effect of the E-field quantization. Thus

as the gate fields are lowered the current is increasingly dominated by the charge in

the Γ-valley of the semiconductor. At

!

TOX

=0.1nm, InSb MOSFETs exhibit highest

drive currents because of higher DOS in the L-valley which carries most of its current.

GaAs MOSFETs however, have lower drive currents at higher

!

VDD

due to larger

contribution of the heavier X-valley. Ge MOSFETS also perform comparable to the

III-V MOSFETs because of their higher DOS, which compensates for their lower

injection velocities at high

!

VDD

. However, the Ge devices lose their advantage at lower

(a) (b)

Page 80: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 3: Investigation of Performance Limits in n-MOSFETs

58

!

VDD

due to the higher

!

Vt required to meet the OFF current specs. For thicker

!

TOX

and

hence lower inversion charge at a given

!

VDD

, GaAs exhibit highest drive currents

attributed to firstly, a higher component of Γ-valley current, and secondly due to the

lower conductivity effective mass in the L-valley. The drive currents in the InAs

MOSFETs have a higher Γ-valley component and hence are higher than those in InSb

MOSFETs. Ge MOSFETs have lower drive currents than the III-V MOSFETs due the

higher conductivity effective mass in the L-valley.

3.5 Off-State Leakage

3.5.1 Band-to-band tunneling

Figure 3. 16: Band-to-band tunneling current. Calculated BTBT current for a fixed device

dimension of

!

LG

=15nm ,

!

TOX

= 0.7nm and

!

VDD

= 0.9V . Increase in the effective band gap

of Ge due to quantization causes a sharp reduction in the BTBT current as a function of film

thickness.

Band-to-band tunneling (BTBT) leakage is very important especially in small

bandgap (

!

Eg ) high mobility materials. The BTBT leakage mainly occurs at the drain

edge of the channel, where because of the high lateral field, the electron and hole

Page 81: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 3.6 Picking the right channel material

59

wavefunctions in the conduction band and valence band respectively overlap causing a

high current. This exchange of carriers can occur either between the direct or the

indirect band gap in these materials. We follow the approach in [3.9] to calculate the

IBTBT using TAURUSTM PMEI. All the valleys are included, taking into account

band-gap widening and reduction in the DOS due to quantization. Even though, the

III-V materials provide for states in the direct band gap, the tunneling currents in the

III-V materials are substantially reduced because of marked direct band-gap widening

due to a small quantization mass in the

!

" - valley. Similarly, in Ge the energy of the Γ-

valley, which contributes to most of the BTBT current in thick film structures reduces

sharply in thin films due its sharp Fig. 3.16 illustrates the effect of quantization on Ge

and GaAs devices. As the III-V films are quantized, the BTBT current becomes

increasingly dominated by the indirect component of the tunneling. Fig. 3.17 summa-

rizes the relative advantages of III-V materials compared to Si and Ge MOSFETs.

3.6 Picking the right channel material

3.6.1 n-Channel MOSFETs

Figure 3. 17: Tradeoff associated with changing channel material – NMOS.

Page 82: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 3: Investigation of Performance Limits in n-MOSFETs

60

The intrinsic delay of the MOSFET

!

CG"effVDD ION is used as a performance

metric and compared to the BTBT limited OFF state leakage indicative of the static

power dissipation in the device for a fixed device dimension. All the III-V MOSFETs

except InSb provide lower intrinsic gate delays than Si and Ge. However, even after

quantization InSb has substantially high off state leakage that cannot be successfully

turned off even for modest OFF current specs of

!

0.1µA µm . Furthermore, GaAs and

InAs MOSFETs exhibit a lesser increase in the intrinsic gate delay than Si or Ge

MOSFETs as

!

VDD

is scaled, an ideal candidate for low

!

VDD

applications. This is

because, as

!

VDD

is scaled, less charge is induced in the channel, but in the case of

GaAs and InAs, most of the charge is present in the lighter Γ-valley. However, GaAs

provides an additional advantage of higher bandgap and hence much reduced BTBT.

Further material optimization can be made by considering ternary alloys such as

GaInAs and by using heterostructures in the channel as in [3.112, 3.13].

Figure 3. 18: Tradeoff associated with changing the channel materials –PMOS. The BTBT

limited OFF current is plotted against the intrinsic switching speed of the device. (x,y)

indicates the condition of strain in the channel, where x is the composition of Ge in the

channel and y is the composition of Ge in the virtual substrate the channel is strained to.

Page 83: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 3.7 Summary

61

3.6.2 p-Channel MOSFETs

The current workhorse for high performance p-MOSFETs is strained Si chan-

nel. The strain induces a split in the valence band of the Si leading to much reduced

conductivity effective mass in the valence band leading to higher mobility. However,

the band gap in strained-Si is much reduced and hence in the DG configuration these

devices exhibit higher OFF currents. The Si-Ge system provides excellent options for

PMOSFETs. Ge has one of the highest bulk hole mobility among semiconductors. Ge

MOSFETs provide much better performance albeit at the cost of high OFF state

power. Using a biaxial strained Ge epitaxially grown on Si surfaces exhibits much

better performance. This system exhibits high inversion hole mobility due to carriers

flowing in Ge, and a reduced OFF current due to quantization induced band gap

widening. Using a buried channel MOSFET [3.12] provides an additional advantage

of confining the high surface field during the OFF state in the wider band gap semi-

conductor like Si greatly reduces the BTBT dominated OFF current.

3.7 Summary The effect of changing the channel material on the performance of ultra-small

channel length transistors was discussed in this section. In NMOS channels, even

though, III-V materials have high bulk mobilities, the drive currents in these materials

are similar to those obtained in Ge. This is because in strong quantization due to either

space or electric field, the current is limited by the transport properties of the L-valley,

which has a much higher mass in the transport direction than the

!

" -valley. Further

more, the higher mobility materials have small bandgaps and hence lead to higher

BTBT limited OFF current in these transistors. Use of tertiary compounds or het-

erostructures in the channel allow for higher switching speeds at much lower OFF

current or the static power dissipation.

Page 84: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 3: Investigation of Performance Limits in n-MOSFETs

62

3.8 References [3.1] T. Ashley, A.R. Barnes, L. Buckle, S. Datta, A.B. Dean, M.T. Emeny, M. Fearn,

D.G. Hayes, K.P. Hilton, R. Jeffries, T. Martin, K.J. Nash, T.J. Philips, W.H.A.

Tang, P.J. Wilding and R. Chau, “ Novel InSb-based Quantum Well Transistors

for Ultra-High Speed, Low Power Logic Applications”, in International Solid

State Integrated Circuits Conference, 2004, pp 1-2.

[3.2] T. Ando, F.B. Fowler and F. Stern, “ Electronic properties of two-dimensional

systems”, in Reviews on Modern Physics, 1982,Vol. 54, no.2, pp. 437-462

[3.3] M.V. Fischetti, “Monte Carlo Simulation of Transport in Technologically

Significant Semiconductors of the Diamond and Zinc-Blende Structures – Part I:

Homogenous Transport”, in IEEE Transactions on Electron Devices, 1991, Vol.

38, no. 3, pp. 634-649.

[3.4] R. Chau, S. Datta, M.Doczy, B. Doyle, B. Jin, J. Kavalieros, A. Majumdar, M.

Metz and M. Radosavljevic, “Benchmarking Nanotechnology for High-

Performance and Low-Power Logic Transistor Applications”, in IEEE Transac-

tions on Nanotechnology, 2005, Vol. 4, no. 2, pp. 153-158.

[3.5] M.V. Fischetti and S. Laux, “Are GaAs MOSFETs worth building? A model-

based comparison of Si and GaAs n-MOSFETs”, in IEEE International Electron

Devices Meeting, 1989, pp. 481-484.

[3.6] L. Ge and J.G. Fossum, “Analytical Modeling of Quantization and Volume

Inversion in Thin Si-film DG MOSFETs”, in IEEE Transactions on Electron De-

vices, 2002, Vol. 49, no. 6, pp. 287-294.

[3.7] Q. Chen, B. Agrawal and J.D. Meindl, “ A Comprehensive Analytical Sub-

threshold Swing Model for Double-Gate MOSFETs”, in IEEE Transactions on

Electron Devices, 2002, Vol. 49, no. 6, pp. 1086-1094.

[3.8] K. Natori, “ Ballistic metal-oxide-semiconductor field effect transistor”, in

Journal of Applied Physics, 1994, Vol. 76, no. 8, pp. 4879-4890.

Page 85: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 3.8 References

63

[3.9] E.O. Kane, “Theory of Tunneling”, in Journal of Applied Physics, 1961, Vol. 32,

no. 1, pp. 83-91.

[3.10] A. Rahman, M. Lundstrom and A. Ghosh, “Generalized effective-mass ap-

proach for n-type metal-oxide-semiconductor field-effect transistors on arbitrarily

oriented wafers”, in Journal of Applied Physics, 2005, Vol. 97, pp.053702

[3.11] A. Pethe, T. Krishnamohan, K. Uchida and K. Saraswat, “ Analytical Modeling

of Ge and Si Double-Gate (DG) NFETs and the Effect of Process Induced Varia-

tions (PIV) on Device Performance”, in IEEE Simulation of Semiconductor

Processes and Devices, 2004, pp. 359-363.

[3.12] T. Krishnamohan, Z. Krivokapic, K. Uchida, Y.Nishi and K. Saraswat, “Low

defect ultra thin fully strained Ge MOSFET on relaxed Si with high mobility

and low band-to-band tunneling (BTBT)”, in IEEE VLSI Technology Sympo-

sium, 2005, pp. 82-83.

[3.13] T. Krishnamohan, D. Kim, C. Jungemann, Y. Nishi and K. Saraswat, “Strained-

Si, Relaxed-Ge or Strained- (Si) Ge for Future Nanoscale p-MOSFETs?”, in

IEEE VLSI Technology Symposium, 2006, pp. 144-145.

Page 86: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 4: Investigation of Performance Limits in n-MOSFETs

64

This page is intentionally left blank

Page 87: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 4.1 Introduction

65

Chapter 4

Ge – channel MOSFETs

4.1 Introduction

With traditional scaling becoming increasingly difficult to implement, replac-

ing the channel material in CMOSFETs is being investigated to improve the

performance of these devices. As discussed in the previous chapter, Ge provides an

excellent option for both n- and p-MOSFETs. Ge has small hole effective mass and

hence can provide for higher inversion hole mobility. Due to its high DOS, Ge is able

to support channel charge in its higher mobility valleys even during quantization either

due to space or electric field making it an attractive material to replace channels for n-

MOSFETs. However replacement of the channel in these devices needs careful

attention to various aspects of the process to successfully integrate Ge into high

mobility MOSFETs. The major steps will be reviewed in this chapter including the

development of a good gate insulator for passivation of the Ge surface and dopant

activation in these substrates. Transistor results obtained from CMOS runs fabricated

at the Stanford Nanofabrication Facility will be illustrated.

Page 88: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 4: Ge – channel MOSFETs

66

4.2 Gate Stack Development As compared to Si, Ge surfaces have a much higher density of states in

the band gap typically (~

!

1014cm

"2eV

"1). Ge surfaces also exhibit much higher surface

recombination velocities. This has been elegantly used in [4.1] to build negative-

differential-resistance (NDR) devices for DRAM applications. It is hence extremely

critical to understand the passivation of this surface, which is integral for gate insula-

tor as well as for field isolation applications. Traditionally, high-permittivity materials

experimented on Si have been investigated on Ge surfaces. In the following section a

summary of various high-k and surface cleaning techniques have been elucidated

[4.2].

4.2.1 Status of the high-k Ge interface

4.2.1.1 Passivation by Nitrogen

Since the sub-oxides of Ge are volatile at moderately high temperatures (e.g.

400°C -650°C), in [4.3, 4.4] high-

!

" were deposited in an ultra-high vacuum system

after desorbing these oxides. The microstructure of the high-

!

" film deposited on the

Ge and the electrical properties of the Ge/high-

!

" is very sensitive to the surface

preparation prior to high-

!

" dielectric deposition. [4.5]. In this study, the Ge surface

was wet-cleaned and then

!

HfO2 was deposited using atomic-layer chemical vapor

deposition. The

!

HfO2 was amorphous when grown on Ge surface treated with nitro-

gen in a rapid thermal process at 650°C for 1min. The N-passivated devices exhibited

much smaller dispersion than the wet-cleaned surfaces. The authors speculate that the

large dispersion may be due to the

!

Ge "Hf bonding or the interdiffusion at the

!

Ge /HfO2 interface. The nitridation however causes a larger negative flat band shift,

which may degrade mobility.

Page 89: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 4.2 Gate Stack Development

67

Xu et al. in [4.6] studied the effect of nitridation by using wet NO compared to

NH3 based processes. Low

!

Dit was achieved in this process that was measured using

the Terman method and C-V measurements done at 100 kHz. Moderately low inter-

face trap densities of

!

6 "1011cm

#2eV

#1 were achieved in this process. However, all

these capacitors exhibited high gate leakage.

Figure 4. 1:

!

Al /Al2O3/Ge capacitors with post deposition anneal in O2 at 550°C. [4.4]

Figure 4. 2:

!

HfO2/Ge capacitors show much worse characteristics after the FGA anneal [4.4]

Page 90: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 4: Ge – channel MOSFETs

68

Chen et al in [4.4] presented results of a comprehensive study on surface nitri-

dation which improves the quality of the high-

!

" dielctric on the Ge interface. The

wafers were cleaned with a diluted SC-2 comprising

!

H2O2,

!

HCl/

!

H2O and DI. Among

all the high-k dielectrics,

!

Al2O3 showed the best characteristics on Ge surface. Surface

nitridation at 350°C reduced the hysteresis from ~500mV to ~20mV, while reducing

the interface trap density from

!

1"1012cm

#2eV

#1 to

!

4 "1011cm

#2eV

#1. Additionally the

gate leakage is reduced by three orders of magnitude by nitridation. Post depositions

anneal done in O2 at 550°C for 30min further improved the characteristics. The

situation is different at the

!

HfO2Ge interface. Direct deposition of

!

HfO2 on the Ge

surface forms an interfacial oxide layer at the interface. Larger C-V hysteresis was

observed in the HfO2 capacitors even after surface nitridation. The

!

Dit of

!

6 " 8 #1012cm

"2eV

"1, an order of magnitude higher than the alumina gated capacitors

was reported. Also, W was reported to be a better gate metal on the dielectric as

compared to Al due to the superior characteristics exhibited by the W-gated capaci-

tors.

4.2.1.2 Passivation by Si

Figure 4. 3: Effect of Si passivation on C-V of MOS capacitors on Ge. SP indicate the Si

passivated samples whereas SN are surface nitrided ones [4.7]

Page 91: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 4.2 Gate Stack Development

69

Since the

!

Dit at the surface of the Ge is attributed to the much higher density

of surface states in Ge as compared to Si, Wu et al. in [4.7] deposited a thin Si layer on

the Ge surface (Fig. 4.3). This layer was thin enough so that most of the inversion

charge is located in Ge but thick enough to reduce the surface states at the MOS

interface. The Si deposition was done by exposing the HF-last Ge wafers to SiH4 at a

low pressure of 3mT at 400°C, which results in a few monolayers of Si on the surface.

This was followed by MOCVD of the HfO2 dielectric and metal gate deposition.

Decent C-V characteristics were obtained. The devices with Si monolayers (SP) were

compared with Ge surface treated with NH3 (SN). The Si passivated devices exhibit

much lower hysteresis and the PMOSFETs made by this method showed higher

mobility than the N- treated ones.

4.2.1.3 Passivation by Group VI and Group VII

One of the hypotheses for the origin of the surface states is the broken bonds at

the surface of the Ge crystal. Group VI elements like S have more electrons in their

valence shell, which may be used to eliminate the states caused due to these bonds.

Anderson et al. in [4.10] show that the bonding peak in Ge 3d peak in the XPS spec-

trum is eliminated in the presence of S, indicating reduced oxidation. This state is

however not stable at higher temperatures. Cakmak et al. in [4.11] have demonstrated

using ab-initio simulations that the passivation of the Ge surface improves with the use

of larger Group VI elements like Te.

Page 92: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 4: Ge – channel MOSFETs

70

Figure 4. 4: Effect of S – coverage on reduction of

!

Dit

. [4.12]

Frank et al. in [4.12] studied the effect of this passivation on the electrical

properties of HfO2/Ge capacitors. The authors report that the S-passivation of ~1 or 2

Langmuirs is impervious to the high-k and metal gate deposition. S- passivated

capacitors were compared to NH3 treated Ge surfaces, and were found to have lower

DIT. Furthermore, the flat band voltage measured on the S-passivated capacitors was

closer to the nitrided ones, leading the authors to hypothesize that S passivation

generates lesser-fixed charge at the surface (Fig 4.4).

Page 93: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 4.2 Gate Stack Development

71

Figure 4. 5: XPS spectra of Ge 3d peak during oxidation of Ge surface. (a) clean Ge (111) (b)

exposure to 100l of O2 at 250°C (c) oxidation by dipping in

!

H2O and

!

H2O2 (d) clean Ge

(111) exposed to air for 6h at room temperature. [4.14]

For the same reasons as before, Group VII elements, which have much larger

atomic radii and electronegativity, can be used to enhance the quality of passivation of

the Ge surface. Halide terminated surfaces have been shown to prevent the oxidation

of the Ge surface for longer times at elevated temperatures. Gothelid et al. [4.13] have

demonstrated this by measuring the XPS spectra of surfaces post chemical treatment

and post exposure to air at various temperatures.

Page 94: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 4: Ge – channel MOSFETs

72

4.2.2 Ge oxides and nitrides

Ge oxides and nitrides have gained much importance over the last few years as

suitable candidates to serve as interfacial layers between the high-k dielectric and the

Ge surface. They provide for good passivation of the high density of surface states.

However it is extremely critical to accurately characterize this interface for its electri-

cal properties to investigate the efficacy for use as an interfacial layer.

In-depth studies on the chemical nature of the oxides of Ge were reported in

[4.14,4.15]. The Ge wafers were cleaned in a DI/HF cyclic rinse followed by an

intentional growth of the oxide in

!

H2O or

!

H2O2 which was later volatilized in vacuum

before controlled oxidation studies were done. XPS studies were done to determine the

chemical bonding in the oxides. At low temperatures like 250°C,

!

GeO is the most

prominent oxide formed. When heated in vacuum this

!

GeO volatilizes at 450°C.

However, in the presence of moisture,

!

GeO2 is the predominant oxide species.

!

GeO2

is formed by in-situ oxidation at temperatures higher than 500°C. Further heating of

samples in vacuum leads to desorption of the oxide. Conversion of the

!

GeO2 into

!

GeO, a volatile species is suggested as the mechanism. This

!

GeO2 dissolves in water

and can be removed by a quick DI water rinse (Fig. 4.5).

Recently, encouraging work in [4.16, 4.17] has shown that the oxides of Ge

have excellent passivation properties.

!

GeOx films were prepared by irradiating a

plasma stream with ECR, followed by a sputter deposited silicon nitride film, to

protect the oxide from hydrating. C-V and G-V measurements were done to estimate

the nature of the interface traps. Using the Nicollian-Goetzberger method [5.18], the

authors report a very low mid-gap density of

!

6 "1010cm

#2eV

#1 (Fig. 4.6). This was

obtained by annealing the

!

GeOx sample in 4% H2 ambient at 400°C for 30min. An

exponential dependence of the interface traps with the energy was also reported near

the mid-gap region of Ge.

Page 95: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 4.3 Characterization of the MOS interface

73

Figure 4. 6:

!

Dit

measured using conductance method in

!

GeO2/Ge samples fabricated using

ECR plasma. [4.8]

4.3 Characterization of the MOS interface The MOS interface is characterized by two important factors, the fixed charge

and the interface traps, which determine the quality of the interface and hence influ-

ence the carrier transport near this surface. The fixed charge is assumed to be a sheet

charge at the interface, which leads to a flat band voltage shift in the C-V measure-

ments across the gate stack. The shift in

!

VFB

from its ideal value is attributed to the

fixed charge and hence can be determined by measuring this shift. The interface trap

density or

!

Dit, which denotes the density of traps within the bandgap of the semicon-

ductor is estimated by primarily two methods, the quasistatic method and the

conductance method, discussed in detail in the following sections.

Page 96: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 4: Ge – channel MOSFETs

74

4.3.1 Low-High Frequency Method

Figure 4. 7: Equivalent circuit for MOS C-V at low frequency. CD is the depletion capacitance,

CI – the inversion capacitance and CIT the capacitance associated with interface states.

The low frequency quasistatic is the most common method to estimate the in-

terface state density. The method relies on measurement of the C-V characteristics of

the gate stack at the two ends of a wide frequency spectrum. The time period of the

low frequency (LF) oscillations is chosen large so that the interface traps are in

equilibrium with the measurement frequency. For

!

Si /SiO2 interface, this corresponds

to low frequencies of the order of a few Hertz. The interface traps contribute to the

measured C-V through a parasitic capacitance called CIT parallel to the depletion and

inversion capacitance in the MOS structure as depicted in the model in Fig.4.7. C-V

measurements are also performed at very high frequencies, so that the time period of

the oscillations of the ac- voltage are much shorter than the time constants associated

with the capture and release of carriers in the interface traps. For

!

Si /SiO2 interfaces, a

high frequency (HF) ac modulation at 1MHz is used to this effect. The difference in

the C-V characteristics between the LF and the HF measurements is used to determine

the CIT and hence determine the trap density distribution within the band gap of the

semiconductor.

From the equivalent circuit shown in the figure,

Page 97: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 4.3 Characterization of the MOS interface

75

!

1

CLF

=1

COX

+1

CS

+ CIT

!

CS

=1

CHF

"1

COX

#

$ %

&

' (

"1

therefore,

!

CIT

=1

CLF

"1

COX

#

$ %

&

' (

"1

"1

CHF

"1

COX

#

$ %

&

' (

"1

Assuming that

!

"C = CLF#C

HF,

The interface state density may be estimated as,

!

Dit ="C

q1#

CHF + "C

COX

$

% &

'

( )

#1

1#CHF

COX

$

% &

'

( )

#1

The above equation calculates the interface state density as a function of the

applied gate voltage. The gate voltage needs to be converted to the surface potential of

the MOS, which is the position of the surface Fermi level within the band gap. Fol-

lowing [4.18], the surface potential

!

"s may be calculated from the LF C-V curve in

the following way.

From the charge neutrality condition in the MOS system

!

COXdV

G= C

OX+ C

IT"

s( ) + CS"

s( )[ ]d"s

which gives

!

"s="

s0+ dV

G

COX

COX

+ CIT

+ CSVG 0

VG

# where

!

"s="

s0 when

!

VG

=VG0

.

!

"s

="s0

+ dVG1#

CIT

+ CS

COX

+ CIT

+ CS

$

% &

'

( )

VG 0

VG

*

Page 98: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 4: Ge – channel MOSFETs

76

Figure 4. 8: equivalent circuit of the MOS for conductance measurements. The components in

red are the equivalent circuit for the capacitor in inversion. [4.18].

4.3.2 Conductance Method

In this method, interface trap levels are detected through the loss in ac power

resulting from changes in their occupancy produced by small variations of the gate

voltage. Majority carriers are captured or emitted, changing occupancy of the trap

levels in the small energy level centered about the Fermi level. This capture and

emission causes an energy loss observed at all frequencies except the very lowest and

highest frequency.

This energy loss is measured as an equivalent parallel conductance. In addition

to this, the captured electron may spend finite time within the level leading to the

capacitance corresponding to these traps referred to as CIT. At any given ac frequency

on the ac gate, this loss depends both on the speed of response of the interface traps,

determined by their capture probability, and on the interface trap level density near the

Fermi level at the surface. The figure depicts the equivalent circuit associated with a

single trap level at the interface.

!

CT,

!

Gn and

!

Gp denote the capacitance associated

with the trap, the capture and emission rates to and from this level. When the MOS is

measured in depletion, the minority carrier response is neglected when compared to

Page 99: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 4.3 Characterization of the MOS interface

77

the majority carrier one and the circuit is simplified greatly. The components of the

measured admittance can be expressed as

!

GP

"= C

T"# 1+ "#( )

2[ ]$1

and

!

CP

= CT1+ "#( )

2[ ]$1

+ CD

where

!

" =CT

Gn

Plotting these components as a function of frequency it is observed that the

conductance is maximum when

!

"# =1.

Figure 4. 9: conductance measurements for Si/SiO2 capacitors. Measured

!

Dit

=1.8 "109cm

#2eV

#1 [4.19]

With a distribution of such single level traps within the band gap of the semi-

conductor, the equivalent circuit associated may be assumed to be a parallel

combination of the single trap level case and by converting the summations into

integrals the following relationships are obtained for the MOS capacitor biased in

depletion. (Fig. 4.8)

!

CP

= CD

+ CIT"#

n( )$1tan

$1 "#n( )

Page 100: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 4: Ge – channel MOSFETs

78

!

GP

"= C

IT2"#

n( )$1ln 1+ "#

n( )2[ ]

the conductance is now maximum when

!

"#n

=1.98. The interface trap density

hence provides for a direct measurement of the interface state density as well as the

time constants associated with those trap levels. The above equations get modified

further if surface modulation in the potential is assumed. This leads to a flattening of

the

!

GP" curves. Hence the extraction needs to be done carefully after considering all

these factors.

4.4 Bulk Ge- Transistors

4.4.1 Process Flow

N- and P- 4” Ge substrates from UMICORETM were used as starting materials.

Ge is highly reactive with solutions containing strong oxidizing agents like

!

H2O2 and

!

H2SO

4. Hence standard RCA clean could not be used for these substrates. A modified

pre-diffusion clean was used for these substrates. This involved removal of surface

organics by washing the wafers in PRS-1000 at 45°C for 10min followed by DI water

dump rinse and a spin dry. The native oxides of Ge were removed by dipping the

wafers in DI water. The sub-oxides however, which cannot be removed in DI water

were etched by dipping the wafers in 2% HF solution for 30sec. A cyclic clean involv-

ing treating the wafers with DI water and 2% HF successively was employed to

remove most of the oxides on the surface. The wafers were then immediately loaded in

the AG4108 Heatpulse system for oxynitridation. This process was accomplished in

two steps, first a short oxidation of the Ge at 600°C for 5sec in dry

!

O2 followed by

along purge of the system in N2. This was followed by a nitriding step accomplished

by soaking the wafers in an NH3 environment at 600°C for 3min. The analysis of this

interface is presented in the next section. The wafers were then loaded into a CVD

system to deposit low temperature SiO2 that was realized by reacting SiH4 and O2 at

Page 101: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 4.4 Bulk Ge- Transistors

79

400°C. Active area lithography was done in an Ultratech 1:1 Stepper. The field stack

was then etched in a 20:1 Buffered Oxide Etch solution. Resist was stripped in an O2

plasma followed by a wet strip in a PRX-127 bath at 45°C for 10min. The modified

pre-diffusion clean was done again on these wafers followed by the oxynitridation to

form the gate interface. A capping low temperature SiO2 was used to reduce the

leakage at the gate electrode. This oxide was deposited at a slightly higher temperature

of 450°C and a reduced pressure of 200mT. Poly-SiGe was employed as the gate

electrode. Poly-SiGe can be deposited at much lower temperatures of 400-450°C

compared to poly-Si, which is typically deposited at 600-650°C. It was observed

elsewhere, that the

!

GeOxNy begins to desorb at temperatures higher than 650°C. SiH4

and GeH4 were used as source gases for the gate deposition, which was done at 400°C

and 400mT to obtain Si0.4Ge0.6. The gate was patterned and etched in a Cl2-HBr

chemistry in an Applied Materials P5000 system. The Cl2-HBr chemistry was chosen

to achieve high anisotropy on the gate etch and to achieve better selectivity between

the poly SiGe and the SiO2. After stripping the resist, Source/Drain implants were

done. P31 and BF2 were chosen as the implant species with a dose of

!

4 "1015cm

#2 and

implant energies of 35keV and 50keV respectively. The dopants were activated in a

tube furnace in a N2 environment at 500°C for 45min. Low-Temperature SiO2 was

again used as a backend insulator. The contact holes were patterned and etched in a

20:1 BOE solution. 500nm of Al was used as a contact metal. Ti was used as an

interfacial material to remove any native oxide, which may be present at the interface.

The Al was patterned and etched in wet chemistry of H3PO4, HNO3 at 45°C for 2min.

Finally; the wafers were annealed in a 4% H2 environment at 300°C for 45min.

Page 102: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 4: Ge – channel MOSFETs

80

4.4.2 Materials and Electrical Characterization of the interface

4.4.2.1 Materials Characterization

Angle-resolved x-ray photoemission spectroscopy (AR-XPS) was used to

study the nitrogen incorporation in the oxynitride film grown. The XPS spectrum was

peak fitted around the N1s and the O 1s binding energies. The signal counts were

normalized to the sensitivity factors of the corresponding elements. Fig. 4.10 plots the

amount of nitrogen incorporated which was estimated by normalizing the area under

the fitted peak at the N 1s, to the sum of the areas fitted under the N 1s, O 1s, Ge 3d

and the C 2p peak. The C peak is observed due to the adsorption of C from the atmos-

phere during transport and loading of the sample. As the sample is tilted, the XPS

spectrum samples sections of the film closer to the interface. A peak intensity of 17%

N was observed at the interface compared to a 62% composition of O. Fig. 4.10 plots

the relative concentration of the oxygen and the nitrogen obtained in the oxynitride

film as a function of the O2 partial pressure used.

Figure 4. 10: Relative composition of the

!

GeOxNy film near the interface for varying partial

pressure of O2 at 600°C.

Page 103: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 4.4 Bulk Ge- Transistors

81

4.4.2.2 Electrical Characterization

As discussed before, the MOS C-V characteristics of the gate stack were

measured using a HP4275A LCR meter. Fig 4.11 shows the typical C-V characteris-

tics obtained on the n- and p- type Ge substrates. These measurements were corrected

for series resistance effects. Reduction of measured capacitance in accumulation was

observed due to the low doping in the substrates used. For a gate voltage sweep of 4V

a minimal hysteresis of 20mV was observed in the measured C-V characteristics

indicating that the interface did not exhibit any significant trapping and detrapping of

charge. FGA anneal reduces the gate leakage measured. This may be attributed to the

reduction of pinholes in the film as compared to the as-grown oxynitride. No humps

due to interface traps were observed in the C-V at lower frequencies indicating that the

interface quality is better than those reported before [4.21]. The inversion capacitance

at lower frequencies was measured to be equal to the oxide capacitance. This was

attributed to the high generation rate of the inversion carriers in the lightly doped Ge

substrate. This effect disappeared when the measurements were performed at lower

temperatures around 250K.

Page 104: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 4: Ge – channel MOSFETs

82

Figure 4. 11: Measured C-V on

!

GeOxNy /Ge capacitors at 250K.

Quasistatic measuremenst were also performed on these samples. This in-

volves applying a varying DC bias from inversion to accumulation at a slow rate.

Measurement of the current at these conditions is used to determine the low-frequency

capacitance of the structure.

!

CLF =Ig

dVg

dt

It is assumed that the rate of change of the gate voltage is slow so that all the

interface traps are in equilibrium with the gate voltage.

The interface trap density at the oxynitride-Ge interface was then estimated us-

ing the LF-HF method. The results of this analysis are shown in Fig. 4.12. Since this

method relies on the difference in two measured capacitances, the LF and the HF

which are significant in weak accumulation and depletion. Low interface trap density

of

!

" 3#1011cm

$2eV

$1 was extracted in the mid-gap region in both the substrates.

Page 105: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 4.4 Bulk Ge- Transistors

83

Figure 4. 12:

!

Dit

measured using quasi-static measurements for both PMOS and NMOS

surfaces.

Interface trap characteristics were also measured using the conductance

method described previously to corroborate the results obtained from the LF-HF

analysis. Recalling from the previous section, for the conductance model to be used, in

depletion the effective resistance for the carriers to the minority band must be very

high. In this experiment, the Ge substrates were lightly doped and intrinsically have a

smaller bandgap, leading to very high generation recombination rates for carriers,

which may be modeled as smaller resistance to the minority band. Due to this reason,

the model is valid only in a small part of the bandgap. Furthermore, the G-V meas-

urements were done at low temperatures, so that the conductance measured then may

be reliably ascribed to the interface traps. By measuring the G-V characteristics across

various temperatures, the interface trap density across the entire bandgap may be

estimated.

Page 106: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 4: Ge – channel MOSFETs

84

Figure 4. 13: Conductance measurements done at

!

GeOxNy /Ge interface at 250K

Figure 4. 14:

!

Dit

extracted with conductance methods (red) contrasted with the LF-HF

method.

Fig. 4.13 shows the

!

GP" #" characteristics obtained by performing G-V

measurements on the samples. An interface trap density of

!

" 4 #1011cm

$2eV

$1 was

extracted at mid-gap with a

!

" = 0.48ms. Fig. 4.14 compares the trap density extracted

with G-V method with the quasistatic method. Good agreement between the two

methods is obtained validating the interface trap density and suggests that the oxides

and oxy-nitrides provide for good passivation of the high surface states on a Ge

surface.

Page 107: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 4.4 Bulk Ge- Transistors

85

Figure 4. 15: Measured output characteristics of the PMOS and NMOS respectively

Figure 4. 16: Measured transfer characteristics of the PMOS and NMOS. The red curves are

the current measured at the source terminal while the black is the drain current.

4.4.3 Transistor Characterization

From the C-V measurement EOT of 15nm and 18nm were obtained on the n-

(PMOS) and p- (NMOS) substrates respectively. Transistors of gate lengths and

widths varying from

!

100µm to

!

2µm were fabricated. Fig 4.15 and 4.16 show typical

output characteristics (

!

IDS"V

DS) and transfer characteristics (

!

IDS"V

GS) of the PMOS

and the NMOS transistors fabricated respectively.

As observed from the transistor characteristics, the drain current is substan-

tially higher than the drain current during OFF state. This is attributed to the band-to-

Page 108: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 4: Ge – channel MOSFETs

86

band tunneling current discussed in Chapter 3 at the high field region near the drain

region. The high field effects in the NMOS are much reduced and will be discussed in

the next section.

Figure 4. 17: Split C-V data and the extracted inversion hole mobility in GeOxNy/Ge transis-

tors.

Split C-V measurements were used to measure the mobility of the inversion

carriers at the surface. Fig. 4.17-18 show the split C-V measurements done on the

PMOS and NMOS for a small drain bias of 50mV. 2-3X improvement was obtained

in the hole mobility in Ge as compared to the universal mobility in Si. The mobility of

electrons in the Ge however is shown to be on the order of the universal mobility of

electrons in Si. This discrepancy has been reported by other authors too in [4.2]. Many

reasons have been suggested as the cause for this degradation in the electron mobility;

however, there has been no conclusive evidence to justify any claim. Some of them

are

Page 109: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 4.4 Bulk Ge- Transistors

87

Figure 4. 18: Split C-V measurements done on large area NMOS transistors. Due to poor n+

S/D formation, the measurements needed to be corrected for series resistance. Extracted

electron mobility is plotted in (c).

1. Asymmetric density of states within the Ge bandgap – Presence of higher den-

sity of traps near the conduction band as compared to the valence band, which

leads to more trapped charge and hence lower mobility for electrons as op-

posed to holes in inversion. [4.21]

2. P-type dopant diffusion: Ga is used as a dopant in the Cz-grown p-Ge wafers.

The Ga may diffuse to the interface and trap charge there or reduce mobility

due to impurity scattering. [4.20]

Page 110: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 4: Ge – channel MOSFETs

88

3. Lower barriers with the gate dielectric: The barrier heights between the con-

duction bands of

!

GeOxNy and Ge are much lesser than the corresponding

barrier height at the

!

Si /SiO2 interface. This leads to larger dependence on sur-

face parameters and fixed charge within the dielectric, which leads to lower

mobility.

4.4.4 Source/Drain Characteristics

Figure 4. 19: Rectifying characteristics of the p+/n and the n+/p junctions in the PMOS and

NMOS respectively. High reverse bias leakage observed in the n+/p junction.

Fig. 4.19 depicts the diode characteristics of the S/D junction in the PMOS and

the NMOS. The diffusion current in the n+-p junction was much higher indicating that

the implant defects are not completely annealed on the sample. By measuring the

effective drive current in the MOSFETs, both n- and p- as a function of the gate

lengths, the sheet resistances in the S/D regions were calculated. The p+ S/D sheet

resistance was calculated to be

!

10" sq whereas that in the n+ S/D regions was

calculate to be

!

30" sq. Two different anneal conditions were used to study the effect

of n+ activation. Increasing the anneal temperature to 600°C leads to halving the sheet

resistivity in the S/D (Fig. 4.20).

Page 111: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 4.4 Bulk Ge- Transistors

89

4.4.5 Reason for higher OFF current in the NMOS

Figure 4. 20: Extracted sheet resistance for the n+ S/D region in the NMOS as a function of

activation anneal temperature.

When transfer characteristics were measured over various channel lengths and

widths, it was observed that the substrate currents were negligible in the NMOS

indicating that a parasitic path exists for the electrons from the drain to the source.

This can be attributed to a “bulk DIBL” on the lightly doped p-Ge substrate. This may

be caused due to bulk defects in the Ge crystal formed during the high temperature-

processing step. This effect can be mitigated, by doping the substrate suitably so that

the depletion region extends beyond these defects. These parasitic effects, however do

not affect the extracted parameters from the measured device characteristics, since the

error attributed to this current is less than 5% at strong inversion.

4.4.6 Effect of surface orientation on carrier mobility

NMOS and PMOS transistors using a similar process as described in Section

4.4.1 were also fabricated on lightly doped p- and n- type Ge (111) substrates. Good

output and transfer characteristics were obtained. Fig. 4.21 plots the inversion mobility

for both electrons and holes and contrasts them to the mobility obtained on (100)

oriented substrates. No difference in the measured mobility was observed in holes but

Page 112: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 4: Ge – channel MOSFETs

90

much reduced electron mobility at high inversion charge was obtained when measured

at room temperature. This indicated that the inversion mobility in the channel of these

Ge transistors was limited by the surface roughness. However, further information

may be obtained if the mobility was measured at low temperature where the carrier

transport is not phonon limited.

Figure 4. 21: Inversion electron and hole mobility on (111) Ge substrates contrasted to Ge

(100) substrates.

4.6 Summary Excellent PMOS and NMOS transistor operation was demonstrated by using a

!

GeOxNy layer to passivate the high density of interface states associated with cleaved

Ge surfaces. Low temperature C-V and G-V measurements were done on capacitors to

extract a low interface trap density of

!

4 " 5 #1011cm

"2eV

"1. High inversion carrier

mobilities were obtained for both electrons and holes at these surfaces. A ~2.5X

improvement in hole mobility over universal Si mobility was obtained. High electron

mobility was achieved too albeit less than universal Si, nevertheless the highest

reported so far. The effect of surface orientation on the carrier mobility was also

studied.

Page 113: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 4.7 References

91

4.7 References [4.1] Y. Liang, K. Gopalakrishnan, P.B. Griffin and J. D. Plummer, “ From DRAM to

SRAM with a Novel SiGe-based Negative Differential Resistance (NDR) De-

vice”, in IEEE IEDM Technical Digest, 2005.

[4.2] H. Shang, M. M. Frank, E. P. Gusev, J. O. Chu, S. W. Bedell, K. W. Guarini and

M. Ieong, “Germanium channel MOSFETs: opportunities and challenges”, in

IBM Journal of Research and Development, Vol. 50, No. 4/5, 2006, pp. 377-386.

[4.3] X. –J. Zhang, G. Xue, A. Agarwal, R. Tsu, M. –A. Hasan, J. E. Greene and A.

Rockett, “Thermal Desoprtion of Ultraviolet Ozone Oxidized Ge (001) for Sub-

strate Cleaning”, in Journal of Vacuum Science Technology A, Vol. 11, No.5,

1993, pp.2553.

[4.4] J. J. –H. Chen, N. Bojarczuk, H. Shang, M. Copel, J. Hannon, J. Karasinski, E.

Preisler, S. K. Bannerjee and S. Guha, “Ultrathin Al2O3 and HfO2 gate dielec-

tric on surface Nitrided Ge”, in IEEE Transactions on Electron Devices, Vol. 51,

2004, pp. 1441.

[4.5] E. P. Gusev, H. Shang, M. copel, M. Gribelyuk, C. D’Emic, P. Kozlowski and T.

Zabel, “Microstructure and Thernal Stability of HfO2 Gate Dielectric deposited

on Ge (100)”, in Applied Physics Letters, 85, 2004, pp. 2334-2337.

[4.6] J. P. Xu, P. T. Lai, C. X. Li, X. Zhou and C. L. Chan, “ Improved electrical

properties of Germanium MOS capacitors with gate dielectric grown in wet-NO

ambient”, in IEEE Electron Device Letters, Vol. 27, No. 6, 2006, pp. 439-441.

[4.7] N. Wu, Q. Zhang, C. Zhu, C. Yeo, S. J. Whang, S. S. H. Chan, M. F. Li, A. Chin,

D. L. Kwong, A. Y. Du, C. H. Tung and N. Balasubramanian, “Alternative Sur-

face Passivation on Germanium for Metal-Oxide-Semiconductor Applications

with High-k Gate Dielectric”, in Applied Physics Letters, 85, 2004, pp. 4127-

4129

Page 114: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 4: Ge – channel MOSFETs

92

[4.8] S. J. Whang, S. J. Lee, F. Gao, N. Wu, C. X. Zhu, J. Pan, L. J. Tang and D. L.

Kwong, “ Germanium p- and n- MOSFETs Fabricated with Novel Surface Pas-

sivation (Plasma PH3 and Thin AlN) and TaN/HfO2 Gate Stack”, in IEEE

IEDM Technical Digest, 2004, pp. 307-310.

[4.9] Z. H. Lu, “Air Stable Cl-Terminated Ge (111)”, in Applied Physics Letters, 68,

1996, pp. 520-522.

[4.10] G. W. Anderson, M. C. Hanf, P. r. Norton, Z. H. Lu and M. J. Graham, “ the S-

Passivation of Ge (100) – 1x1”, in Applied Physics Letters, 9, 1995, pp.1123-

1125.

[4.11] M. Cakmak, G. P. Srivastava and S. Ellialtioglu, “Adsorption of Te on Ge

(001): Density functional calculations”, in Physical Review B, 67, 2003, pp.

205314-205312.

[4.12] M. M. Frank, S. J. Koester, M. Coppel, J. A. Ott, V. K. Paruchuri and H. Shang,

“ Hafnium oxide gate dielectrics on sulfur-passivated germanium”, in Applied

Physics Letters, 89, 2005, 112905.

[4.13] M. Gothelid, G. LeLay, C. Wigren, M. Bjorkqvist and U. O. Karlsson, “Iodine

reaction and passivation of the Ge (111) surface”, in Surface Science, Vol. 371,

No. 2-3, 1997, pp. 264-276.

[4.14] K. Prabhakaran and T. Ogino, “ Oxidation of Ge (100) and Ge (111) surfaces:

and UPS and XPS study”, in Surface Science, 325, 1995, pp. 263-271.

[4.15] K. Prabhakaran and T. Ogino, “ Selective bond-breaking and bond-making in

oxynitride of Si and Ge: a case of chemical bond manipulation”, in Surface Sci-

ence, 1997, pp. L1068-1072.

[4.16] Y. Fukuda, T. Ueno, S. Hirono and S. Hashimotot, “ Electrical Characterization

of Germanium Oxide/Germanium Interface Prepared by Electron-Cyclotron-

Resonance Plasma Irradiation”, in Japanese Journal of Applied Physics, Vol. 44,

No. 9B, 2005, pp. 6981-6984.

Page 115: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 4.7 References

93

[4.17] Y. Fukuda, T. Ueno and S. Hirono, “Electrical Behavior of Germanium Oxide/

Germanium Interface Prepared by Electron-Cyclotron-Resonance Plasma Oxida-

tion in Capacitance and Conductance Measurements”, in Japanese Journal of

Applied Physics, Vol. 44, No. 11, 2005, pp. 7928-7930.

[4.18] E.H. Nicollian and J. R. Brews, “MOS(Metal Oxide Semiconductor) Physics

and Technology”, John Wiley & Sons, Inc., 2003, pp. 176-235.

[4.19] D. K. Schroder, “Semiconductor Material and Device Characterization”, Wiley

– IEEE Press, 2nd Edition, 2001.

[4.20] C. O. Chui, K. Gopalakrishnan, P. B. Griffin, J. D. Plummer and K. C. Saras-

wat, “Activation and diffusion studies of ion-implanted p and n dopants in

germanium”, in Applied Physics Letters, Vol. 83, 2003, pp. 3275-3277.

[4.21] C. O. Chui, F. Ito and K. C. Saraswat, “Nanoscale Germanium MOS Dielectrics

– Part I: Germanium oxynitrides.”, in IEEE Transactions on Electron Devices,

Vol. 53, No. 7, 2006, pp. 1501-1508.

Page 116: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 5: Ge – channel MOSFETs

94

This page is intentionally left blank

Page 117: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 5.1 Introduction

95

Chapter 5

Schottky Source/Drain Ge – channel p-

MOSFETs

5.1 Introduction The 2006 ITRS edition [5.1] identifies that the parasitic resistance in the S/D

regions of the conventional MOSFET as the primary problem of the non-scaling of

drive currents in transistor scaling. Various methods have been studied over the last

several years to mitigate the effects of this parasitic resistance. Replacing the S/D

regions of transistors with metals has been suggested as one of the techniques, which

might help reduce this effect. Y. Nishi in Japan and S. Sze in the USA invented the

Metal S/D MOSFET in 1968. [5.2-3] Use of the metal S/D offers additional advan-

tages of low-temperature processing for S/D formation, elimination of the parasitic

bipolar action and inherent physical scalability of the gate lengths due to the abrupt

silicide-silicon interface.

Page 118: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 5: Schottky Source/Drain Ge – channel p- MOSFETs

96

5.2 Schottky Barrier (SB) MOS – Device Operation

Figure 5. 1: Schematic of the SB-MOS illustrating several key parameters [5.2]

Figure 5. 2: Qualitative surface (s) and sub-surface (SS) band diagrams in a SBMOS (a) and a

conventional doped S/D PMOS (b) [5.4].

Page 119: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 5.2 Schottky Barrier (SB) MOS – Device Operation

97

In its simplest form the SBMOS is depicted in Figure 5.1 with the metal silicide

completely replacing the conventional impurity-doped S/D electrodes. The atomically

abrupt metal silicide extend laterally proximal to the gate electrode. The basic operat-

ing principles of a SB-PMOS device with a finite Schottky barrier (SB) are compared

with that of a conventional PMOS in figure 5.2. The band diagrams for the SB-NMOS

are mirror images of those shown in figure. For the SB-PMOS, the emitted current

density at the source is a sum of the current emission over the barrier (

!

Jth

) and the

emission through the barrier (thermionic field emission

!

J fe ). From classical emission

theory,

!

Jth = A"T2exp #

q$bkT

%

& '

(

) * exp

qV

kT

%

& '

(

) * #1

+ , -

. / 0

where

!

A" is the Richardson constant,

!

T is temperature,

!

"b the Schottky barrier

height and

!

V is the applied bias. The field emission is a complicated model and

involves accurate calculation of the e-fields in the region near the source. The band

profile of the region near the source at high fields may be modeled as a triangular

barrier. [5.11]

Figure 5. 3: Simulated current density at the triangular barrier at the Source-Channel end of a

SBMOS inversion layer. Drive currents drop exponentially with increase in the barrier height

at the source junction [5.11]

Page 120: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 5: Schottky Source/Drain Ge – channel p- MOSFETs

98

Fig. 5.3 shows the

!

J fe as a function of the electric field and barrier height. This

result explains the sensitivity of the ON-state performance to the barrier height and

highlighting the need to achieve low barriers on the metal-semiconductor interface.

The OFF current in a bulk SB-MOSFET is largely limited by the thermionic emission

current across the large S/D area in the structure. Use of thin body structures reduces

the effective source/drain area and hence can reduce the off leakage.

SBCMOS circuits require complementary performing SB-NMOS and SB-

PMOS devices. Either using a mid-gap silicide or using complementary silicides

accomplishes this. The mid-gap devices usually suffer from low saturation currents in

both the n- and the p- MOS and high OFF state current due to high GIDL. In the case

of Si,

!

PtSi gives low barrier heights of ~0.15-0.27eV to the valence band [5.5],

whereas the rare earth metal silicides like

!

ErSix and

!

YbSix form low barrier heights of

~0.27-0.36eV to the Si conduction band. [5.6, 5.7, 5.8]

Figure 5. 4: Transfer Characteristics of PtSi-PMOS (a) and YbSi- NMOS (b) Schottky

Source/Drain transistors. [5.4][5.6]

Page 121: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 5.2 Schottky Barrier (SB) MOS – Device Operation

99

Figure 5. 5: Profile of the lowest sub-band in thin film quantized structures for barrier heights

of 0.1eV, 0eV and -46meV. The barrier height at the source-channel junction is increased

from -46meV to 0meV due to quantization in (c). [5.9]

When ballistic transport in the channel is considered in a double gate structure,

the tunnel barrier at the metal-semiconductor interface limits the ON current in SB-

MOSFETs with positive SB heights. The effective SB height in thin-body SOI is

(c)

Page 122: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 5: Schottky Source/Drain Ge – channel p- MOSFETs

100

higher than that of the bulk case due to quantum confinement in the direction normal

to the channel. However, it was noted that even when the SB height is zero, tunneling

from the source still limits the current in the SB-MOSFETs. [5.9] Fig 5.5 shows the

band diagram in the confined structures for three SB at the source 0.1eV, 0eV and -

46meV at which point the barrier height is increased to zero because of quantization in

the channel, as the gate is biased from OFF state into strong inversion.

Figure 5. 6: Device structures compared in [5.10] to study the efficacy of replacing doped

semiconductor regions with metal for sub-20nm nodes and beyond.

Since intrinsically the SB device will perform better only with a negative bar-

rier at the source, practical limits were now imposed on the design of conventional

S/D MOSFETs and the advantages of the SB in the S/D was studied in [5.10]. Fig. 5.6

exhibits the different device architectures that were compared. Fully flared S/D

regions were assumed heavily doped to

!

2 "1020cm

#3 with a wrapped around contact

with a specific contact resistivity of

!

1"10#8$cm

#2 . The off current is limited by the

tunneling of holes at the drain end of the channel, which is more at the surface than at

the bulk. Hence the OFF current does not decrease drastically with changing the film

thickness. The

!

ION

and

!

IOFF

are less sensitive to changes in the film thickness in the

metal S/D case as opposed to the conventional one. To effectively compare the two

device structures, Xiong et al., compare the performance advantages based on a fixed

Page 123: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 5.3 The Metal-Semiconductor Junction

101

set of design rules and minimum fringing capacitance. Fig. 5.7 plots the minimum

barrier height required at the metal-semiconductor junction for the SB device to

perform better than a conventional doped device with similar body thickness. From the

figure, it is clear that barrier height of ~100meV would be required at sub-25nm gate

dimensions in Si for the SB devices to be competitive to the conventional doped S/D

devices.

Figure 5. 7: Performance comparison between doped S/D and SB-MOSFETs. The filled

circles indicate the maximum BH that can be tolerated at the source-channel junction below,

which the SB-MOSFETs performs better than the doped S/D device for the same film thick-

ness. [5.10]

5.3 The Metal-Semiconductor Junction Almost all metal-semiconductor contacts exhibit rectifying behavior, which

was explained by Schottky in 1938 by a depletion layer at the semiconductor side of

the junction. The band lineup between the materials at the interface leads to a finite

barrier height at the majority band. Bardeen [5.12] first realized the existence of

surface states within the bandgap of the semiconductor at an abrupt and defect free

Page 124: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 5: Schottky Source/Drain Ge – channel p- MOSFETs

102

Schottky contact. At clean metal interfaces, the wavefunctions of the electrons expo-

nentially decay into the vacuum. Replacing the vacuum with a dielectric- the

semiconductor in this case, the wavefunctions becomes more complicated. The tails of

the metal workfunctions into the semiconductor decay into the semiconductor and

induce states within the bandgap and are referred to as Metal-Induced Gap States

(MIGS), an intrinsic property of the semiconductor.

Figure 5. 8 types of states at the Schottky interface (1) bulk-like states in both materials (2)

semiconductor states decaying into metal (3) localized interface states (4) Metal states

decaying into the semiconductor. [5.13]

Figure 5. 9: Barrier height pinning at laterally homogenous silicide-Si Schottky barriers [5.13]

Page 125: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 5.3 The Metal-Semiconductor Junction

103

The precise position of the Fermi level within the bandgap and thus the barrier

height depends on the occupation of the continuum of these states. The charge transfer

across the interface is driven by the difference in the electro negativities between the

metal and the semiconductor. Assuming, a uniform density of states within the band-

gap, the net charge in the MIGS may be expressed as,

!

Qgs

mi = qDgs

mi"Bn #"bp

n( )

where

!

"Bn

is the SB height. Since the charge transfer at the interface depends

on the electro negativity difference between the metal and the semiconductor, if the

difference is zero, then the barrier height will be determined by the branch point in the

MIGS that is represented as

!

"bp

n also referred to as the charge-neutrality level (CNL)

[5.13]. Fig 5.8 attached shows the charge transfer and the observed relationship of the

barrier height varying as a function of the electro negativity difference is plotted in Fig

5.9. This chemical trend can be expressed as

!

"bn ="bp

n + SX #m $ # s( )

Similarly for the barrier height to holes,

!

"bp ="bp

p# SX $m # $ s( )

where

!

SX

, the slope parameter that is given by

!

SX

= d"bnd#

m

both of which are characteristics of the semiconductor. The slope parameters are

determined by the dielectric constant, the width of the interfacial layer and the density

of the MIGS at their charge neutrality level (CNL). The thickness of the interfacial

layer may be approximated as the decay length of the MIG states at the CNL. Since

the MIG state density depends on the bandgap of the semiconductor, reducing the

band gap leads to reduction of the slope parameter i.e. insensitivity of the SB height to

the electro negativity difference [5.13].

Adatoms at the semiconductor interface may induce surface states within the

bandgap of the semiconductor [5.13]. Defects if any present at the interface may

Page 126: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 5: Schottky Source/Drain Ge – channel p- MOSFETs

104

become charged and would hence affect the charge neutrality condition at the interface

leading to a break in the continuum of the MIGS.

5.3.1 Methods of engineering BH

Various methods have been discussed to engineer the interface of the semicon-

ductors so that the pinning of the Fermi level at the surface is reduced. Hence smaller

SBH may be achieved on the semiconductor by using metals with appropriate electro

negativity.

5.3.1.1 Interfacial Layer

Figure 5. 10: Barrier heights engineering with a nitride interfacial layer [5.14].

Sobolewski et al. in [5.14] have been able to achieve reduced SB heights by

using thin interfacial layers of silicon nitride between the metal and Si. The BH on n-

type Si increases with nitride thickness. The authors attribute it to increased fixed

Page 127: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 5.3 The Metal-Semiconductor Junction

105

charge in the films. Connelly et al. in [5.15] observed that it is easier to vary the SB

height by varying the electronegativity of the metal at the metal-

!

Si3N4-Si contacts as

opposed to metal-Si contacts. As discussed before this has been attributed to the

reduction in the pining effect due to the increase in the bandgap of the interface from

Si to SiO2. Hence by optimizing the thickness of the insulator at the interface, the

tunneling resistance across the insulator can be traded with the increase in the field

emission at the SB due to the reduction in the SBH.

Figure 5. 11: Measured Al contacts on n+ Si show a clear minimum. As interfacial treatment

is increased, resistance drops sharply as the gap states are blocked and the Fermi level is

liberated. A further increase in the interfacial thickness, however, results in increased resis-

tance due to blocking of free carriers. [5.15]

5.3.1.2 Adatom Passivation

Ab-initio simulations done on Si and Ge surfaces by various authors [5.13] in-

dicate the existence of surface states within the bandgap at the surface. Broken bonds

at the surface can be used to explain the surface states at the surface. When larger

elements with more valence electrons may be adsorbed on the surface, then they are

able to satisfy the dangling bonds and hence reduce the surface states on the surface.

Large group VI atoms like Se and Te have been shown to effectively reduce the

surface states. Tao and co-workers [5.16] have shown that these adsorbents continue

Page 128: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 5: Schottky Source/Drain Ge – channel p- MOSFETs

106

to “unpin” the SBH at Schottky contacts. Fig 5.12 shows the change in the BH with

the coverage of the Se at an Mg/n-Si Schottky interface.

Figure 5. 12: Measured I-V characteristics at the Mg/n-Si contact with a passivating Se layer

followed by an anneal in N2 for 30s. [5.16]

5.3.2 Experiments

To investigate technologies to achieve Schottky contacts on Ge, we need to

characterize the barrier heights of metals on Ge. Lightly doped n- and p- type sub-

strates (~

!

1014"10

15cm

"3) were used for the experiments. A stack of RTP

!

GeOxNy

described in the Chapter 4 and CVD

!

SiO2 deposited at 400°C was used for field

isolation. After the field areas were patterned, the LTO and the

!

GeOxNy was etched in

a 20:1 Buffered Oxide Etch solution. The resist was then removed in an oxygen

plasma followed by a wet etch in PRX-127. The wafers were then cleaned in a 2%

solution of HF and DI and then loaded in an evaporation chamber and pumped down

to a base pressure of

!

2 " 3#10"7Torr after which the metals were evaporated. This

was followed by metal lithography. Nitrogen anneals were then performed on these

samples. I-V and C-V measurements were done to estimate the SBH on Ge.

Page 129: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 5.3 The Metal-Semiconductor Junction

107

Figure 5. 13: Measured I-V characteristics at the NiGe/Ge Schottky diodes on lightly doped n-

and p- Ge substrates

Fig 5.13 shows the I-V data measured across Schottky contacts of Ni to n- and

p- Ge. NiGe forms a near ohmic contact to p-Ge whereas excellent rectifying charac-

teristics are obtained to n-Ge. This suggests that the barrier height of NiGe to Ge may

be closer to the valence band than to the conduction band in Ge. Recalling the expres-

sion for thermionic emission over the top of the barrier at a Schottky contact,

!

Jth = A"T2exp #

q$bkT

%

& '

(

) * exp

qV

kT

%

& '

(

) * #1

+ , -

. / 0

where

!

"n is the SBH to the conduction band and

!

V is the applied voltage across the

Schottky diode. The plot of

!

lnJ0

T2

" # $

% & ' with

!

1T

is a straight line from which the barrier

height may be estimated. Furthermore, by measuring the I-V characteristics of the

diodes at different temperatures, the BH may be estimated along with the pre-

exponential constant, the effective Richardson constant. Fig 5.14 shows the extraction

of the SBH by measuring the I-V for a particular set of devices. An effective

!

"Bn

= 0.56eV was extracted from the fit. C-V data was also used to confirm the BH

obtained from the J-V analysis.

Page 130: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 5: Schottky Source/Drain Ge – channel p- MOSFETs

108

Figure 5. 14: I-V characteristics at the NiGe/n-Ge at various temperatures (a). The Arhennius

relation for thermionic emission is plotted and the barrier height is calculated from the slope of

graph in (b)

Figure 5. 15: Measured I-V characteristics at the NiGe/n-Ge Schottky diode and the XRD

spectrum associated with the germanides formed for various annealing temperatures

The effect of anneals on the SBH of NiGe on n-Ge were also studied, Fig. 5.14

shows the XRD data from the analysis of the NiGe samples fabricated [5.17]. It is

clear from the XRD data that the NiGe is preferentially formed when the Schottky

contact is annealed at higher temperatures. The I-V characteristics of diodes from the

Page 131: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 5.3 The Metal-Semiconductor Junction

109

various samples are shown in Fig 5.15. As observed from this figure, an RTA at

400°C for 2min was sufficient to form the NiGe phase that gives low BH to the Ge

valence band and hence may prove as a suitable candidate for Schottky S/D PMOS on

Ge.

Other metals like Ti and Co were also deposited on the Ge wafers and Schot-

tky diodes were formed. Fig. 5.16 shows the I-V characteristics of the different metal

Schottky contacts on n-Ge. It is found that good rectifying characteristics on n-Ge

were obtained in all cases. The extracted SBH are plotted as a function of the vacuum

electron work function of the metals in [5.18]. From Fig 5.17, it is apparent that the

pinning effect is more severe on the Ge surface than the Si surface. However, the CNL

is placed at around 50meV above the valence band in Ge. Thus it is possible to obtain

BH less than 100meV for holes in Ge making the SB on Ge a competitive technology

to regular doped S/D transistors. Similar results were shown in [5.18]. Since

!

NiSi is

the silicide material used in standard CMOS technology, technology for NiGe was

developed for use as Schottky S/D in Ge PMOS.

Figure 5. 16: Measured I-V characteristics at CoGe/Ge and TiGe/Ge Schottky diodes on n-

and p- Ge. Rectifying characteristics observed on n-type Ge. Ohmic characteristics observed

on lightly doped p-type Ge

Page 132: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 5: Schottky Source/Drain Ge – channel p- MOSFETs

110

Figure 5. 17: Fermi level pinning at the Metal-Ge interface. The CNL at the Ge surface is

~50meV away from the valence band. A pinning factor of 0.05 is measured from the above

graph. [5.18]

5.4 Schottky S/D Ge PMOS

5.4.1 Process Flow

The process flow was similar to the Ge CMOS flow discussed in Section 4.4.1.

After the gate stack was etched, 50-100nm LTO spacers were formed around the gate

to isolate the gate from the S/D germanides after its formation. 100nm of Ni was then

sputtered on the wafers in an SCT Sputter system in Ar plasma at a base pressure of

!

3.5 "10#7Torr . The wafers were then immediately loaded in the AG4108 RTP system

and were annealed to 450°C in

!

N2 to form the NiGe. Typically when forming

!

NiSi in

the S/D, unreacted Ni is etched in a highly oxidizing environment of

!

H2SO

4/H

2O2 at

120°C. However, this would etch the Ge rapidly, hence concentrated

!

HCl was used to

etch the unreacted Ni from the Ge substrates. CVD

!

SiO2 was used as an interlayer

dielectric, which was deposited at 300°C to minimize the diffusion of Ni in the Ge

Page 133: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 5.4 Schottky S/D Ge PMOS

111

substrates. Al was used for metallization followed by an FGA at 300°C for 30min. A

schematic of the final device obtained is shown in Fig. 5.18.

Figure 5. 18: Schematic of the bulk Ge Schottky S/D transistor

5.4.2 Device Results

Figure 5. 19: Measured output characteristics of the NiGe Schottky S/D bulk Ge transistor.

Red and blue are for source and drain currents respectively.

Good working Ge Schottky S/D transistors were obtained on bulk Ge wafers.

The transfer and the output characteristics of a typical device are shown in Fig.5.19

and 5.20 (a) It should be noted that the

!

IDS"V

DScharacteristics do not exhibit the

conventional Schottky turn on in the linear region, indicating that a low barrier height

Page 134: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 5: Schottky Source/Drain Ge – channel p- MOSFETs

112

to the minority carrier band was obtained. The OFF state current in these transistors

was substantially higher than devices with doped S/D regions. The OFF current in this

case is limited by the thermionic emission over the barrier to the substrate and deter-

mined by the area of the S/D regions. Reducing the S/D area led to reduction in the

OFF current. The substrate current is completely dominated by this thermionic emis-

sion current. Slightly degraded rectifying characteristics of the S/D were observed on

these wafers than the ones obtained from the Schottky contacts discussed in the

previous section, which was attributed to the diffusion of the Ni species into the

substrate during subsequent processing after the formation of the S/D germanides

regions.

Figure 5. 20: Transfer characteristics of the NiGe S/D MOSFETs. The high OFF current

measured is due to the high reverse-bias leakage at the drain Schottky diode.

Page 135: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 5.5 Si – Ge Heterostructure – Growth and Device Properties

113

As seen from the above results, reducing the effective area of contact between

the Ge channel and the NiGe S/D would result in reduced OFF state. One method to

achieve this is the use of a thin strained Ge layer on Si, which would guarantee high

mobility without the expense of high leakage. This device will be explained in detail

in the next section.

5.5 Si – Ge Heterostructure – Growth and Device

Properties

Figure 5. 21: Pseudomorphic Layer growth on Si substrates. Typical relaxation in these layers

occurs through dislocations [5.19]

A 4.2% lattice mismatch exists between Ge and Si, with the Ge lattice being

bigger. The lattice constants of unstrained

!

Si1"xGex alloys are also larger than Si, with

the difference in the lattice constants depending on the concentration of Ge in the

alloy. If an epitaxial layer of the alloy is grown on a Si substrate, a lattice mismatch

exists between the layer and the substrate. If the layer is sufficiently thin, the growth is

coherent and the layer is pseudomorphic. The layer is compressed in the lateral

Page 136: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 5: Schottky Source/Drain Ge – channel p- MOSFETs

114

direction and expanded in the vertical, the ratio given by the Poisson ratio of the

material in the layer. The strain the layer is homogenous and is known as misfit-strain.

If the thickness of the layer is too large for a large lattice mismatch, the strain energy

is increased. This causes dislocations in the grown crystal, which are referred to as

misfit dislocations. Fig. 5.22 explains the origin of these misfit locations in epitaxially

grown crystal lattices.

However, if a cap Si is grown on top of the strained

!

Si1"xGex layer, the stability

of the strained layer increases. The onset of dislocations and strain relaxation are

delayed, they occur at larger thicknesses or higher temperatures.

Figure 5. 22: Critical layer thickness of SiGe grown pseudomorphically on Si [5.19]

Due to these effects, there exists a maximum thickness of the strained layer

when the strain energy in the epitaxial layer is equal to the energy released in the

formation of the misfit dislocations. This thickness is known as the critical thickness.

Fig shows the critical thickness of strained

!

Si1"xGex layers that are grown on relaxed

Si substrates. The critical thickness reduced exponentially with increasing concentra-

tion of Ge in the epitaxial layer and with increasing temperatures. Because of the

previously stated argument, Si capped layer typically exhibit larger critical thickness

values. For a

!

Si1"xGex /Si system, the critical thickness

!

hcnm( ) is given by

Page 137: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 5.5 Si – Ge Heterostructure – Growth and Device Properties

115

!

hc

=0.55

xln 10h

c( )

Keeping the growth temperatures low ensures that the dislocation energy is

kept minimum and hence coherent layers may be grown at those temperatures.

Over the last ten years, lot of work has been done on high mobility transistors

fabricated on strained-SiGe alloys with high Ge concentration. P-MOSFETs in

strained-

!

Si0.17Ge

0.83 were fabricated in [5.20] which were grown on a relaxed-

!

Si0.52Ge

0.48 buffer layer. A 5nm Si cap was used to retain the excellent interface

properties of the

!

Si /SiO2 interface. The mobility characteristics are shown in Fig.

5.24. A 4X improvement over Si hole mobility is achieved. Strained-Ge p-MOSFETs

fabricated using local condensation technique [5.21, 5.22] on a SiGe layer grown on

SOI, exhibit peak mobility in the excess of 10X compared to Si. The final GOI sub-

strate had a thickness of 25nm, a Ge fraction of 93% and a strain of 1.3%. The

mobility enhancement in these layers decreases sharply at higher E-fields. This was

attributed to increased surface roughness due to partial relaxation at the strain. Further

improvement may be expected with improvements in the gate interface. The

!

Si1"xGex /SiO2

exhibits high

!

Ditand hence may not be the best interface on high

concentration Ge –substrates. P-MOSFETs fabricated on pseudomorphic

!

Si /Si0.64Ge

0.36 grown on Si substrates in [5.23]. The thickness of the Si cap layer was

varied to study its effects on the inversion mobility. The devices with thicker Si

exhibited higher peak mobility but lower mobility enhancement at higher E-field due

to charge sharing with the capping Si layer. The lower mobility is the thin cap Si

devices was attributed to increased coulomb scattering due to interface states and

increased surface roughness. Similar improvements in mobility were observed in

[5.24, 5.25, 5.26] Improvements in the channel mobility were observed even in the

presence of high-k materials like

!

HfO2.

Page 138: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 5: Schottky Source/Drain Ge – channel p- MOSFETs

116

Figure 5. 23: Measured inversion mobility for holes [5.21]

Figure 5. 24: Inversion hole mobility as a function of the Si cap layer on SiGe channels [5.23]

Krishnamohan and co workers have studied the scaling properties of such

structures for application in high-speed logic circuits. [5.27, 5.28] the authors report a

maximum hole mobility improvement of 4X in 80% SiGe channel grown on thin SOI

substrates. Higher hole mobility was also obtained by epitaxially growing a biaxially

strained Ge layer on Si substrates. The structure also offers additional advantage of

low band-to-band tunneling limited OFF current. Fig. 5.25 shows the device in its

Page 139: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 5.5 Si – Ge Heterostructure – Growth and Device Properties

117

OFF state. Because of the use of the cap Si layer, the high surface E-fields present in a

MOSFET at high drain biases are localized in the Si as opposed to being in the chan-

nel containing material, namely strained Ge. Since Si has a higher bandgap than Ge,

the BTBT in this device is shown to be significantly lower than a surface Ge device.

Figure 5. 25: The high E-field in the OFF state is located in the wider band gap Si and hence

exhibits reduced BTBT leakage than bulk Ge [5.27]

5.5.1 Previous Work on High Mobility Schottky S/D MOSFETs

As discussed before, the drive currents in most Schottky S/D transistors built on

Si substrates is limited by the finite barrier height between the source and the channel.

Kinoshita et al. disclosed a high performance 50-nm-gate length SB-NMOS device

using approximately 10-nm-thick interfacial dopant layer between the source and

channel. This layer is formed by the dopant segregation effect at the

!

NiSi /Si interface

[5.29,5.30]. Simulations done in [5.32] indicate that the Fermi level pinning at metal-

Si interface may be changed by application of strain to the substrate and simultane-

ously improve the drive current. The reduction in the drive due to the finite barrier

hence may be offset by using a channel material with high mobility. Ikeda et al.

reported high drive currents using a SiGe channel for a PMOS [5.32]. Schottky

transistors similar to those discussed in section 5.4 were reported in [5.33]. However,

Page 140: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 5: Schottky Source/Drain Ge – channel p- MOSFETs

118

these high mobility channel transistors typically exhibit very high OFF state leakage.

Employing techniques from [5.27] and replacing the S/D regions with metal allow for

the best PMOS performance with high drive currents due to the both the higher

channel mobility and low barrier height and low OFF state leakage.

5.5.1 Experimental Details

Figure 5. 26: Schematic of the device with a Si/Ge/Si heterostructure channel. (b) shows the

TEM micrograph of the deposited heterostructure

Fig. 5.26 shows the schematic of the device that was fabricated along with the

band structure in the gate direction. Strained-Ge was epitaxially grown on lightly

doped n-Si substrate and capped with a thin Si layer. Dichlorosilane and germane were

used as deposition gases. The deposition pressure was kept at 10mT. A pre deposition

H2 bake was done at 950°C for 10min to remove any native oxides. The Ge deposition

temperature was kept low between 350°-400°C. Fig. 5.26 shows a sample TEM of the

!

Si /Ge /Si stack. After epitaxial growth, the wafers were loaded into a CVD furnace

and 20nm of

!

SiO2 was deposited to form the gate insulator followed by ~400nm of

!

Si0.4Ge

0.6 to form the gate electrode. The gate electrode was implanted with BF2 and

annealed in N2 at 450°C for 30min. Gate patterning was followed with formation of

Page 141: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 5.5 Si – Ge Heterostructure – Growth and Device Properties

119

LTO spacers along the edges. 100nm of Ni was then sputtered onto these wafers in a

SCT sputter tool followed by a RTA at 450°C for 1min to form the

!

NiSi . The unre-

acted Ni was removed in a concentrated

!

HCl bath maintained at room temperature.

300nm of

!

SiO2 was then deposited at 300°C to form the intermetal dielectric. Al pads

were then formed followed by an anneal in forming gas at 350°C for 45min.

5.5.2 Device Measurement and discussion

Figure 5. 27: Band diagram perpendicular to the gate interface.

As shown in Fig. 5.27 the Ge has an offset in the valence band. Hence the Ge

layer will accumulate before the Si at the surface would. This leads to a shift in the

threshold voltage and appears as a knee on the C-V characteristics of the gate stack.

The C-V characteristic of a typical

!

Si /Ge /Si stacks have been compared to the

control Si for illustrative purposes. As the thickness of the cap Si, is varied the

!

VT

and

the knee of the C-V shift as shown in Fig. 5.28. Si-Ge interdiffusion occurs during

subsequent thermal processing after the epitaxial layers are grown.

Fig. 5.29 depicts the output characteristics of the heterostructure - channel de-

vice and the control Si MOSFETs. Due to the high barrier to holes at the NiSi/Si

interface, Schottky diodes like characteristics were observed in the linear regime in the

control Si sample. Poor drive currents were obtained in the Si devices, due to this high

Page 142: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 5: Schottky Source/Drain Ge – channel p- MOSFETs

120

barrier which limits the ON current. No such phenomena were observed on the

Si/Ge/Si channel device indicating that a low ΦBp to the holes in the inversion channel

was achieved at the NiSi-channel interface.

Figure 5. 28: Sample C-V measurements done on the heterostructure gate stack.

Figure 5. 29: Output characteristics on the Si/Ge/Si channel and the control Si channel

Schottky S/D PMOSFETs

Page 143: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 5.5 Si – Ge Heterostructure – Growth and Device Properties

121

Figure 5. 30: IS-VG characteristics of Si/Ge/Si heterostructure channel Schottky S/D MOS-

FETs. Increasing TGe at fixed TCapSi causes VT shifts. However, when TcapSi increases for fixed

TGe, inversion is localized in Si with high

!

"Bp and hence ON current drops exponentially.

Fig. 5.30 plots the transfer characteristics in the Si/Ge/Si channel devices ob-

tained for fixed Ge- channel thickness (TGe) and cap-Si thicknesses (TCapSi) contrasted

against the control Si device. All the heterostructure channel devices exhibit higher

drive currents. Changing the TGe leads to a VT shifts observed in the IS-VG characteris-

Page 144: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 5: Schottky Source/Drain Ge – channel p- MOSFETs

122

tics. Increasing TCapSi, while maintaining the same Ge-channel thickness leads to

exponential drop in the drive currents. This occurs due to the channel becoming more

localized in the cap-Si layer, which has a higher ΦBp to the holes compared to the Ge

channel. Fig. 5.31 depicts the inversion hole mobilities extracted from these devices

using the split-CV technique, as a function of TGe and TCapSi respectively. An optimal

thickness exists in both cases. Increasing TCapSi relative to TGe results in the inversion

charge being increasingly localized in the Si region leading to lower mobility. Due to

the 4% lattice mismatch between Ge and Si, increasing TGe greater than the critical

thickness results in a defective Ge layer, which exhibits lower mobility. Reducing the

TCapSi however leads to increased Ge concentration near the Si/SiO2 gate interface,

which causes higher

!

Dit and hence reduced mobility.

Figure 5. 31: Inversion hole mobility measured using split C-V technique for fixed TGe (a) and

fixed TCapSi (b)

The mobility is plotted as a function of the cap Si thickness. A peak mobility

of

!

350cm2V

"1s"1 was obtained. Maximum improvements of ~2X are seen in mobility

over the universal case.

Fig. 5.32 contrasts the switching characteristics of Schottky S/D PMOSFETs

built with different channel materials. Si devices show low drive currents due to the

high ΦBp at the Schottky Source-channel interface. These devices exhibit very low

Page 145: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 5.5 Si – Ge Heterostructure – Growth and Device Properties

123

OFF currents due to the higher bandgap of Si, which provides a higher barrier to the

back-injection of electrons. NiGe – S/D transistors with bulk Ge channels exhibit high

drive currents due to the very small barrier between the holes in the channel and the

NiGe. However these devices exhibit higher OFF currents due to the small barrier to

electrons as well due to the small bandgap and hence increased back injection. Em-

ploying a thin Ge-channel near the gate interface of the Si MOSFET provides for high

drive current due to both the low barrier at the Source-channel interface and the higher

mobility of holes in Ge. The OFF state however, is limited by the large bandgap Si

substrate, which has a large barrier to electrons and increased bandgap due to quantum

mechanical confinement. Higher drive currents can hence be achieved without the

huge OFF current penalty.

Figure 5. 32: Schottky S/D transistors with varying channel materials. The Si/Ge/Si channel

provides the high drive current due to high mobility and low

!

"Bp of Ge-channel MOSFETs

and the low OFF current due to the high

!

"Bn

in Si-channel Schottky S/D MOSFETs

Page 146: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 5: Schottky Source/Drain Ge – channel p- MOSFETs

124

5.6 Summary Due to the small barrier height to holes coupled with the high inversion

hole mobility, Schottky S/D Ge transistors provide for very high drive currents. It was

shown that the Fermi level at Metal-Ge Schottky barriers was pinned near the valence

band of Ge. Excellent PMOS characteristics were achieved when the doped S/D

region in Ge transistors was replaced with metallic NiGe. Using a thin Ge layer within

the inversion region of a Schottky Si – PMOSFET provides for higher hole mobility

(~2X) and much higher drive currents due to almost zero barrier height to holes in the

channel. Also the OFF state leakage is maintained at a low value because it is limited

by the large barrier height in the wider bandgap Si and Ge quantization. The transistor

hence, combines the advantages of high mobility, and low parasitic resistance and is

an attractive candidate for scaling PMOSFETs into the sub-20nm regime.

5.7 References [5.1] International Roadmap for Semiconductors, 2006 Edition, SIA 2006

[5.2] Y. Nishi, “Insulated gate field effect transistor and its manufacturing method”,

Patent 587527, 1970.

[5.3] M.P. Lepselter and S.M. Sze, “SB-IGFET: An Insulated-Gate Field-Effect

transistor using Schottky Barrier contacts as Source and Drain”, in Proceed-

ings of the IEEE, Vol. 56, 1968, pp. 1088.

[5.4] J.M Larson and J.P. Snyder , “Overview and Status of Metal S/D Schottky-

Barrier MOSFET Technology”, in IEEE Transactions on Electron Devices, Vol.

53, No. 5, May 2006, pp. 1048-1058.

[5.5] E. Dubois and G. Larrieu, “Low Schottky barrier source/drain for advanced

MOS architecture: device design and material considerations”, in Solid-State

Electronics, 46, 2002, pp. 997-1004.

Page 147: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 5.7 References

125

[5.6] S. Zhu et al., “N-Type Schottky Barrier Source/Drain MOSFET using Ytterbium

Silicide”, in IEEE Electron Device Letters, Vol. 25, No. 8, 2004, pp. 565-567.

[5.7] S. Zhu et al., “Schottky-Barrier S/D MOSFETs with High-K Gate Dielectrics

and Metal-Gate Electrode”, in IEEE Electron Device Letters, Vol. 25, No. 5,

2004, pp. 268-270.

[5.8] M. Jang, Y. Kim, J. Shin and S. Lee, “Characterization of Erbium-Silicided

Schottky Diode Junction”, in IEEE Electron Device Letters, Vol.26, No. 6, 2005,

pp. 354-356.

[5.9] J. Guo and M. S. Lundstrom, “A Computational Study of Thin-Body, Double-

Gate, Schottky Barrier MOSFETs”, in IEEE Transactions on Electron Devices,

Vol. 49, No. 11, 2002, pp. 1897-1902.

[5.10] S. Xiong, T. –J. King and J. Bokor, “A Comparison Study of Symmetric

Ultrathin-Body Double-Gate Devices With Metal Source/Drain and Doped

Source/Drain”, in IEEE Transactions on Electron Devices, Vol. 52, No. 8, 2005,

pp. 1859-1867.

[5.11] B. Winstead and U. Ravioli, “ Simulation of Schottky Barrier MOSFET’s with

a Coupled Quantum Injection/ Monte Carlo Technique”, in IEEE Transactions

on Electron Devices, Vol. 47, No. 6, 2000, pp. 1241-1246.

[5.12] J. Bardeen, “Surface States and Rectification at a Metal-Semiconductor Con-

tact”, in Physical Reviews, Vol. 71, 1947, pp. 717-727.

[5.13] W. Monch, “Semiconductor Surfaces and Interfaces”, Third Edition Springer

Verlag Berlin Heidelberg New York, 2001.

[5.14] M.A. Sobolewski and C. R. Helms, “Properties of ultrathin thermal nitrides in

silicon Schottky barrier structures”, in Applied Physics Letters, 54 (7), 1989, pp.

638-640.

[5.15] D. Connelly, C. Faulkner, P.A. Clifton and D. E. Grupp, “Fermi-level depin-

ning for low-barrier Schottky source/drain transistors”, in Applied Physics

Letters, 88, 2006, pp. 012105-07.

Page 148: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 5: Schottky Source/Drain Ge – channel p- MOSFETs

126

[5.16] M. Tao, S. Agarwal, D. Udeshi, N. Basit, E. Maldonado and W. P. Kirk, “Low

Schottky barriers on n-type silicon (001)”, in Applied Physics Letters, 83, No.

13, pp. 2593-2595.

[5.17] S. Zhu and A. Nakajima, “Annealing Temperature Dependence on Nickel-

Germanium Solid-State Reaction”, in Japanese Journal of Applied Physics, Vol.

44, No. 24, 2005, pp. L753-L755.

[5.18] A. Dimoulas, P. Tsipas and A. Sotiropoulos, “Fermi-level pinning and charge

neutrality level in germanium”, in Applied Physics Letters, 89, 2006, 252110

[5.19] S.C. Jain and M. Willander, “Silicon-Germanium Strained Layers and Het-

erostructures”, Vol. 74, Elsevier Inc., 2003, pp. 9-40.

[5.20] G. Hock et al., “High hole mobility in Si0.17Ge0.83 channel metal-oxide-

semiconductor field effect transistors grown by plasma-enhanced chemical vapor

deposition”, in Applied Physics Letters, Vol. 76, Iss. 26, 2000, pp. 3920-3922.

[5.21] T. Tezuka, N. Sugiyama, T. Mizuno and S. Takagi, “ High-performance

strained Si-on-insulator MOSFETs by novel fabrication process utilizing Ge-

condensation technique”, in Symposium VLSI Technology Digest, 2002, pp. 156-

157.

[5.22] T. Mizuno et al., “High-Performance Strained-SOI CMOS Devices Using Thin

Film SiGe-on –Insulator Technology”, in IEEE Transactions on Electron De-

vices, Vol. 50, No. 4, 2003, pp. 988-994.

[5.23] M.J. Palmer et al., “Effective mobilities in pseudomorphic Si/SiGe/Si p-channel

metal-oxide-semiconductor field effect transistors with thin silicon capping lay-

ers”, in Applied Physics Letters, Vol. 78, Iss. 10, 2001, pp. 1424-1426.

[5.24] M. Lee and E. A. Fitzgerald, “Optimized Strained Si/ Strained Ge Dual-

Channel Heterostructures for High Mobility P- and N- MOSFETs”, in IEEE

IEDM Technical Digest, 2003, pp. 429-432.

Page 149: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 5.7 References

127

[5.25] A. Ritenour et.al, “Epitaxial Strained Germanium p-MOSFETs with HfO2 Gate

Dielectric and TaN Gate Electrode”, in IEEE IEDM Technical Digest, 2003, pp.

433-436.

[5.26] H. Shang et al., “High mobility p-channel germanium MOSFET with thin Ge

oxynitride gate dielectric”, in IEEE IEDM Technical Digest, 2002, pp. 441-444.

[5.27] T. Krishnamohan et al., “High-mobility low band-to-band tunneling strained-

Germanium double-gate heterostructure FETs: Simulations”, in IEEE Transac-

tions on Electron Devices, Vol. 53, No. 5, 2006, pp. 1000-1009.

[5.28] T. Krishnamohan et al., “ High-mobility ultrathin strained Ge-MOSFETs on

bulk and SOI with low band-to-band tunneling leakage: Experiments”, in IEEE

Transactions on Electron Devices, Vol. 53, No. 5, 2006, pp. 990-999.

[5.29] A. Kinoshita, C. Tanaka, K. Uchida and J. Koga, “High-performance 50-nm-

gate-length Schottky-S/D MOSFETs with dopant segregation junctions”, in VLSI

Symposium Technical Digest, 2005, pp. 158-159.

[5.30] A. Kinoshita, Y. Tshuchiya, A. Yagishita, K. Uchida and J. Koga, “Solution for

high-performance Schottky S/D MOSFETs: Schottky barrier height engineering

with dopant segregation technique”, in VLSI Symposium Technical Digest, 2004,

pp. 168-169.

[5.31] K. Ikeda, Y. Yamashita, A. Endoh, T. Fukano, K. Hikosaka and T. Mimura,

“50-nm Gate Schottky Source/Drain p-MOSFETs with a SiGe Channel”, in

IEEE Electron Device Letters, vol. 23, No. 11, 2002, pp. 670-672.

[5.32] A. Yagishita, T. –J. King and J. Bokor, “Schottky Barrier Height Reduction and

Drive Current Improvement in Metal Source/Drain MOSFET with Strained-Si

Channel”, in Japanese Journal of Applied Physics, Vol.43, No. 4B, 2004, pp.

1713-1716.

[5.33] S. Zhu, R. Li, S. J. Lee, M. F. Li, A. Du, J. Singh, C. Zhu, A. Chin and D. L.

Kwong, “Germanium pMOSFETs With Schottky-Barrier Germanide S/D, High-

Page 150: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 6: Schottky Source/Drain Ge – channel p- MOSFETs

128

k Gate Dielectric and Metal Gate”, in IEEE Electron Device Letters, Vol. 26,

No. 2, 2005, pp.81-83.

Page 151: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 6.1 Dissertation Summary

129

Chapter 6

Conclusions and Recommendations

6.1 Dissertation Summary As gate lengths of high performance transistors scale into the sub-22nm node,

traditional scaling of physical dimensions will not guarantee the historic exponential

increase in performance. A number of fundamental and practical limits impede the

traditional scaling of conventional bulk FETs. It becomes necessary to invoke innova-

tions in materials and/or structures to prolong device scaling for technology nodes at

the end of the roadmap [6.1]. The research performed in this dissertation is aimed at

studying some of the problems and solutions with the technology and scaling of future

nanoscale MOSFETs.

As transistors scale to sub-20nm gate lengths, the drive current is increasingly

limited by the physics of the carriers at the source. The drive current depends on the

injection velocity of carriers from the source into the channel across the electrostatic

barrier and the probability of a scattering event near the source. Replacing Si with a

material with higher low-field mobility in the channel, provides for higher injection

velocity and lower rate of scattering leading to high drive currents. Ballistic transport

simulations were performed for various channel material candidates like Ge, GaAs,

Page 152: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 6: Conclusions and Recommendations

130

InAs and InSb for n-channels. These high-mobility, low-effective mass materials have

low density of states (DOS) in the quantized conduction band. Hence the inversion

charge under strong quantization resides in large mass high DOS valleys, leading to a

reduction in the expected drive currents.

Further more, high mobility materials typically have smaller bandgaps than Si.

In ultra-small channel length transistors, a very high electric field exists near the drain

junction in OFF state. Band-to-band tunneling (BTBT) of carriers in this region was

shown to limit the leakage currents in these structures. Examining the tradeoffs

between the switching speeds and the stand-by power dissipation, a large bandgap,

low transport mass, high DOS material provides an ideal material to replace Si in

NMOS channels. However, by using novel structures, the effective bandgap in the

very high mobility materials may be increased, making them ideal candidates for

replacing NMOS channels. Due to its similar chemical nature to Si, hence similar

processing technology requirements as well as its excellent transport properties, Ge

provides for an ideal material for NMOS and PMOS channels.

One of the major problems to introduction of Ge in MOS channels is the lack of

a passivating layer on Ge surfaces to reduce the surface state density.

!

GeOxNy formed

by a rapid oxidation followed by a high temperature nitridation shows encouraging

interfacial passiavtion. Electrical measurements confirmed a low interface trap density

of

!

Dit

= 4 "1011cm

#2eV

#1 in the mid-gap region of the Ge bandgap. N- and p- channel

MOSFETs were fabricated on bulk Ge wafers to study the transport properties at this

interface. A high hole mobility enhancement of ~2.5X over universal Si was observed

at the

!

GeOxNy /Ge interface. Poor electron mobility was observed. Various factors

were identified to explain the cause of this observation. Poor S/D characteristics were

observed at the n+ S/D regions. High leakage was observed in these transistors limited

by the high BTBT current at the drain end of the channel.

Replacing the S/D regions of the transistor is an effective way to reduce the

parasitic components in traditional MOS architectures. However, due to the finite

Page 153: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 6.2 Contributions

131

barrier height that exists at the metal – semiconductor interface, the drive currents

achieved in the Schottky S/D transistors are limited by tunneling across the Schottky

barrier at the source/drain. For Schottky S/D transistors to be competitive to conven-

tional doped S/D transistors, it is imperative that the barrier height at the source-

channel interface should be close to 0meV. The NiGe/Ge system was shown to

provide a very small barrier height of ~100meV to the valence band, a suitable re-

placement to the doped S/D in the PMOSFET. NiGe-S/D transistors were built on

bulk-Ge. These transistors showed excellent transport but suffered from huge leakage

due to thermionic emission over the conduction band and BTBT near the drain. As

shown by Krishnamohan [6.2] embedding a thin Ge layer close to the Si- gate dielec-

tric interface, provides for high mobility with very low BTBT leakage. Schottky S/D

PMOS transistors were built with the Si/Ge heterostructure in the channel and NiSi in

the S/D. These transistors exhibited high mobility enhancement of ~2X over universal

Si with much three-orders reduced leakage compared to bulk-Ge transistors. Further-

more, since this transistor has a metal S/D, it has low parasitic resistance and hence

provides as an avenue to scale PMOSFETs into sub-20nm gate lengths with the ideal

mix of high ON current, low OFF current and low parasitic resistance.

6.2 Contributions o A systematic study was done to assess the impact of replacing Si with high

mobility materials in the channel of nanoscale MOSFETs in the ballistic trans-

port regime. It was observed that the low DOS in these materials limits the

current drivability in these transistors. The small bandgap in these materials

further increases the off-state leakage in these transistors. For NMOS channels

in the sub-20nm regime, it was observed that material with low transport mass,

high DOS and large bandgap would be required for performance boost. [6.3]

o Ge exhibits excellent transport properties for both electrons and holes and is a

suitable channel material replacement for both n- and p- channels.

!

GeOxNy

Page 154: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 6: Conclusions and Recommendations

132

provides good passivation of the Ge interface. Low mid-gap interface trap den-

sity of

!

Dit

= 4 "1011cm

#2eV

#1 was extracted using low-temperature

measurement techniques. [6.4]

o Excellent hole mobility of upto ~2.5X enhancement over universal Si observed

on bulk-Ge PMOSFETs. High electron mobility on the order of universal Si

electron mobility measured.

o Fermi level at the metal-Ge Schotty barrier pinned near the valence band, mak-

ing the NiGe/Ge system an ideal candidate to form metal-S/D PMOSFETs

with high mobility. Good working Schottky S/D bulk-Ge transistors obtained.

o Schottky S/D transistors with biaxially strained-Ge channel on bulk-Si wafers

were fabricated using NiSi. This structure is an excellent candidate for

nanoscale PMOSFETs as it provides for

o High ON – high mobility for holes in strained – Ge

o High ON – Metal S/D and hence lower parasitic resistance

o Low OFF – high electric field in Si with large bandgap and large barri-

ers, hence reduced BTBT and thermionic emission.

6.3 Recommendations for Future Work The following is a list of ways in which the present work can be extended in

future research.

o Tradeoffs between the static power dissipation and the intrinsic switching

sppeds may be performed for other ternary and tertiary III-V materials like In-

GaAs, which has a small effective mass (high ON) and larger bandgap (low

OFF) than InAs. Transport simulations may also be done on thin strained-

channels to identify the ideal material to replace Si in NMOS channels.

o

!

GeOxNy is a good passivating layer, however it is thick (~5nm) and hence the

layer needs to engineered to less than ~1nm so that it may be applied for

nanoscale MOSFETs with thin EOT requirements. The interface passivating

Page 155: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Section: 6.4 References

133

properties of the oxides of Ge may also be studied as alternatives to the oxyni-

tride. Experiments need to be performed by depositing high-k materials on

these materials and the temperature budget for interdiffusion of the metal com-

pounds to the gate interface need to estimated.

o Low temperature measurements need to be done on p- and n- channel MOS-

FETs on Ge substrates to establish the various regimes of the universal

mobility relationship for Ge. This would necessary to establish the reasons for

poor electron mobility measured on NMOS. Similar measurements may be

done on transistors fabricated on wafers with different substrate orientations to

evaluate the various components of Ge surface carrier mobility.

o The high performance Schottky S/D strained-Ge PMOSFET may be built on

thin-Si, thin BOX substrates. By suitably, tuning the work function the transi-

tor may be operated so that most of the charge in strong inversion is in the

strained-Ge. Furthermore, the advantage of the metal-S/D would be more evi-

dent in the thin, quantized film regime. Low temperature measurements may

be done to measure the Schottky barrier height at the

!

NiSi1"xGex /Ge interface.

The effect of quantization on the Schottky pinning characteristics may be thus

studied.

o By suitably engineering the interface, low barrier heights to the conduction

band of Ge may be obtained [6.5]. Employing this material in the S/D region

of a Ge-on-Insulator structure with a capping Si layer near the gate interface to

achieve the high electron mobility and achieve excellent NMOS operation.

6.4 References [6.1] International Technology Roadmap for Semiconductors, 2003 edition, SIA ,

2003

Page 156: GE-BASED TRANSISTORS FOR HIGH-PERFORMANCE LOGIC ... Pethe Thesis.pdf · In the first part of the thesis, the performance limits of MOSFETs using vari-ous materials like Ge and III-Vs

Chapter 6: Conclusions and Recommendations

134

[6.2] T. Krishnamohan, “Physics and Technology of High-mobility Strained Germa-

nium Channel Heterostructure MOSFETs”, Ph. D. Thesis, Stanford University,

2006.

[6.3] A. Pethe, T. Krishnamohan, D. Kim, S. Oh, H. –S. P. Wong, Y. Nishi and K.

Saraswat, “Investigation of the performance limits of III-V nMOSFETs”, in

IEEE IEDM Technical Digest, 2005.

[6.4] A. Pethe and K. Saraswat, “ Interface State Density Measurement at GeOxNy –

Ge interface for Ge MIS Applications”, in IEEE Semiconductor Interface Spe-

cialists Conference, 2006.

[6.5] K. Ikeda, Y. Yamashita, N. Sugiyama, N. Taoka and S. Takagi, “ Modulation of

NiGe/Ge Schottky barrier height by sulfur segregation during Ni germanida-

tion”, in Applied Physics Letters, 88, 2006, 152115.