9
Nanotechnology PAPER • OPEN ACCESS Generation of substrate-free III–V nanodisks from user-defined multilayer nanopillar arrays for integration on Si To cite this article: S Naureen et al 2013 Nanotechnology 24 225301 View the article online for updates and enhancements. You may also like The impact of fabrication conditions on the quality of Au nanoparticle arrays on dimpled Ta templates Hany A El-Sayed, Hebert M Molero and Viola I Birss - Enhanced luminescence from GaN nanopillar arrays fabricated using a top- down process N Parvathala Reddy, Shagufta Naureen, Sudha Mokkapati et al. - Facile fabrication of 2D hetero core- satellites patterned Ag nanoparticle arrays with tunable plasmonic bands for SERS detection Yakun Cai, Liqing Huang, Huimin Wang et al. - This content was downloaded from IP address 187.87.7.85 on 22/02/2022 at 22:22

Generation of substrate-free III--V nanodisks from user

  • Upload
    others

  • View
    1

  • Download
    0

Embed Size (px)

Citation preview

Generation of substrate-free III--V nanodisks from user-defined multilayer nanopillar arrays for integration on SiPAPER • OPEN ACCESS
 
View the article online for updates and enhancements.
-
-
-
This content was downloaded from IP address 187.87.7.85 on 22/02/2022 at 22:22
Generation of substrate-free III–V nanodisks from user-defined multilayer nanopillar arrays for integration on Si
S Naureen, N Shahid1, A Dev and S Anand
School of Information and Communication Technology, KTH-Royal Institute of Technology, Electrum 229, Kista SE-16440, Sweden
E-mail: [email protected]
Received 23 February 2013, in final form 28 March 2013 Published 30 April 2013 Online at stacks.iop.org/Nano/24/225301
Abstract High material quality InP-based multilayer nanopillar (NP) arrays are fabricated using a combination of self-assembly of silica particles for mask generation and dry etching. In particular, the NP arrays are made from user-defined epitaxial multilayer stacks with specific materials and layer thicknesses. An additional degree of flexibility in the structures is obtained by changing the lateral diameters of the NP multilayer stacks. Pre-defined NP arrays made from InGaAsP/InP and InGaAs/InP NPs are then used to generate substrate-free nanodisks of a chosen material from the stack by selective etching. A soft-stamping method is demonstrated to transfer the generated nanodisks with arbitrary densities onto Si. The transferred nanodisks retain their smooth surface morphologies and their designed geometrical dimensions. Both InP and InGaAsP nanodisks display excellent photoluminescence properties, with line-widths comparable to unprocessed reference epitaxial layers of similar composition. The multilayer NP arrays are potentially attractive for broad-band absorption in third-generation solar cells. The high optical quality, substrate-free InP and InGaAsP nanodisks on Si offer a new path to explore alternative ways to integrate III–V on Si by bonding nanodisks to Si. The method also has the advantage of re-usable III–V substrates for subsequent layer growth.
S Online supplementary data available from stacks.iop.org/Nano/24/225301/mmedia
(Some figures may appear in colour only in the online journal)
1. Introduction
The development of fabrication technologies for semiconduc- tor nanostructures, although initially driven by the demand for device miniaturization [1], has opened up new possibilities for realizing ultra-light, smart, multifunctional devices [2, 3], in addition to exploring the rich physics of confined systems. Recent research efforts have demonstrated applications
Content from this work may be used under the terms of the Creative Commons Attribution 3.0 licence. Any further
distribution of this work must maintain attribution to the author(s) and the title of the work, journal citation and DOI. 1 Present address: Department of Electronic Materials Engineering, Research School of Physics and Engineering, The Australian National University, Canberra ACT 0200, Australia.
of III–V nanostructures in a variety of electronic and photonic applications, featuring better performance and/or new functions [4–8]. In addition, heterogeneous integration of III–V compound semiconductors on Si has been extensively studied to combine the advantageous properties of III–Vs, such as their direct bandgaps and high carrier mobilities, with the low cost and mature processing advantage of Si technology [2, 5, 9–11]. In addition, GaAs and InP-based multi-junction solar cells offer the highest efficiency [12, 13] and can be integrated to design self-powered devices. However, direct integration of several relevant III–V materials (e.g. InP, GaAs etc) on Si by heteroepitaxy remains a major challenge due to the large lattice mismatch between the III and V materials and Si [14–17]. On the other hand, low-temperature wafer bonding is not subject to these lattice
10957-4484/13/225301+08$33.00 c© 2013 IOP Publishing Ltd Printed in the UK & the USA
Nanotechnology 24 (2013) 225301 S Naureen et al
Figure 1. Schematic demonstration of various steps for (a) nanodisk fabrication using dry etching and wet etching of the multilayered structure; (b) stamping of nanodisks on a Si substrate using PDMS.
matching conditions and several integrated devices have been demonstrated [17–19]. However, this approach often involves removing the III–V substrate after bonding and the electronic quality of the III–V/Si interface has to be improved for device applications that require intimate contact at the interface [18, 19]. Several new methods are currently being developed for large-scale integration without the restriction of substrate compatibility, such as epitaxial lift-off [20, 21], contact printing, and solution transfer [2, 11, 22, 23]. In this context, the present work demonstrates a method to generate and transfer high optical quality InP and InGaAsP nanodisks from user-defined InP-based multilayer nanopillar (NP) arrays. Using the designed material layers, one can obtain nanodisks of a given material by selectively etching the others. The physical dimensions of the nanodisk can also be tailored—its thickness is defined by growth and the lateral size is determined by the NP diameter. The nanodisks, thus generated, can be transferred to Si for integration. This method is equally valid for multi-layer NPs obtained by top-down or bottom-up approaches, each having its own advantages. Such multilayer NP arrays are also attractive candidates for broad-band absorption in solar cells. With advances in NP growth, it may be possible to control the doping profiles, crystalline quality and material composition to obtain tailored multilayered NPs [24, 25]. Alternatively, we can benefit from state-of-the-art epitaxial growth of III–V thin films to fabricate user-defined material stacks and then adapt a top-down approach for InP-based NP arrays [26] to generate multilayer NPs. In this case, with appropriate patterning it is also possible to obtain micro-pillars. Finally, depending on the transfer procedure, III–V disks with lateral dimensions ranging from nanometers to micrometers can be assembled on the substrate of choice.
In this paper, we demonstrate a simple method to fabricate InP, InGaAsP and InGaAs nanodisks with user- defined dimensions, and transfer them onto Si or other desired substrates with arbitrary densities. The fabrication of InGaAsP/InP and InGaAs/InP multilayer NP arrays is based on colloidal lithography for mask generation and dry etching. The InGaAsP/InP and InGaAs/InP multilayer structures were grown by metal organic vapor phase epitaxy (MOVPE). From a given multilayer NP array, nanodisks of the selected material (e.g. InP) are obtained by the selective etched removal of the
other material (e.g. InGaAsP). We also show good control over the diameter of the nanodisks by resizing of the mask particles and/or by post-fabrication nano-sculpting [27]. The nanodisks are transferred to Si substrates manually using a polydimethylsiloxane (PDMS) stamp. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) investigations of stamped nanodisks show smooth surface morphologies and confirm that the physical dimensions of the nanodisks are consistent with the grown multilayer and NP dimensions. Photoluminescence (PL) measurements performed at both room temperature and low temperature (77 K) shows strong luminescence and narrow line-widths, very similar to that of high-quality epitaxial layers. Strong PL signals were observed even from isolated disks.
2. Experimental details
2.1. Fabrication of multilayer nanopillar arrays
The fabrication method for nanodisks is schematically shown in figure 1(a). We used a two-step process. In the first step, nanopillar arrays were fabricated by vertically etching InGaAsP/InP and InGaAs/InP multilayer planar structures. A colloidal lithography technique applied to pattern etch-masks, followed by inductively coupled plasma reactive ion etching (ICP-RIE) was used to fabricate ordered arrays of vertically aligned nanopillars with alternating layers of InGaAsP/InP or InGaAs/InP. In the second step, wet-chemical etching was used to selectively remove one material layer, forming disk-shaped nanostructures of the other material. The initial multilayer structures (on InP substrate) were grown by MOVPE, and all the epitaxial layers were nominally undoped. The details of the nanopillar fabrication process are reported elsewhere [26, 27]. Briefly, the as-grown samples were first cleaned by standard organic solvents and then treated with O2 plasma to enhance the wettability of the surface. An aqueous suspension of colloidal SiO2 particles, used as an etch-mask, was then spin coated on the substrate. The size of the SiO2 particles also defines the diameter of the nanopillars, and hence the diameter of the nanodisk. For this work, SiO2 particles of 500 nm diameter were first coated on the substrate and then isotropically etched using RIE to reduce the size. RIE operating at 15 mT with a CHF3 flow of 25 sccm offers
2
Nanotechnology 24 (2013) 225301 S Naureen et al
a 20 nm min−1 etch rate, and thus allows trimming of the silica particle diameter. By shrinking the particle size, while maintaining their original position, the spacing between the adjacent particles is increased. This also helps to reduce the well-known lag effect observed during etching of InP using closely packed particles as etch-masks. SiO2 particles with diameters in the range 150 nm–3 µm can be used to obtain nanodisks of different diameters, according to requirement. Finally, ICP-RIE (Oxford Plasma lab System 100) with a Cl2/H2/CH4 chemistry was used to fabricate InP nanopillars by etching the exposed substrate. The NPs were organically cleaned and treated with 50% HF to remove the remaining silica mask particles. Finally, the samples were rinsed in DI water and dried under N2 flow.
2.2. Selective wet-chemical etching
In the second step, selective wet-chemical etching was used to fabricate InP, InGaAsP and InGaAs disks from the multilayer NP arrays. For the fabrication of InGaAsP and InGaAs nanodisks, InP layers in the pillar arrays were selectively etched with HCl:H2O in a 2:1 ratio for 5 min. On the other hand, to generate InP nanodisks, InGaAs or InGaAsP layers (depending on the vertical structure) were selectively removed either by using an etching solution of H3PO4:H2O2:H2O or H2O:H2SO4:H2O2. Both the etchants work well. However,results with H3PO4:H2O2:H2O, used in a 1.1:1 ratio, having an etch rate of ∼1 nm s−1, were found to be more satisfactory and equally good for selective etching of both InGaAs and InGaAsP. All the samples were rinsed in DI water and dried at room temperature inside a fume hood. Additional treatment in 50% HF solution for 1 min removes residues and native oxide layers from the disks.
We note here that, after selective etching, most of the nanodisks settle on the substrate due to their large size. During etching, the samples were left undisturbed (no agitation). After etching, the sample was carefully taken out of the etch solution without tilting and transferred to a separate beaker containing DI water. The sample was left in DI water for about 2 min, carefully lifted out and left to dry in a fume hood. The same procedure was followed for subsequent HF treatment of the nanodisks after stamping. These steps were sufficient for the present purposes. However, it is likely that some nanodisks are lost during transfer from the solutions. In the present work, it was not possible to quantify the yield, which requires further investigations mapping the surface density of the nanopillars and the remnant nanodisks. We foresee the need to develop a robust and reproducible procedure for applications that require close to 100% yield or a pre-designed arrangement (as defined by the nanopillars) of the nanodisks.
2.3. Stamping method for nanodisks transfer onto Si
The stamping procedure uses a PDMS stamp, shown schematically in figure 1. For the preparation of the PDMS stamp, Sylgard 184 from Dow Corning with a composition of base and curing agent in a 10:1 ratio was used. The two parts were thoroughly mixed in a small beaker and the mixture
was put into a desiccator under vacuum for about 30 min for de-gassing. Then the mixture was poured on a Si wafer to the desired thickness (∼2 mm in this case). Care was taken to avoid any bubble formation. The PDMS was then cured at 100 C for one hour.
We comment here that our main purpose was to demonstrate the feasibility of transferring nanodisks with a reasonable yield. We used a very simple approach, that is, by gently pressing the PDMS stamp manually to both collect and transfer the nanodisks. Although this manual procedure works rather well, the yield of transfer could be different from run to run. In general, not all the collected disks are transferred in one stamping step. This, we have used positively to control the average spatial density of the stamped nanodisks (as shown in figure 4 and in supporting information, S3 available at stacks.iop.org/Nano/24/225301/ mmedia). However, the ideal controlling parameters, such as force, temperature, and contact time, involved in the stamping process will be necessary for the best reproducibility and yield. In particular, such control can enable faithful transfer of nanodisks in specific arrangements, if so desired.
2.4. Characterization methods
The morphology and physical dimensions of the stamped nanodisks were investigated by scanning electron microscopy (SmartSEM V5.00) and atomic force microscopy in tapping mode. Photoluminescence measurements were made using a HORIBA JOBIN YVON HR800 Raman and micro PL system using an InGaAs detector. The samples were excited by a 514.5 nm Ar laser, with an excitation density of 0.5 mW cm−2.
3. Results and discussions
The process flow for the fabrication of InP-based nanodisks and their transfer onto Si is schematically shown in figure 1. In the first step, nanopillar arrays were fabricated by vertically etching InGaAsP/InP and InGaAs/InP multilayered planar structures using a previously optimized inductively coupled plasma reactive ion etching (ICP-RIE) process [26]. The multilayer NP and nanodisk fabrication are validated on different multilayered vertical structures. A schematic of a representative vertical structure, used for both InGaAsP and InP nanodisk fabrication, is shown in figure 2(a). The structure contains five InGaAsP layers, of different thickness, 20, 50, 100 and 200 nm; these layers are separated by 100 nm thick InP (barrier) layers. Figure 2(b) shows an SEM image of a nanopillar array fabricated from this structure. Highly ordered vertical nanopillar arrays with circular cross-sections are clearly seen. The fabrication details are similar to those previously developed for NP arrays in InP and InP/InGaAsP QW structures [26]. The typical etch selectivity is >10:1 over the colloidal silica mask. The ICP-RIE parameters were adjusted to obtain a uniform NP height of ∼800 nm to expose most of the grown multilayers. The magnified image (figure 2(c)) shows clear material contrast along the length of the pillar, delineating the different layers. Five 100 nm
Figure 2. (a) Schematic of a multilayered structure used for InP and InGaAsP nanodisk fabrication; (b) 12 tilted cross-section SEM image showing ordered arrays of pillars fabricated using ICP-RIE. (c) Magnified cross-sectional SEM image of the nanopillars showing material contrast from alternating layers.
InP segments and one 100 nm, one 50 nm and two 20 nm InGaAsP segments are accessible for subsequent substrate- free nanodisk generation. The CH4/H2/Cl2 chemistry used to etch the NPs is advantageous since a wide range of III–V materials can be etched with smooth surface profiles and it is not very material selective. Therefore, it gives the facility to etch hetero-structures with minimal exposure of the hetero-interfaces. The SEM images (figures 2(b) and (c)) show no detectable material-dependent lateral etching. The pillar diameter at the top closely follows that of the SiO2 etch-mask, but is wider at the base due to slow but continuous erosion of silica particles with etch time [28]. The evolution of the pillar shape from cylindrical to conical as a function of etch time is shown in section S1 in the supporting information (available at stacks.iop.org/Nano/ 24/225301/mmedia). However, with a more resistant mask material (e.g. metal particles) taper-free pillars are possible. Alternatively, after pillar fabrication, controlled sculpting using a sulfur-oleylamine solution provides NPs with uniform lateral dimensions [27]. The supporting information discusses these results in detail (S2 available at stacks.iop.org/Nano/24/ 225301/mmedia).
Figure 3 shows cross-sectional SEM images of the nanopillar arrays after different durations of material-selective etching, demonstrating the different intermediate steps in the nanodisk fabrication. Nanopillars with partially etched InGaAsP layers after 30 s and 1 min of selective etching are shown in figures 3(a) and (b), respectively. Clearly, the InP layer shows very good etch resistance in H3PO4:H2O2:H2O, whereas the InGaAsP layer is removed rapidly. In contrast, the HCl:H2O solution rapidly etches only the InP layer (figure 3(c)), without any detectable erosion of the InGaAsP
layers. Similar etching selectivity of HCl:H2O solution was observed in the case of an InGaAs/InP vertical structure, thus allowing fabrication of InGaAs nanodisks using the same etchant. Keeping the samples in the etching solution for a slightly longer time ensured complete removal of the sacrificial layers. A comparison of the etching behavior of InP and InGaAsP layers in H3PO4:H2O2:H2O and HCl:H2O solution is shown in figure 3(d). The red arrows indicate the etching behavior of the InGaAsP layers in H3PO4:H2O2:H2O (left) and HCl:H2O (right) solutions, whereas the white arrows indicate the same for the InP layers.
Figures 4(a) and (b) show the SEM images of the fabricated InGaAsP and InP nanodisks, respectively, taken after cleaning and stamping on Si substrate. The high density of nanodisks on the Si substrate clearly indicates the very high yield of the stamping process. Control over the nanodisk density can be achieved either by varying the number of layers in the initial multilayer structures, and thus altering the number of disks per NP, or by modifying the density of the pillars in the arrays by controlling the density of the colloidal silica particles. Further control of the density of nanodisks on Si can be attained during the stamping process (supporting information S3 available at stacks.iop.org/Nano/ 24/225301/mmedia). The observed variation in the diameters of the nanodisks (inset of figures 4(a) and (b)) is consistent with the tapered shape of the NPs.
AFM measurements were performed to determine the thickness and surface morphology of the stamped nanodisks. Figures 5(a) and (b) show the AFM images of InGaAsP and InP nanodisks, respectively. The thickness of InGaAsP and InP nanodisks analyzed at different parts of the sample are shown in figures 5(c) and (d), respectively. As expected
Nanotechnology 24 (2013) 225301 S Naureen et al
Figure 3. (a) Partially etched InGaAsP layers after 30 s, (b) partially etched InGaAsP layers after 1 min, (c) partially etched InP layers after 1 min. (d) Comparison of etching behavior of an InGaAsP layer in H3PO4:H2O2:H2O (left) and an InP layer in HCl:H2O solution (right).
Figure 4. (a) SEM image showing highly dense InGaAsP nanodisks stamped on a Si substrate; inset shows a circular cross-section of the disks. (b) SEM image of InP disks showing a large distribution in their diameters.
from the choice of the vertical structure, the InGaAsP nanodisk (figure 5(c)) sample shows nanodisks with three different thicknesses of 20, 50 and 100 nm, whereas InP nanodisks (figure 5(d)) are close to 100 nm thick in all parts of the sample. This is a clear indication of the very high material selectivity of both of the etchants. The slight thickness deviations from the designed values (figure 2(a)) are predominantly due to similar deviations in the grown multilayer structure. The surfaces of individual disks at several parts of the sample were carefully investigated by AFM and the measured roughness (rms) was found to be less than 1 nm. Such a smooth surface morphology further confirms very good material selectivity of the chemical etchants. It is also evident that the stamping process is equally efficient for both thin (20 nm, in this case) and thick (100 nm) nanodisks. Furthermore, nanostructures with different shapes arising from conical NPs are obtained,
as discussed in section S4 of the supporting information (figures S4-1 and S4-2 available at stacks.iop.org/Nano/24/ 225301/mmedia). The nanodisk fabrication method was also validated by preparing 20 nm thick InGaAs and 100 nm InP nanodisks from an InGaAs/InP multilayered structure (section S5 in the supporting information available at stacks.iop.org/ Nano/24/225301/mmedia). The results clearly demonstrate the versatility of this process to prepare substrate-free high-quality InP-based nanodisks. Although the work focuses on InP-based materials, the general principles are applicable to other lattice matched semiconductor materials with appropriate NP fabrication and material selective etchants.
Chemical treatment, in many cases, has been observed to influence the optical quality of InP-based materials [29] by introducing additional surface states. Such mechanisms increase the surface recombination rate and significantly reduce their PL yield. In addition, PL line-widths might
Nanotechnology 24 (2013) 225301 S Naureen et al
Figure 5. (a) AFM image of InGaAsP nanodisks (magnified view in the inset). (b) InP nanodisks on a Si substrate. (c) Sectional analysis performed on (a) showing the thickness distribution of InGaAsP nanodisks. (d) Sectional analysis performed on (b) showing the identical thickness of ∼100 nm as expected from the multilayered structure.
also increase. Depending on the chemicals and the nature of their interaction with the semiconductor, the extent of such effects can be different [29]. In order to investigate the optical quality of the fabricated nanodisks, PL measurements were performed both at room temperature (RT) and 77 K, and the results were compared with the PL spectra recorded from nanopillar arrays (reference sample).
The PL spectra of InGaAsP nanodisks are presented in figures 6(a) and (b). Room-temperature PL spectra (figure 6(a)) recorded at two different density regions (number density of the scattered nanodisks) show a strong luminescence band centered at 1.02 eV, corresponding to bulk InGaAsP. The line-width of the PL band is about 60 meV. The PL line-widths and peak positions for the disks and the reference sample are comparable (inset of figure 6(a)). The small blue-shift observed for the NPs is attributed to the contribution from the 20 nm QW layers. It is reasonable to assume that absorption of the 514 nm excitation light (Ar laser), with a corresponding light penetration depth in InP of ∼90 nm, occurs mostly in the upper part of the NPs. Thus, one expects the PL to depend on the vertical structure, with the bulk-like 100 and 50 nm InGaAsP layers being dominant. However, due to the relatively lower band offsets between InP/InGaAsP, carriers can be thermally re-emitted from the bulk-like GaInAsP layers to the InP barrier, which are then transferred to the 20 nm QW layers. Thus, the PL contribution from the 20 nm QW layers will blue-shift the PL peaks for the NPs compared to the bulk nanodisks, as observed
(∼10 meV) in the inset of figure 6(a). At lower temperatures, the carrier redistribution by thermal re-emission from the bulk GaInAsP layers is suppressed. Thus, one would expect a negligible contribution from those 20 nm QWs situated at ∼450 nm (and lower) from the NP top. Consistent with this, as seen in figure 6(b), the PL peak positions of the NPs and the GaInAsP nanodisks show no shift. The above observations are supported by PL investigations of nanopillar arrays fabricated from two different vertical structures, and the results are discussed in section S6 (and the figures therein) of the supporting information (available at stacks.iop.org/Nano/ 24/225301/mmedia). In structure I (figure S6(a1)) bulk-like GaInAsP layers are in the upper part of NPs, while in structure II (figure S6(a2)) the 20 nm QWs are at the top. In the case of structure II, the PL will have a significant contribution from the 20 nm InGaAsP QWs, and thus will be blue-shifted compared to structure I. This is confirmed by PL results reported in figures S6(b) and (c). As expected, at RT, due to redistribution of carriers in the nanopillars, the PL spectra (figure S6(b)) are broader in both the structures. The shoulders are also visible, indicated by arrows in figure S6(b). However, at 77 K, as expected, the line-widths are narrow and comparable (figure S6(c)).
Figure 6(b) shows the normalized low-temperature (77 K) PL spectra of InGaAsP nanodisks and the reference sample. Both the peak position and the line-width of the nanodisks correspond very well with those of the NPs. These results are also consistent with the arguments presented above. Both the
Nanotechnology 24 (2013) 225301 S Naureen et al
Figure 6. (a) Room-temperature PL spectra of InGaAsP nanodisks taken at different density regions; inset shows normalized PL spectra of nanodisks (blue line) and the reference sample (black line); (b) normalized low-temperature (77 K) PL spectra of InGaAsP nanodisks (blue line) and the reference sample (black line); inset shows the comparison of the intensity at different density regions. (c) Room-temperature PL spectra of InP nanodisks and the reference sample (green line, 50 times magnified); inset shows normalized spectra; (d) low-temperature (77 K) PL spectra of InP nanodisks.
RT and 77 K PL data indicate that the dominant contribution comes from bulk-like nanodisks. The PL measurements indicate that bulk-like nanodisks are better suited—both for their superior optical properties and known bulk properties. µ-PL measurements (spot size ∼ 2 µm) over regions containing a few nanodisks (three to five nanodisks) are shown together with PL from high-density regions in the inset of figure 6(b). As shown, PL could be observed even from regions of extremely low density, suggesting the good optical quality of individual bulk nanodisks.
Comparison of the PL intensity before and after chemical etching could reveal more about the influence of chemical treatment on the luminescence quality of the bulk nanodisks. However, such a comparison is not meaningful since the geometry of the structures is very different, affecting the light coupling. Furthermore, efficient carrier transfer from InP barriers to lower bandgap InGaAsP layers occurs in the NPs. The latter has two consequences—the PL from InGaAsP will be much stronger, whereas that from InP will be very weak.
PL investigations of InP nanodisks, as expected, also show bulk-like luminescence properties. The room- temperature PL spectra of InP nanodisks and the correspond- ing reference sample are demonstrated in figure 6(c). InP nanodisks show strong luminescence at room temperature whereas the luminescence from the reference sample is very weak due to the reasons explained earlier. The low-temperature PL spectra from InP nanodisks shows
(figure 6(d)) a narrow line-width of ∼18 meV, which corresponds very well with our investigation of single InP nanopillars [26]. All the observations and comparisons made above clearly indicate that the as-prepared nanodisks possess a very good optical quality, even without any surface treatment. By developing low-temperature bonding procedures [18, 19], it will be possible to integrate the III–V GaInAsP or InP nanodisks on Si for device applications.
4. Conclusion
In summary, we have demonstrated a simple and cost-effective method to fabricate nanodisks of InP, InGaAsP and InGaAs from epitaxially grown InP-based multilayer structures. The fabrication method involves a low-damage ICP-RIE process, followed by material-selective wet-chemical etching. The demonstrated methods offer flexibility for the precise control of the diameter and thickness of the nanodisks, while maintaining good photoluminescence properties. Transfer of the generated nanodisks to Si using a soft stamp, with variable spatial coverage, is demonstrated. Although only planar stamps were used in this work, the results suggest that transfer printing of nanodisks in selected areas will be possible using appropriately patterned stamps. The excellent material selectivity of the wet-chemical etching also ensures smooth surfaces, with less than 1 nm rms roughness. The InGaAsP and InP nanodisks on Si exhibit high photoluminescence
7
Nanotechnology 24 (2013) 225301 S Naureen et al
even at room temperature. The measured PL line-widths of different nanodisks is comparable to the corresponding reference layers, indicating excellent fabrication quality. The multilayer NP arrays are potentially attractive for broad-band absorption in third-generation solar cells. High optical quality, substrate-free InP and InGaAsP nanodisks on Si offer a new path to explore alternative ways to integrate III–V materials on Si by bonding nanodisks to Si. The method also has the advantage of re-usable III–V substrates for subsequent layer growth.
Acknowledgments
The work was performed within the Linne Center for Advanced Optics and Photonics (Grant number: 349-2007- 8664) funded by the Swedish Research Council. Partial supports from the EU Network of Excellence ‘Nanophotonics for Energy Efficiency’ (Grant number: 248855) and from ‘Nanordsun’ (Grant number: 10048) funded by the Nordic Innovation Centre are also acknowledged. SN and NS acknowledge the Higher Education Commission of Pakistan for partially supporting their PhD studies (scholarship). The authors thank M Hammar and J Berggren for MOVPE growth, and A Berrier for useful discussions.
References
[1] Lundstrom M 2003 Science 299 210 [2] Wang C, Chien J-C, Fang H, Takei K, Nah J, Plis E, Krishna S,
Niknejad A M and Javey A 2012 Nano Lett. 12 4140 [3] Kim R-H et al 2010 Nature Mater. 9 929 [4] Huang M H, Mao S, Feick H, Yan H, Wu Y, Kind H, Weber E,
Russo R and Yang P 2001 Science 292 1897 [5] Chen R, Tran T D, Ng K W, Ko W S, Chuang L C,
Sedgwick F G and Chang-Hasnain C C 2011 Nature Photon. 5 170
[6] Duan X, Huang Y, Cui Y, Wang J and Lieber C M 2000 Nature 409 66
[7] Bryllert T, Wernersson L-E, Froberg L E and Samuelson L 2006 IEEE Electron Device Lett. 27 323
[8] Wang J F, Gudiksen M S, Duan X F, Cui Y and Lieber C M 2001 Science 293 1455
[9] Wu Y Q, Xu M, Wang R S, Koybasi O and Ye P D 2009 Electron Devices Meeting (IEDM), IEEE Int. pp 1–4
[10] Tien M C, Ohta A T, Yu K, Neale S L and Wu M C 2009 Appl. Phys. A 95 967
[11] Yoon J et al 2010 Nature 465 329 [12] Yamaguchi M, Takamoto T, Araki K and Ekins-Daukes N
2005 Sol. Energy 79 78 [13] Cotal H, Fetzer C, Boisvert J, Kinsey G, King R, Hebert P,
Yoon H and Karam N 2009 Energy Environ. Sci. 2 174 [14] Kroemer H, Liu T-Y and Petroff P M 1989 J. Cryst. Growth
95 96 [15] Sugo M, Takanashi Y, Aljassim M M and Yamaguchi M 1990
J. Appl. Phys. 68 540 [16] Shimizu Y and Okada Y 2004 J. Cryst. Growth 265 99 [17] Lourdudoss S 2012 Curr. Opin. Solid State Mater. Sci. 16 91
and references there in [18] Tanabe K, Watanabe K and Arakawa Y 2012 Sci. Rep. 2 349 [19] Roelkens G, Liu L, Liang D, Jones R, Fang A, Koch B and
Bowers J E 2010 Laser Photon. Rev. 4 751 and references there in
[20] Yablonovitch E, Hwang D M, Gmitter T J, Florez L T and Harbison J P 1990 Appl. Phys. Lett. 56 2419
[21] Deelen J V, Bauhuis G J, Schermer J J, Mulder P, Haverkamp E J and Larsen P K 1997 Mater. Sci. Eng. B 45 162
[22] Fan Z, Ho J C, Jacobson Z A, Yerushalmi R, Alley R L, Razavi H and Javey A 2008 Nano Lett. 8 20
[23] Baca A J, Ahn J-H, Sun Y, Meitl M A, Menard M, Kim H-S, Choi W, Kim D-H, Huang Y and Rogers J A 2008 Angew. Chem. Int. Edn 47 5524
[24] Trentler T J, Hickman K M, Subhash H, Goel C, Viano A M, Gibbons P C and Buhro W E 1995 Science 270 1791
[25] Davidson F M, Schricker A D, Wiacek R J and Korgel B A 2004 Adv. Mater. 16 646
[26] Naureen S, Sanatinia R, Shahid N and Anand S 2011 Nano Lett. 11 4805
[27] Naureen S, Shahid N, Sanatinia R and Anand S 2012 Adv. Funct. Mater. 23 1620–7
[28] Li M Y, Naureen S, Shahid N and Anand S 2010 J. Electrochem. Soc. 157 896
[29] Chang R R, Iyer R and Lile D L 1986 J. Appl. Phys. 61 1995
Introduction
Selective wet-chemical etching
Characterization methods