17
Journal of VLSI Signal Processing 21, 233–249 (1999) c 1999 Kluwer Academic Publishers. Manufactured in The Netherlands. Hierarchical Mixed-Domain Circuit Simulation, Synthesis and Extraction Methodology for MEMS TAMAL MUKHERJEE Department of Electrical and Computer Engineering, Carnegie Mellon University, Pittsburgh, PA, 15213-3890 GARY K. FEDDER Department of Electrical and Computer Engineering and The Robotics Institute, Carnegie Mellon University, Pittsburgh, PA, 15213-3890 Received August 19, 1998; Revised February 25, 1999 Abstract. Emerging results for mixed-domain circuit simulation, a component-level synthesis strategy, and a layout extractor is presented for use in design of microelectromechanical systems (MEMS). The mixed-domain circuit representation is based on Kirchhoffian network theory. Micromechanical and electromechanical components may be partitioned hierarchically into low-level reusable elements. The MEMS component-level synthesis approach uses optimization to generate microstructure layout that meets specified performance criteria. A feature-recognition based extractor for verification translates layout geometry into the mixed-domain circuit representation. A common MEMS component, the integrated microresonator, demonstrates the use of these tools. Lumped-parameter MEMS simulation of the resonant frequency matches finite-element analysis to 1% and fabricated resonators match to within 4% of the synthesized performance. Based on this initial work, a hierarchical structured design methodology for integrated microsystems that is compatible with standard VLSI design is proposed. 1. Introduction Digital design tools such as logic synthesis, semicus- tom layout and behavioral simulation have drastically changed the digital IC design process, enabling design of complex “systems on a chip”. The usefulness of such chips are limited in a world dominated by information that is not represented by 0s and 1s. Overcoming these limitations has led to mixed-signal and mixed-domain technologies that monolithically integrate CMOS elec- tronics with microelectromechanical systems (MEMS) leading to chips that can sense and actuate as well as compute. MEMS are micron to millimeter sized systems in- tegrating electrical and mechanical elements [1–3]. They are fabricated using microelectronic batch pro- cessing techniques and can sense, control and actuate on the micro scale. Moreover, arrays of MEMS devices can be used for macro scale sensing and actuation. Researchers are using MEMS in diverse application areas such as inertial navigation systems [4], digital mirror displays [5], DNA analysis systems [6], RF distributed sensor networks [7], and probe-based data storage systems [8]. These systems incorporate truly mixed technology, integrating combinations of digi- tal and analog electronics, mechanical structures, elec- tromechanical actuators, and fluidic chambers. The advent of stable, VLSI-compatible MEMS fab- rication technologies has led to the development of increasingly complex and integrated MEMS-based systems. Future systems are expected to contain hun- dreds or even thousands of mixed-domain devices. This has led to a desperate demand for CAD tools to support rapid design of systems involving physical interactions between mechanical, electrostatic, magnetic, thermal, fluidic, and optical domains. As in traditional electronic design, hierarchical design methodologies, mixed- domain circuit simulators, layout synthesis tools, and

Hierarchical Mixed-Domain Circuit Simulation, Synthesis and Extraction Methodology for MEMS

Embed Size (px)

Citation preview

Page 1: Hierarchical Mixed-Domain Circuit Simulation, Synthesis and Extraction Methodology for MEMS

Journal of VLSI Signal Processing 21, 233–249 (1999)c© 1999 Kluwer Academic Publishers. Manufactured in The Netherlands.

Hierarchical Mixed-Domain Circuit Simulation, Synthesis and ExtractionMethodology for MEMS

TAMAL MUKHERJEEDepartment of Electrical and Computer Engineering, Carnegie Mellon University, Pittsburgh, PA, 15213-3890

GARY K. FEDDERDepartment of Electrical and Computer Engineering and The Robotics Institute, Carnegie Mellon University,

Pittsburgh, PA, 15213-3890

Received August 19, 1998; Revised February 25, 1999

Abstract. Emerging results for mixed-domain circuit simulation, a component-level synthesis strategy, and alayout extractor is presented for use in design of microelectromechanical systems (MEMS). The mixed-domaincircuit representation is based on Kirchhoffian network theory. Micromechanical and electromechanical componentsmay be partitioned hierarchically into low-level reusable elements. The MEMS component-level synthesis approachuses optimization to generate microstructure layout that meets specified performance criteria. A feature-recognitionbased extractor for verification translates layout geometry into the mixed-domain circuit representation. A commonMEMS component, the integrated microresonator, demonstrates the use of these tools. Lumped-parameter MEMSsimulation of the resonant frequency matches finite-element analysis to 1% and fabricated resonators match towithin 4% of the synthesized performance. Based on this initial work, a hierarchical structured design methodologyfor integrated microsystems that is compatible with standard VLSI design is proposed.

1. Introduction

Digital design tools such as logic synthesis, semicus-tom layout and behavioral simulation have drasticallychanged the digital IC design process, enabling designof complex “systems on a chip”. The usefulness of suchchips are limited in a world dominated by informationthat is not represented by 0s and 1s. Overcoming theselimitations has led tomixed-signalandmixed-domaintechnologies that monolithically integrate CMOS elec-tronics with microelectromechanical systems (MEMS)leading to chips that can sense and actuate as well ascompute.

MEMS are micron to millimeter sized systems in-tegrating electrical and mechanical elements [1–3].They are fabricated using microelectronic batch pro-cessing techniques and can sense, control and actuateon the micro scale. Moreover, arrays of MEMS devicescan be used for macro scale sensing and actuation.

Researchers are using MEMS in diverse applicationareas such as inertial navigation systems [4], digitalmirror displays [5], DNA analysis systems [6], RFdistributed sensor networks [7], and probe-based datastorage systems [8]. These systems incorporate trulymixed technology, integrating combinations of digi-tal and analog electronics, mechanical structures, elec-tromechanical actuators, and fluidic chambers.

The advent of stable, VLSI-compatible MEMS fab-rication technologies has led to the development ofincreasingly complex and integrated MEMS-basedsystems. Future systems are expected to contain hun-dreds or even thousands of mixed-domain devices. Thishas led to a desperate demand for CAD tools to supportrapid design of systems involving physical interactionsbetween mechanical, electrostatic, magnetic, thermal,fluidic, and optical domains. As in traditional electronicdesign, hierarchical design methodologies, mixed-domain circuit simulators, layout synthesis tools, and

Page 2: Hierarchical Mixed-Domain Circuit Simulation, Synthesis and Extraction Methodology for MEMS

234 Mukherjee and Fedder

layout extraction will enable MEMS engineers tobuild larger systems and allow them to concentrate onsystem-level design issues.

The next section is a background section on MEMStechnology, devices, and the current approach to de-signing MEMS. This is followed, in Section 3, by adescription of the levels of abstraction in the MEMSdesign methodology. Each of the individual tools cur-rently being developed to enable integrated electron-ics/MEMS design is described next; namely, simula-tion in Section 4, synthesis in Section 5 and extractionin Section 6. Included in each of the sections are theresults of using each of the tools on a MEMS design. Acomplete integrated electronics/MEMS design flow isproposed in Section 7. Finally, Section 8 offers someconcluding remarks.

2. Background

2.1. MEMS Fabrication

Batch fabrication techniques, similar to VLSI electron-ics, are used to manufacture MEMS devices. There-fore, MEMS can exploit the same cost benefits thatarise from such integration. There are three majortechnologies [1, 2, 9] used in MEMS fabrication: bulkmicromachining, LIGA and surface micromachining.As in the VLSI world, silicon-based technologies tendto be the most widely used, both because silicon isa thoroughly studied material and is widely avail-able. In bulk micromachining, the mechanical struc-tures are etched out of the bulk of the silicon waferwhereas surface-micromachined structures are madefrom the thin-film layers deposited on the surface of thewafer. The LIGA (German acronym for LIthographie,Galvanoformung, Abformung) technique involvesX-ray lithography, micro-electroplating and micro-molding processes, and is difficult to integrate withelectronics.

Within the last decade, surface-micromachiningtechniques have exhibited phenomenal growth. Sur-face-micromachined devices are fabricated by depo-sition, patterning, and etching of thin films, a set ofprocess steps commonly used for VLSI fabrication.Additionally, a release step is needed to etch a sacrifi-cial layer, thereby releasing the mechanical structure.A commonly used surface-micromachining process isthe Multi-User MEMS Process service (MUMPS) fromMCNC [10]. The need to electronically process the sig-nals generated by MEMS sensors, and/or electronically

control MEMS actuators has led to the developmentof integrated surface-micromachining processes. Cur-rently, monolithically integrated MEMS fabricationalternatives in the US include the Sandia Agile MEMSPrototyping, Layout tools, and Education program(SAMPLE) [11, 12], and the iMEMSTM process [13],both of which use polysilicon as the structural ma-terial. An alternative to polysilicon microstructuresare CMOS micromachining processes in which struc-tures are made from CMOS dielectric and metal lay-ers [14, 15]. CMOS-MEMS processes decouple themicromachining steps from the CMOS process flow,leading to the advantages of low-cost fabrication ofintegrated MEMS, and an ability to place multiple iso-lated conductors within suspended structures.

This paper focuses on the polysilicon surface-micro-machining process due to its simplicity, popularity, andmaturity [16]. An example polysilicon MEMS layoutis shown in Fig. 1. The device consists of a floatingstructural layer that is attached to the substrate by an-chors. Figure 2 details the fabrication process steps forsuch devices. In particular it shows the cross-sectionA-A ′ of Fig. 1 at different points of the fabricationprocess. First a layer of low-stress silicon nitride is de-posited on the substrate for electrical insulation. This isfollowed by deposition of a polysilicon layer, which ispatterned and etched to form electrical interconnects.A sacrificial layer of phosphosilicate glass (PSG) isthen deposited and patterned to form dimples and thefirst anchor holes, as shown in Fig. 2(a). This is fol-lowed by deposition of low stress polysilicon whichis patterned with photoresist (Fig. 2(b)) and etched todefine the microstructure (Fig. 2(c)). A wet etch in

Figure 1. A folded-flexure comb-drive microresonator layout fab-ricated in a surface-micromachined polysilicon process.

Page 3: Hierarchical Mixed-Domain Circuit Simulation, Synthesis and Extraction Methodology for MEMS

Hierarchical Mixed-Domain Circuit Simulation 235

Figure 2. Polysilicon surface-micromachining process steps. (a) After sacrificial PSG deposition and patterning. (b) After deposition of struc-tural polysilicon and patterning of photoresist. (c) After etching of structural polysilicon. (d) After the final release. All dimensions in microns.

hydrofluoric acid removes the sacrificial PSG layer, andreleases the resulting polysilicon structure (Fig. 2(d)).

2.2. Suspended MEMS

Suspended MEMS are a class of microstructures thatare attached to the silicon substrate via compliant flex-ures or rigid anchors. As a counter-example, rotary mi-cromotors [17] are not considered suspended MEMS.The maturity of suspended MEMS is exemplified bythe recent success of commercial microaccelerometersfor automotive airbag deployment [18, 19] and dig-ital mirror displays for high-fidelity video projection[20]. The availability of accumulated design exper-tise, integrated MEMS/electronics fabrication capabili-ties, and electromechanical CAD modeling tools hasmade suspended-MEMS technology a suitable candi-date for initial development of design methodologiesfor MEMS.

The prototypical example of a suspended-MEMScomponent is the folded-beam flexure microreso-nator shown in Fig. 1. This specific topology was firstdescribed and analyzed by Tang [21]. It is used in res-onator oscillators, in filters, and as a mechanical char-acterization test structure to measure Young’s modulusof thin films. The central shuttle mass is suspended bytwo folded-beam flexures to form a mechanical mass-spring-damper system. The structural elements in thisprocess are formed using a homogeneous, conducting,polysilicon film. The movable microstructure is fixedto the substrate at only two anchor points, which also

act as electrical vias. Wet etching of the sacrificial oxideunder the structure results in the spacer gap.

The electrostatic actuators used to drive the resonatorin the x-direction are called ‘comb drives,’ and aremade from a set of interdigitated comb fingers. Thegenerated electrostatic force, due to the application ofa voltage across the comb fingers, does not depend uponthe displacement of the resonator (to first order). Thefolded-flexure suspension is designed to be compliantin thex-direction of motion and to be stiff in all otherin-plane directions (e.g.,y and θ ) to keep the combfingers aligned.

The simplified schematic view of the resonator,shown in Fig. 3, represents the device as an inter-connected set of mixed-domain lumped-parameterelements: The shuttle mass, two folded-flexure springs,and two comb-finger actuators which are displayed

Figure 3. Mixed-domain schematic of the lateral folded-flexurecomb-drive microresonator, including a voltage source,V , for comb-drive actuation.

Page 4: Hierarchical Mixed-Domain Circuit Simulation, Synthesis and Extraction Methodology for MEMS

236 Mukherjee and Fedder

as time-varying capacitors. Each of these elementsserves both an electrical and mechanical role. A volt-age source that drives one actuator is also included inthe schematic. The mechanical anchor points, desig-nated by notation similar to electrical ground, affectfunctional parameters such as the system modal fre-quencies.

2.3. Current Design Methodology

The suspended-MEMS design process involves elec-trical, mechanical and electromechanical energy do-mains, and can get exceedingly complex. One suchcomplex system is an microaccelerometer, which canbe built from a mass-spring-damper system similarto the microresonator. A natural hierarchy existsin such a system. The microaccelerometer is de-composable into transduction and electronic compo-nents. The transduction component includes mechani-cal transduction of acceleration into inertial force, viathe accelerometer’s mass, then to displacement viathe spring compliance, followed by electromechani-cal transduction using a variable capacitor to obtain anelectrical signal. The electronic component is neededfor subsequent signal conditioning and for feedbackcontrol to ensure that the transducer is stabilized. Opti-mal design will involve simulation at various levels:System-level simulation using a signal-flow modelof the transduction and feedback components to en-sure loop stability, component-level simulation using aKirchhoffian lumped-parameter model of the transduc-tion component to understand distortion and noise, and,device-level simulation using a meshed solid-model ofthe mechanical spring sub-component to understandthe effect of process overetch on sensor performance.Therefore, MEMS design truly involves the range fromsystem design, in which the application domain con-strains the designer, to device design, in which themanufacturing technology constrains the designer.

Most MEMS design currently takes place at the de-vice level. MEMS engineers begin the process of de-signing a new component with a rough sketch and verybasic equations to ensure feasibility. This stage usu-ally leads directly to a physical layout, due to the tightintegration of form and function in the mechanical do-main. This physical layout, the microfabrication pro-cess flow, and the materials properties of the materi-als used in the microfabrication process determine theactual performance of the final design. The designer

currently has two choices for pre-fabrication designverification: Numerical simulation (e.g., finite-elementanalysis), and behavioral simulation.

Numerical simulation involves self-consistent me-chanical finite-element analysis coupled with electro-static boundary-element analysis. Tools that cater to theMEMS community are available from several compa-nies [22–24]. The modeling of the design (solid modeland meshing) for numerical simulation, and the sub-sequent interpretation of simulation results requiresdomain expertise and quickly becomes tedious. Fur-thermore, these simulation strategies can only verifymechanical or electromechanical operation, and donot allow the complete simulation of the micro-electromechanical component with its attendant elec-tronics. Therefore, numerical simulation is primarilyseen as critically important for MEMS modelingand verification, analogous to the role that technol-ogy CAD plays in electronic device and interconnectmodeling.

The device designer who understands the micro-electromechanical design and technology issues needsto capture and encapsulate the detailed device behav-ior into a representation that the system engineer canunderstand [25, 26]. The system engineer is an ex-pert on the final system application (for example, theaccelerometer system). Approaches to encapsulatingdevice behavior into a behavioral representation suit-able for system-level simulation have been proposed[27]. Behavioral simulation can then be accomplishedusing many different commercial tools, such as SPICE[28] and MATLAB [29]. The encapsulation of de-vice behavior into a “black-box” model is limited tofixed geometry, hence the system engineer is unableto evaluate all the trade-offs affecting the system-levelperformance.

The above methodology captures system designdetails in a bottom-up fashion. Fixed and geomet-ric parametrized component libraries to support thismethodology have been constructed [30, 31] to enabledesign reuse. Behavioral models for fixed componentsin the component library have been developed, allow-ing the system designer to choose between alternatecomponents, depending on system trade-offs. How-ever, since the number of MEMS design specificationstends to be quite large (on the order of tens of specifi-cations compared to a couple for a digital componentor leaf-cell), no fixed component library can ever cap-ture the complete range of specifications of interest tothe system-level designer. Parametrized layout-based

Page 5: Hierarchical Mixed-Domain Circuit Simulation, Synthesis and Extraction Methodology for MEMS

Hierarchical Mixed-Domain Circuit Simulation 237

libraries have been developed to address this issue, butas of yet, they do not have associated parametrizedmodels, thus their use is still limited to device design-ers intimately familiar with suspended-MEMS tech-nology.

No rapid design process is available today for sys-tems incorporating MEMS. Although device verifica-tion via numerical simulation is now standard, few(complete system) design verification iterations areusually attempted during prototype design, resulting infabrication replacing simulation in the iterative designloop. This is very expensive, since fabricated proto-types often do not meet performance specifications and,sometimes, are not even functional. These problemsinhibit the use of MEMS for low-cost, low-volume ap-plication specific sensors, integrated on the same chipwith electronic information processing and communi-cation capabilities.

3. MEMS Abstractions

To enable the design of systems monolithically inte-grating MEMS and electronics, this paper proposesa hierarchical top-downdesign methodology [32–35]based on existing digital and analog design methodolo-gies. Other researchers have proposed similar method-ologies [36–39]. A successful design methodologymust recognize the levels of abstraction possible in thedesign process. The resulting design partitioning al-lows the application-knowledgeable system designer,the MEMS component engineer and the technology-conscious process/device engineer to collaborate indesigning the final product. In particular, hierarchicalabstractions enable the system designer to focus on theapplication given the component constraints and a sim-ple parametric model of the technology.

In the digital VLSI domain, system designers usehigh-level behavioral representations to describe theirdesign, and to reason about the design’s functional-ity. This is then mapped into a structural represen-tation, where critical issues regarding the design’sperformance can be solved. Technology mapping intoa logic-level representation further clarifies the detailsof the design, and physical design renders the designinto the mask information required for its manufac-ture. The analog VLSI domain is not quite as clean.Behavioral (or signal flow) representations can be de-veloped to understand the impact of issues like noiseand nonlinearity. Although manual technology map-ping into the circuit (i.e., Kirchhoffian) domain is

currently required to actually complete the design,there are several emerging approaches to meetingthe performance goals given a circuit topology (i.e.,structural representation of function) [40]. Due to thecoupling of physical layout issues into the deviceperformance, careful manual layout is still required,although again, there are emerging approaches that au-tomate the care a layout technician gives to the cir-cuit [41].

The MEMS domain is considered by many to bemore difficult than the analog domain. At least theanalog circuit designer has the advantage of lumped-element MOS models for use in Kirchhoffian simula-tion. The MEMS designer on the other hand has along history of relying on distributed finite and bound-ary element models. However, due to the underly-ing IC fabrication techniques, most MEMS structuresmay be abstracted to a higher level. As in circuit de-sign, a schematic representation of MEMS providesa critical link between layout and behavioral simula-tion that enables high-level design automation. Theschematic representation involves lumped-parametermodels of elements commonly found in suspendedMEMS. For example, the folded-flexure resonator inFig. 4(a) is considered acomponentin an oscillator. InFig. 4(b), the resonator is partitioned intofunctionalelements: A shuttle mass, two symmetrical folded-flexure springs and two comb drives. These functionalelements are composed of reusableatomic elementssuch as the anchor, plate, beam and electrostatic gapshown in Fig. 4(c). All existing suspended-MEMS de-signs can be partitioned into similar atomic elements.Conversely, new components higher up in the hierar-chy can be formed from the lower-level elements, andthese in turn can be used in even higher-level com-ponents and systems. Tools for mixed-domain circuitsimulation, synthesis and extraction to support this hi-erarchy will now be discussed.

4. Mixed-Domain Circuit Simulation

The ability to perform hierarchical multi-domain cir-cuit simulation of electronics with MEMS empowerscomponent-level and system-level designers to explorethe trade-offs affecting performance. Our approach tomixed-domain circuit simulation uses a simple set ofmicroelectromechanical elements, which can be hier-archically interconnected to create more complicatedcomponents and systems [42–44]. Values of all electri-cal, mechanical, and electromechanical variables under

Page 6: Hierarchical Mixed-Domain Circuit Simulation, Synthesis and Extraction Methodology for MEMS

238 Mukherjee and Fedder

Figure 4. Decomposition of a folded-flexure resonator. (a) Resonator component. (b) Functional elements. (c) Atomic elements.

the designer’s control are visible and can be changedin the mixed-domain schematic.

Pister at U.C. Berkeley [45, 46] is developing asimilar hierarchical representation and researchers atBosch, GmbH are applying a similar methodology,although optimized for the design of their gyroscope[47, 48]. MEMS design representations with varyingdegrees of abstraction for hierarchical construction ofsuspended MEMS are available in several CAD frame-works [39, 49–51].

The lowest level in MEMS circuit hierarchy com-prises a handful of atomic elements such as an-chors, beams, plates and electrostatic gaps. Elementschematic symbols are icons that mimic the layoutview, and have the appropriate port view for mechan-ical and electrical connections to other elements. Theatomic elements include physical behavioral modelsfor support of mixed-domain circuit simulation. Theelements integrate, form and function as part of theirmechanical nature, therefore, physical placement pa-rameters must be included in the underlying models.For example, physical placement of beams and platesaffect the resonant modes of microstructural compo-nents.

Currently, behavioral models of the atomic elementsare implemented in analog-HDL with displacementsand forces acting in thex-y plane of the wafer [52, 53].An example of a beam element connected to an anchor(i.e., a cantilever) is depicted in Fig. 5. In-plane transla-tional displacement(x, y) and rotational displacement

Figure 5. MEMS circuit representation of a simple cantileverbeam with in-plane displacements(x, y, θ) and generalized forces(Fx, Fy,Mθ ). (a) Physical view of the beam. (b) Behavioralschematic of the beam with a single force applied in they direc-tion. The values ofyb andθb will increase as a result of the appliedforce.

(θ) are defined as across variables. Forces(Fx, Fy)

and bending moment(Mθ ) acting on the element aredefined as through variables. This treatment of me-chanical variables is similar to treating voltages(V) asacross variables and currents(I ) as through variablesin an electrical circuit. The force balance equation,which states that the sum of all forces acting on a bodyis zero, is enforced by the mechanical equivalent ofKirchhoff’s current law. That is, the sum of all “branchforces” incident at a node is equal to zero. The beam

Page 7: Hierarchical Mixed-Domain Circuit Simulation, Synthesis and Extraction Methodology for MEMS

Hierarchical Mixed-Domain Circuit Simulation 239

Figure 6. One-dimensional electromechanical model of the comb drive. (a) Layout showing the displacement current passing through thecomb drive and the attractive electrostatic force. (b) The comb drive model with force as a dependent source and capacitance as a time-varyingelement.

model specifies the constitutive relation for the force vs.displacement, based on well-known elastic theory [54].In the mixed-domain schematic in Fig. 5(b), a force isapplied at the end of the beam in they-direction, re-sulting in a translational and rotational displacement.

To increase the speed of simulation, reduced-ordermacromodels of selected higher-level components maybe developed. A one-dimensional version of the comb-drive model is shown in Fig. 6. The comb drive isboth a capacitive sensor and an electrostatic actua-tor. The air-gap capacitance across the interdigitatedcomb fingers is modeled as a displacement-dependentcapacitor,C. A first-order model, based on parallel-plate capacitance and valid when the fingers over-lap, is

C = 2Nε0h

g(x0− x) (1)

whereε0 is permittivity of air,h is the comb-drive thick-ness,g is the gap between fingers,N is the number ofrotor fingers, andx0 is the finger overlap at rest. The ca-pacitance decreases linearly with the displacement,x.If a voltage is applied across the comb drive, a motionof the fingers will generate electrical displacement cur-rent arising from the change in charge(q = CV) withtime. The application of a voltage will also generate anelectrostatic force in thex-direction as defined by thedependent through-variable source,F . The force is a

nonlinear function of voltage, given to first-order by

F = 1

2

∂C

∂xV2 = −Nε0h

gV2 (2)

whereV is the applied voltage across the comb drive.The force source attracts the rotor set of movable fingersto the stator set of anchored fingers.

A mixed-domain schematic of a folded-flexure mi-croresonator is shown in Fig. 7. In this topology, theresonator component is represented as a connected setof 14 beams, five plates, six anchors and two comb-drive elements. The two key features of the represen-tation are the one-to-one correspondence of physicallayout to the low-level elements, and the easy integra-tion into existing IC schematic capture tools.

The microresonator was simulated in SaberTM [55]using two-dimensional models [44]. Transient and acsimulation results are shown in Fig. 8. From the acsweep simulation, the mechanical resonant frequencyis 30 kHz. Since the resonant frequency is determinedsolely by the elastic properties of the resonator, anumerical finite element analysis of a solid modelof the resonator was performed to verify the cir-cuit simulation accuracy. The results agree to within1%, with the circuit simulation taking several sec-onds compared to a few minutes for the finite el-ement analysis. More importantly, input preparation(layout to solid model generation and meshing) took

Page 8: Hierarchical Mixed-Domain Circuit Simulation, Synthesis and Extraction Methodology for MEMS

240 Mukherjee and Fedder

Figure 7. Mixed-domain schematic representation of a microresonator. Parameter values are listed next to each element symbol. A trans-resistance amplifier detects the displacement current generated by the motion of the resonator.

almost an afternoon for the finite element analysis,whereas the layout-based schematic was constructedfrom the atomic and functional elements in about tenminutes.

The mixed-domain circuit simulation is able to gen-erate transient simulation results of integrated MEMSwith electronics, which is not possible in the finite ele-ment analysis. The transient simulation indicates that

Page 9: Hierarchical Mixed-Domain Circuit Simulation, Synthesis and Extraction Methodology for MEMS

Hierarchical Mixed-Domain Circuit Simulation 241

Figure 8. Microresonator circuit simulation results. (a) ac analysis(b) Transient analysis.

the steady-state drive amplitude is 2.5µm with a start-up settling time of 3 ms.

The microresonator example is prototypical ofsuspended-MEMS applications such as resonatoroscillators, micromechanical RF filters, capacitiveaccelerometers and capacitive vibratory-rate gyro-scopes. These systems share common traits of sus-pended mass, electrostatic actuation, capacitive motiondetection, and conditioning and control electronics.Transient, dc and ac simulation capability for thesemixed-domain systems is crucial for evaluating theirperformance. Since the mixed-domain circuit repre-sentation fits into existing simulation environments,the designer can take advantage of Monte-Carlo andworst-case analysis capabilities built into the tools.

5. Layout Synthesis of MEMS Components

MEMS components tend to have a large number of de-sign specifications coupled with large ranges for eachspecification. For example, the acceleration specifica-tion for microaccelerometer applications ranges from1 G for inertial sensors to greater than 100,000 Gfor munitions fuzing. Additional specifications, includ-ing bandwidth, resolution, sensitivity, linearity, and

cross-axis rejection, must be simultaneously satisfiedfor a specific application. This limits the usefulness offixed-cell libraries in MEMS design.

Although parametrized component libraries havebeen developed (e.g., CaMEL from MCNC [31]), thecomponent generators are purely geometric, thereforethe designer must manually evaluate numerous itera-tions to generate a design which satisfies performancerequirements. An alternate approach to generatingsuch a design is to use design optimization to invertthe design analysis equations, given a list of desiredperformances. Design optimization tools have beendeveloped for important classes of MEMS componentssuch as compliant mechanisms (flexible structures thatgenerate a wide variety of mechanical motions throughelastic deformations) [56, 57], spring/active area trade-offs [58], comb-drives [59], and bulk micromachinedstructures [60]. Our approach [61, 62] combines ge-ometric parametrized cell-library generation with de-sign optimization to translate the user-specified devicespecifications (e.g., resonator frequency) directly intogeometrical layout parameters. Synthesis modules forcommonly used suspended MEMS components, suchas resonators [63], accelerometers [64], gyroscopes andpositioners are being developed. Instead of redesigningthese components, each time a new system is proposed,engineers benefit from synthesizers which tackle theroutine design of frequently-used components. Fromthe system designer’s point of view, such synthesizerstake care of all the technology and device issues, andallow the designer to focus on the component perfor-mance, and its impact on system performance.

The development of a synthesis module involvesdetermining the design variables, the numerical de-sign constraints, and the quantitative design objec-tive. As a starting point, a synthesis tool for thesurface-micromachined resonator topology of Fig. 1has been developed and tested. The lowest nine lat-eral translational and rotational modes (both in-planeand out-of-plane) of the mass-spring-damper systemare modeled by second-order equations of motion. Inthis initial work, analytical equations are used insteadof the mixed-domain circuit simulation methodologydetailed in Section 4 because of the prohibitively longtime required by the iterative nature of optimization-based synthesis. In essence, these equations modelthe behavior of the functional elements (e.g., folded-flexure spring, comb drive), rather than the atomicelements (e.g., beams and gaps). This higher levelmodeling results in the elimination of internal nodes

Page 10: Hierarchical Mixed-Domain Circuit Simulation, Synthesis and Extraction Methodology for MEMS

242 Mukherjee and Fedder

from the mixed-domain circuit simulation, and a fasterperformance evaluation module for the iterative syn-thesis methodology.

In the x-direction, the second-order lumped-para-meter mass-spring-damper system is:

Fe,x = mxx+ Bxx + kxx (3)

whereFe,x is the lateral component of the external elec-trostatic force generated by the comb drives. The effec-tive mass(mx), damping coefficient(Bx), and springconstants(kx) for these modes are calculated from thegeometry and material parameters of the lumped el-ements. Similar equations are derived for the otherlateral translational and rotational modes. The coeffi-cients in (3) can be expanded to:

mx = ms+ 1

4mt + 12

35mb (4)

wherems is the shuttle mass,mt is the total mass ofall truss sections, andmb is the total mass of all longbeams. For operation at atmospheric pressure, damp-ing is dominated by viscous air forces generated by themoving shuttle. Viscous air damping is proportional tovelocity with a damping factor given by [65]:

Bx =µ[(As+0.5At+0.5Ab)

(1

d+ 1

δ

)+ Ac

g

](5)

whereµ is the viscosity of air,d is the spacer gap,δis the penetration depth of airflow above the structure,g is the gap between comb fingers, andAs, At, Ab,and Ac are bloated layout areas for the shuttle, trussbeams, flexure beams, and comb-finger sidewalls, re-spectively. The linear equations for the folded-flexurespring constant in thex-direction is given by [29]:

kx = 2Etw3b

L3b

L2t + 14αL tLb+ 36α2L2

b

4L2t + 41αL tLb+ 36α2L2

b

(6)

whereE is the Young’s modulus of polysilicon,t is thepolysilicon thickness,α is the cube of ratio of the beamto truss width,wb is the beam width andL t andLb arethe truss and beam length respectively.

All of the design variables are structural parametersof the folded-flexure and comb-drive elements, withthe exception of the comb-drive voltage. Technology-driven design rules constrain the minimum geometries,such as beam widths and minimum spaces betweenstructures. Maximum values of structural parametersare primarily constrained by manufacturing constraintssuch as sticking of the structural film to the substrate

during sacrificial oxide etching. The functional con-straints include resonant frequency, stroke, quality fac-tor, and electromechanical stability.

The complete design problem (described in detailin [66]) is represented as a constrained nonlinear opti-mization problem, and solved by an off-the-shelf solver[67]. A gridded-multistart approach is used to over-come local minima, and a branch-and-bound approachis used for handling the integer variables (e.g., num-ber of comb fingers). Various design objectives suchas minimization of area, drive voltage, combination ofarea and voltage, and maximization of displacementhave been used to explore the resonator design space.Various engineering specifications such as resonatorfrequency were used to understand the constraint spacefor each microresonator objective. Results for low-frequency (10 kHz) and high-frequency (300 kHz) res-onators are shown in Fig. 9. As expected, the high fre-quency devices are much smaller than their low fre-quency counterparts. Smaller devices have less mass,and smaller flexures are stiffer. Both effects increasethe resonant frequency.

Synthesized resonators were experimentally fabri-cated to verify their actual resonant frequencies andquality factors and compared to the analytical model.The fabricated resonators were affected by beamoveretching, resulting in a trapezoidal cross-sectionwith beam widths being smaller than the designedvalues. Using measured results for the trapezoidalcross-section geometry and material properties, theanalytically predicted values of resonant frequency andquality factor are compared to the corresponding exper-imental results in Fig. 10. The analytical models arequite accurate with the measured resonant frequencymatching to within 4% of the model. This implies thatthe synthesis tool is able to synthesize resonators withintended resonant frequencies, if a process that has awell-characterized overetch is used.

The quality factor is accurate to about 20% at highfrequencies (at 20 kHz, the model is accurate to within5%). At higher frequencies, when the dimensions aresmall, the edge and finite-size damping effects becomemore significant. Hence, more error in the quality fac-tor model is seen at higher frequencies. Higher accu-racy requires research on more accurate MEMS damp-ing models.

6. MEMS Layout Extraction

Layout correctness can only be accomplished byextracting the layout into a schematic netlist and

Page 11: Hierarchical Mixed-Domain Circuit Simulation, Synthesis and Extraction Methodology for MEMS

Hierarchical Mixed-Domain Circuit Simulation 243

Figure 9. Resonators synthesized for four different design objectives: (a) Minimize active area, (b) minimize drive voltage, (c) minimizecombination of active area and drive voltage, and (d) maximize displacement. Resonant frequencies are 10 kHz (left) and 300 k(Hz) (right).

Figure 10. Comparison of measurements of resonant frequency andquality factor with analytical models.

simulating for functional correctness, or by comparingthe layout to a golden design netlist to ensure geometricconnectivity [68]. The VLSI design methodology alsouses extraction for layout verification. Unlike VLSI,the features (shape, size and position) of each lay-out rectangle is of utmost importance and plays acrucial role in recognizing the constitutive MEMSelements.

The objective of the extraction tool is to recognizethe layout elements based on their geometric features,enabling subsequent rapid simulation-based verifica-tion. To achieve this, the lowest-level atomic elementsare first detected followed by recognition of commonlyused functional elements such as comb drives. Since

Page 12: Hierarchical Mixed-Domain Circuit Simulation, Synthesis and Extraction Methodology for MEMS

244 Mukherjee and Fedder

the parametrized models for these elements were al-ready derived for mixed-domain circuit simulation, thelayout extraction only needs to determine the geomet-rical parameters of the identified elements.

The extraction process is demonstrated using thefolded-flexure resonator layout shown in Fig. 11(a).The input layout is converted into a canonical repre-sentation, shown in Fig. 11(b), which allows the devel-opment of algorithms that are independent of the CADsoftware used to generate the input layout. The canoni-cal representation significantly increases the number ofrectangles needed to represent the design, primarily be-cause of the presence of fingers in the resonator layout.Suspended MEMS tend to use a significant numberof fingers to improve electromechanical transduction.Identification and elimination of the fingers results inan alternative canonical representation that is greatlysimplified (Fig. 11(c)). Feature-based recognition thendetects the various atomic elements (beams, plates,gaps and anchors) as shown in Fig. 11(d). Technology-specific information from other layers, like location ofanchor cuts, is used to help in this phase. In Fig. 11(e),each of the remaining rectangles in the canonical repre-sentation is classified using properties of the elements(e.g., a beam is a rectangle with two ports locatedon its two short sides) and using the interconnectiv-ity between the elements. The next step is to reducethe number of rectangles needed to represent the massand anchor area. Figure 11(f) shows a minimal repre-sentation where the rectangles have been first mergedhorizontally and then merged vertically. This merg-ing reduces the total number of ports in the generatednetlist, and hence decreases the simulation time forthe extracted netlist. The minimized set of classifiedrectangles is then converted into a netlist. The geomet-ric size of each of the classified rectangles is extractedinto the element parameters in this netlist. The primaryobjective of having a check on the designed layout isachieved by comparing the extracted netlist with theoriginal design netlist. Behavior may also be verifiedby running a mixed-domain circuit simulation on theextracted netlist.

The present implementation is limited to Manhattan-style (rectilinear) designs. Therefore, the extractedparameters for each rectangle are simply its center posi-tion, length and width. Furthermore, the rectangle iden-tification routine is technology specific, and the presentimplementation is limited to the MUMPS polysili-con MEMS process. Extensions to other polysiliconMEMS processes is trivial.

7. Discussion

The mixed-domain circuit simulation, synthesis andextraction tools form the core of a top-down integratedelectronics/MEMS design methodology. In this hierar-chical design methodology, the system-level engineerbegins by creating a system architecture that imple-ments the desired system concept, as shown in Fig. 12.A general mixed-domain architecture will include dig-ital electronics for computation, analog electronics forsignal conditioning and control, RF electronics forcommunication, and MEMS for sensing, control, andactuation. A traditional digital design approach can befollowed for the digital portion of the design. Emerg-ing analog design methodologies [69] and RF designtools can be applied for the analog and RF portion ofthe design problem, with the MEMS designed via anelectronics-compatible MEMS design flow.

At the topmost level, the MEMS system needs tobe manually decomposed into components that whencombined achieve the functionality of the system, justlike in the analog domain (and unlike the digital arena,where behavioral synthesis of desired function is pos-sible). For the resonator oscillator example, the com-ponents include the transducer and capacitive-senseelectronics. Past achievable component performancestogether with design creativity at the system-level guidethe final architecture choices. Behavioral models ofthe components in analog HDL such as VHDL-AMS[70] can be simulated to determine the componentspecifications needed to meet the target system goals.These models are behavioral (i.e., a second-order trans-fer function) and not structural (i.e., the mass-spring-damper topology is unspecified), and therefore areeasily created manually.

The MEMS components in the system architec-ture need to be refined into a structural representation.Structural representation of digital blocks can occur atseveral levels (RTL, logic, gate, switch, circuit). Thelayout-based schematic of Section 4 provides the struc-tural representation for the MEMS components (e.g.,the resonator), and can be integrated with electronicsto represent the entire system (e.g., an oscillator). Amixed-domain circuit simulation can be used to explorealternate connections of mechanical, electromechani-cal and electronic elements to determine the compo-nent topology. Once a MEMS component topology ischosen, the sizes of each atomic element need to be de-termined. The component-synthesis tool of Section 5translates the component’s performance specifications

Page 13: Hierarchical Mixed-Domain Circuit Simulation, Synthesis and Extraction Methodology for MEMS

Hierarchical Mixed-Domain Circuit Simulation 245

Figure 11. Folded-flexure resonator. (a) Layout, (b) canonical representation, (c) canonical representation after separating the fingers, (d)intermediate state, (e) detected state, (f) optimized result. Each type of recognized element is shaded differently.

Page 14: Hierarchical Mixed-Domain Circuit Simulation, Synthesis and Extraction Methodology for MEMS

246 Mukherjee and Fedder

Figure 12. Mixed-domain design methodology.

into layout, enabling a system-designer to design theoptimum MEMS components needed for the system.Alternately, this sizing task can be performed by iter-ating on the mixed-domain circuit simulation (whichcan be easily translated into the actual layout since theschematic is layout-based).

The next step is the verification of the componentlayout, which is accomplished via the layout extrac-tion tool of Section 6. Extraction leads to a sim-ulation netlist, that is verified for functionality viamixed-domain circuit simulation. Finally, the compo-nent layouts can be integrated into a system layout(using a traditional electronics floorplanner and chip-level router for now, until the demands that integratedelectronics/MEMS systems are better understood).System-level extraction and simulation for the inte-grated electronics/MEMS is needed to verify functionalcorrectness, and may result in design iterations priorto fabrication. Again, traditional hierarchical electron-ics extraction tools will suffice for now (until a morecomplete understanding of coupling and parasitics be-tween MEMS blocks within an integrated microsys-tem is achieved). As hierarchical electronic extraction

tools are unable to identify the MEMS elements, thefirst step in system-level extraction involves a MEMSextraction, in which the atomic elements, functionalelements and MEMS components are identified, andappropriately annotated into the layout for subse-quent extraction via traditional electronics extractiontools.

One crucial issue is the back-annotation of techno-logical information from the component-level to thesystem-level. The initial architecture exploration andcomponent specifications are developed using simplis-tic behavioral models. These models have to be elab-orated with response surface models that capture theactual component performances for system-level veri-fication. Several alternatives for this back-annotationare currently being explored, and no conclusive resultsare available at this stage.

8. Conclusions

The mixed-domain systems-on-a-chip design method-ology for suspended MEMS promises to shorten the

Page 15: Hierarchical Mixed-Domain Circuit Simulation, Synthesis and Extraction Methodology for MEMS

Hierarchical Mixed-Domain Circuit Simulation 247

development cycle to days, and enable design ofmore complex systems comprised of hundreds to thou-sands of micromechanical elements. Identification ofreusable hierarchical representations of MEMS com-ponents is a critical first step in advancing toward ahierarchical design methodology and in leveraging ex-isting CAD tools.

A mixed-domain schematic representation and cir-cuit simulation technique enables rapid exploration andanalysis of the design space for MEMS components.The identification and modeling of the fundamentalMEMS elements, and the ability to interconnect theseelements for new device designs is critical for shorten-ing the MEMS design cycle.

MEMS component synthesis is a powerful tool forbuilding common components that can then be usedin larger systems. The identification and modeling ofcomponent-level lumped-parameter models that ad-equately link device behavior with physical designvariables, and the integration of these models with op-timization leads to automatic custom design capability,as well as design-space exploration capability crucialfor the system-level architecture decisions.

MEMS extraction is essential for layout verificationof synthesized or manual layouts. The use of a com-mon set of fundamental elements between the extrac-tion and simulation methodologies enables the use ofextraction output for behavioral verification, as well asfor netlist comparison to ensure correct connectivity ofthe components.

Finally, we envision a MEMS design environment inwhich the expert MEMS designer can rapidly iterate onideas for MEMS designs, in the same integrated envi-ronment where a system-level designer can use synthe-sized and custom-made MEMS components to developmonolithic mixed-technology chips for low-cost, low-volume applications. Such an environment is essentialfor designs in which several unique MEMS sensorsneed to be integrated on the same chip with electronicinformation processing capability.

Acknowledgments

The authors thank Q. Jing, J. Vandemeer and M.Kranz for the MEMS behavioral simulation results, S.Iyer for the component synthesis results, and Dr. S.K.Gupta and B. Baidya for the extraction results. This re-search effort is sponsored by the Defense AdvancedResearch Projects Agency (DARPA) and US AirForce Research Laboratory, under agreement number

F30602-96-2-0304 and F30602-97-2-0323 and by NSFCAREER award MIP-9625471. The US Governmentis authorized to reproduce and distribute reprints forgovernmental purposes notwithstanding any copyrightnotation thereon. The views and conclusions containedherein are those of the authors and should not be in-terpreted as necessarily representing the official poli-cies or endorsements, either expressed or implied, ofDARPA, the US Air Force Research Laboratory, or theUS Government.

References

1. R.T. Howe, R.S. Muller, K.J. Gabriel, and W.S.N. Trimmer,“Silicon micromechanics: Sensors and actuators on a chip,”IEEE Spectrum, Vol. 27, No. 7, pp. 29–31, 34–35.

2. J. Bryzek, K. Petersen, and W. McCulley, “Micromachines onthe March,”IEEE Spectrum, pp. 20–31, May 1994.

3. S.T. Picraux and P.J. McWhorter, “The broad sweep of integratedmicrosystems,”IEEE Spectrum, pp. 24–33, Dec. 1998.

4. N. Yazdi, F. Ayazi, and K. Najafi, “Micromachined inertial sen-sors,”IEEE Proceedings, pp. 1640–1659, Aug. 1998.

5. P.F. Van Kessel, L.J. Hornbeck, R.E. Meier, and M.R.Douglass, “A MEMS-based projection display,”IEEE Proceed-ings, pp. 1687–1704, Aug. 1998.

6. T.D. Boone, H.H. Hooper, and D.S. Soane, “Integrated chemicalanalysis on plastic microfluidic devices,”Proc. of Solid StateSensors and Actuators Workshop, Hilton Head, SC, pp. 87–92,June 8–11, 1998.

7. G. Asada, M. Dong, T.S. Lin, F. Newberg, G. Pottie, W.J. Kaiser,and H.O. Marcy, “Wireless integrated network sensors: Lowpower systems on a chip,”Proc. of the 1998 European SolidState Circuits Conference.

8. M. Despont, J. Brugger, U. Drechsler, U. Durig, W. Haberle,M. Lutwyche, H. Rothuizen, R. Stutz, R. Widmer, H. Rohrer,G. Binnig, and P. Vettiger, “VLSI-NEMS chip for AFM datastorage,” Proc. of 1999 IEEE Microelectromechanical Sys-tems Conference, Orlando, FL, pp. 564–569, Jan. 17–21,1999.

9. W.C. Tang, “Overview of microelectromechanical systems anddesign processes,”Proc. of 34th Design Automation Confer-ence, Anaheim, CA, pp. 670–673, June 9–13, 1997.

10. D.A. Koester, R. Mahadevan, and K.W. Markus,Multi-UserMEMS Processes (MUMPs) Introduction and Design Rules,available from MCNC MEMS Technology Applications Center,3021 Cornwallis Road, Research Triangle Park, NC 27709,rev. 3, p. 39, Oct. 1994.

11. Intelligent Micro Machine Initiative, Sandia National Laborato-ries, http://www.mdl.sandia.gov/micromachine/.

12. J.H. Smith, S. Montague, J.J. Sniegowski, J.R. Murray, andP.J. McWhorter, “Embedded micromechanical devices for themonolithic integration of MEMS with CMOS,”Proc. IEDM,pp. 609–612, 1995.

13. Introduction to iMEMSTM, Analog Devices (http://imems.mcnc.org/imems/ imems.html).

14. H. Baltes, O. Paul, and O. Brand, “Micromachined thermallybased CMOS microsensors,”Proceedings of the IEEE, Vol. 86,No. 8, pp. 1660–1678.

Page 16: Hierarchical Mixed-Domain Circuit Simulation, Synthesis and Extraction Methodology for MEMS

248 Mukherjee and Fedder

15. G.K. Fedder, S. Santhanam, M. Reed, S. Eagle, M. Lu, andR. Carley, “Laminated high-aspect-ratio microstructures in aconventional CMOS process,”Sensors and Actuators A, Vol. 57,pp. 103–110, 1996.

16. J.M. Bustillo, R.T. Howe, and R.S. Muller, “Surface microma-chining for microelectromechanical systems,”Proceedings ofthe IEEE, Vol. 86, No. 8, pp. 1552–1574.

17. M. Mehregany, S.D. Senturia, J.H. Lang, and P. Nagarkar,“Micromotor fabrication,” IEEE Transactions on ElectronDevices, Vol. 39, No. 9, pp. 2060–2069.

18. ADXL250 Accelerometer Data Sheet, Analog Devices, OneTechnology Way, P.O. Box 9106, Norwood, MA 02062-9106,1998 (http://www.analog.com).

19. PMMA2001D Accelerometer Data Sheet, Motorola SensorProducts, 1998 (http://designnet.com/senseon).

20. DLP Data Sheets, Texas Instruments DLP Division, 1998(http://www.ti.com/dlp/).

21. W.C. Tang, T.-C.H. Nguyen, M.W. Judy, and R.T. Howe, “Elec-trostatic comb drive of lateral polysilicon resonators,”Sensorsand Actuators A, Vol. 21, No. 1–3, pp. 328–331, Feb. 1990.

22. MEMCAD Web Page, http://www.memcad.com, MicrocosmTechnologies, 201 Willesden Dr., Cary, NC 27513.

23. Intellisense Web Page, http://www.intellis.com, IntelliSenseCorporation, 16 Upton Dr., Wilmington, MA 01887.

24. J.M. Funk, J.G. Korvink, J. B¨uhler, M. Bachtold, and H. Baltes,“SOLIDIS: A tool for microactuator simulation in 3-D,”J.Microelectromech. Sys., Vol. 6, No. 1, pp. 70–82, March 1997(SOLIDIS Web Page, http://www.ise.ch/solidis).

25. S.D. Senturia, “CAD challenges for microsensors, microactua-tors and microsystems,”Proceedings of the IEEE, Vol. 86, No. 8,pp. 1611–1626, Aug. 1998.

26. S.D. Senturia, “Simulation and design of microsystems: A10-year perspective,”Sensors and Actuators A, Vol. 70, pp. 1–7,1998.

27. L.D. Gabbay and S.D. Senturia, “Automatic generation ofdynamic macro-models?,”Proc. 1998 Solid State Sensors andActuators Workshop, Hilton Head, GA, June 7–11, 1998.

28. G.K. Fedder and R.T. Howe, “Multimode digital control of asuspended polysilicon microstructure,”J. Microelectromechani-cal Systems, Vol. 5, No. 4, pp. 283–297, Dec. 1996.

29. G.K. Fedder, “Simulation of microelectromechanical systems,”Ph.D. thesis, Dept. of Electrical Engineering and ComputerScience, U.C. Berkeley, Sept. 1994.

30. Micromachines Program, available from Multi-Project Circuits(CMP) Service, 46, avenue Felix Viallet, 38031 Grenoble Cedex,pp. 29, Oct. 1994.

31. CaMEL Web Page, http://www.mcnc.org/camel.org, MCNCMEMS Technology Applications Center, 3021 Cornwallis Road,Research Triangle Park, NC 27709.

32. T. Mukherjee and G.K. Fedder, “Structured design of micro-electromechanical systems,”Proc. of 34th Design AutomationConference, Anaheim, CA, pp. 680–685, June 9–13, 1997.

33. R.D. Blanton, G.K. Fedder, and T. Mukherjee, “Hierarchicaldesign and test of MEMS,”MST News, Vol. 1, 1998.

34. T. Mukherjee and G.K. Fedder, “Design methodology for mixeddomain systems on a chip,”Proc. IEEE Computer Society Work-shop on VLSI 98, Orlando, FL, pp. 96–101, April 1998.

35. G.K. Fedder, “Structured design of microelectromechanicalsystems,”Proc. IEEE Int. Conf. on MicroelectromechanicalSystems, Orlando, FL, pp. 1–8, Jan. 18–21, 1999.

36. E.K. Antonsson, “Structured design methods for MEMS,”NSFSponsored Workshop on Structured Design Methods for MEMS,Nov. 12–15, 1995.

37. E.C. Berg, N.R. Lo, J.N. Simon, H.J. Lee, and K.S.J.Pister, “Synthesis and simulation for MEMS design,”ACMSIGDA Physical Design Workshop, Reston VA, pp. 66–70,April 1996.

38. N.R.L. Lo, E.C. Berg, S.R. Quakkelaar, J.N. Simon, M.Tachiki, H.-J. Lee, and K.S.J. Pister, “Parametrized layout syn-thesis, extraction, and SPICE simulation for MEMS,”Proc.1996 IEEE International Symposium on Circuits and Systems,Atlanta, GA, pp. 481–484, May 12–15, 1996.

39. J.M. Karam, B. Courtois, H. Boutamine, P. Drake, A. Poppe,V. Szekely, M. Rencz, K. Hoffman, and M. Glesner, “CAD andfoundries for microsystems,”Proc. of 34th Design AutomationConference, Anaheim, CA, pp. 674–679, June 9–13, 1997.

40. E.S. Ochotta, T. Mukherjee, R.A. Rutenbar, and L.R.Carley, Practical Synthesis of High-Performance Analog Cir-cuits, Kluwer Academic Publishers, 1997.

41. J.M. Cohn, D.J. Garrod, R.A. Rutenbar, and L.R. Carley,AnalogDevice-Level Layout Automation, Kluwer Academic Publishers,1992.

42. J.E. Vandemeer, M.S. Kranz, and G.K. Fedder, “Nodal sim-ulation of suspended MEMS with multiple degrees of free-dom,” Proc. of the 1997 International Mechanical EngineeringCongress and Exposition: The Winter Annual Meeting of ASMEin the 8th Symposium on Microelectromechanical Systems,Dallas, TX, Nov. 16–21, 1997.

43. J.E. Vandemeer, M.S. Kranz, and G.K. Fedder, “Hierarchicalsimulation of surface-micromachined inertial sensors,”Proc.1998 Int. Conf. on Modeling and Simulation of Microsystems,Semiconductors, Sensors and Actuators (MSM ’98), Santa Clara,CA, pp. 540–545, April 6–8, 1998.

44. G.K Fedder and Q. Jing, “NODAS 1.3—nodal design of actua-tors and sensors,”Proc. IEEE/VIUF Int. Workshop on BehavioralModeling and Simulation, Orlando, FL, Oct. 27–28, 1998.

45. J. Clark, N. Zhou, S. Brown, and K.S.J. Pister, “Nodal analysisfor MEMS design using SUGAR v0.5,”Proc. 1998 InternationalConference on Modeling and Simulation of Microsystems, Semi-conductors, Sensors and Actuators (MSM 98), Santa Clara, CA,pp. 308–313, April 6–8, 1998.

46. J. Clark, N. Zhou, and K.S.J. Pister, “Fast, accurate MEMSsimulation with SUGAR 0.4,”Proc. 1998 Solid State Sensorsand Actuators Workshop, Hilton Head, GA, June 7–11, 1998.

47. G. Lorenz and R. Neul, “Network modeling of micromachinedsensor systems,”Proc. 1998 International Conference on Mod-eling and Simulation of Microsystems, Semiconductors, Sen-sors and Actuators (MSM 98), Santa Clara, CA, pp. 233–238,April 6–8, 1998.

48. D. Teegarden, G. Lorenz, and R. Neul, “How to model and sim-ulate microgyroscope systems,”IEEE Spectrum, Vol. 35, No. 7,pp. 66, 1998.

49. M.A. Maher and H.J. Lee, “MEMS systems design and verifi-cation tools,”Proc. of the SPIE Smart Structures and MaterialsConference, San Diego, CA, pp. 40–48, March 1998.

50. N. Swart, S.F. Bart, M.H. Zaman, M. Mariappan, J.R. Gilbert,and D. Murphy, “Auto-MM: Automatic generation of dynamicmacromodels for MEMS devices,”Proc. of the IEEE MicroElectro Mechanical Systems Workshop, Heidelberg, Germany,pp. 178–183, Jan. 1998.

Page 17: Hierarchical Mixed-Domain Circuit Simulation, Synthesis and Extraction Methodology for MEMS

Hierarchical Mixed-Domain Circuit Simulation 249

51. J. Scholliers and T. Yli-Pietila, “Simulation of mechatronicsystems using analog circuit simulation tools,”Proc. IEEEIntl. Conference on Robotics and Automations, Nagoya, Japan,Vol. 3, pp. 2847–2852, May 21–27, 1995.

52. I. Getreu, “Behavioral modeling of analog blocks using theSABER simulator,”Proc. Microwave Circuits and Systems,pp. 977–980, Aug. 1989.

53. Open Verilog International,Verilog-A Reference Manual: Ana-log Extensions to Verilog-HDL, http://www.ovi.org.

54. S.P. Przemieniecki,Theory of Matrix Structural Analysis,McGraw-Hill, New York, 1968.

55. I. Getreu, “Behavioral modelling of analog blocks using theSABER simulator,”Proc. Microwave Circuits and Systems,pp. 977–980, Aug. 1989.

56. G.K. Ananthasuresh, S. Kota, and N. Kikuchi, “Strategiesfor systematic synthesis of compliant MEMS,”Proc. 1994ASME Winter Annual Meeting, Dynamics Systems and Control,Chicago, IL, DSC-Vol. 55-2, pp. 677–686.

57. A. Saxena and G.K. Ananthasuresh, “An optimality criteriaapproach for the topology synthesis of compliant mechanisms,”Proc. 1998 ASME Design Engineering Technical Conferences(DETC ’98)—25th Biennial Mechanisms Conference, paperDETC98/MECH-5937, Atlanta, Sept. 1998.

58. D. Haronain, “Maximizing microelectromechanical sensor andactuator sensitivity by optimizing geometry,”Sensors and Actu-ators A, Vol. 50, pp. 223–236, 1995.

59. W. Ye, S. Mukherjee, and N.C. MacDonald, “Optimal shapedesign of an electrostatic comb drive in microelectromechanicalsystems,”J. Microelectromechanical Systems, Vol. 7, pp. 16–26,March 1998.

60. H. Li and E.L. Antonsson, “Evolutionary techniques in MEMSsynthesis,”Proc. 1998 ASME Design Engineering TechnicalConferences (DETC ’98)—25th Biennial Mechanisms Confer-ence, paper DETC98/MECH-5840, Atlanta, Sept. 1998.

61. G.K. Fedder, S. Iyer, and T. Mukherjee, “Automated optimalsynthesis of microresonators,”Technical Digest of the IEEE Int.Conf. on Solid-State Sensors and Actuators (Transducers ’97),Chicago, IL, Vol. 2, pp. 1109–1112, June 16–19, 1997.

62. S. Iyer, T. Mukherjee, and G.K. Fedder, “Multi-mode sensi-tive layout synthesis of microresonators,”Proc. 1998 Int. Conf.on Modeling and Simulation of Microsystems Semiconductors,Sensors and Actuators (MSM ’98), Santa Clara, CA, pp. 392–397, April 6–8, 1998.

63. T. Mukherjee, S. Iyer, and G.K. Fedder, “Optimization-basedsynthesis of microresonators,”Sensors and Actuators A, Vol. 70,pp. 118–127, 1998.

64. T. Mukherjee, Y. Zhou, and G.K. Fedder, “Automated optimalsynthesis of microaccelerometers,”Proc. IEEE Int. Conf. onMicroelectromechanical Systems, Orlando, FL, pp. 326–331,Jan. 18–21, 1999.

65. X. Zhang and W.C. Tang, “Viscous air damping in laterallydriven microresonators,”Sensors and Materials, Vol. 7, No. 6,pp. 415–430, 1995.

66. S. Iyer, “Layout synthesis of microresonators,” M.S. thesis,Carnegie Mellon University, May 1998.

67. P.E. Gill, W. Murray, M.A. Saunders, and M.H. Wright,User’sGuide for NPSOL, Systems Optimization Laboratory, Dept. ofOperations Research, Stanford University, SOL 86-2, Jan. 1986.

68. B. Baidya, S.K. Gupta, and T. Mukherjee, “Feature-recognitionfor MEMS extraction,”Proc. 1998 ASME Design EngineeringTechnical Conferences (DETC ’98)—25th Biennial MechanismsConference, paper DETC98/MECH-5838, Atlanta, Sept. 1998.

69. L.R. Carley, G.G.E. Gielen, R.A. Rutenbar, and W.M.C Sansen,“Synthesis tools for mixed-signal ICs: Progress on frontend andbackend strategies,”Proc. of the IEEE/ACM Design AutomationConference, pp. 298–303, June 1996.

70. IEEE 1076.1 Working Group, http://vhdl.org/vi/analog.

Tamal Mukherjee is a Research Engineer and Assistant Directorof the Center for Electronic Design Automation in Electrical andComputer Engineering Department at Carnegie Mellon University.He received his B.S., M.S. and Ph.D. degrees from Carnegie MellonUniversity in 1987, 1990, and 1995 respectively. His research inter-ests include CAD tools to support analog circuit design and MEMSdesign, as well as numerical optimization algorithms.

Gary K. Fedder is an Associate Professor at Carnegie Mellon Uni-versity holding a joint appointment with the Department of Electricaland Computer Engineering and The Robotics Institute. He receivedthe B.S. and M.S. degrees in electrical engineering from MIT in1982 and 1984, respectively. From 1984 to 1989, he worked atthe Hewlett-Packard Company on circuit design and printed-circuitmodeling. In 1994, he received the Ph.D. degree from U.C. Berkeley,where his research resulted in the first demonstration of multimodecontrol of a underdamped surface-micromachined inertial device. Hereceived the 1993 AIME Electronic Materials Society Ross TuckerAward, the 1996 Carnegie Institute of Technology G.T. Ladd Award,and the 1996 NSF CAREER Award. His present research inter-ests include microsensor and microactuator design and modeling,integrated MEMS manufactured in CMOS processes, and structureddesign methodologies for MEMS.