13
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 16, NO. 7, JULY 2008 861 Case Study of Reliability-Aware and Low-Power Design Shengqi Yang, Member, IEEE, Wenping Wang, Student Member, IEEE, Tiehan Lu, Member, IEEE, Wayne Wolf, Fellow, IEEE, N. Vijaykrishnan, Member, IEEE, and Yuan Xie, Member, IEEE Abstract—Based on the proposed reliability characterization model, reliability-aware and low-power design is illustrated for the first time as a design methodology to balance reliability enhance- ment and power reduction. Low-power and reliable SRAM cell design, reliable dynamic voltage scaling (DVS) algorithm design, and voltage island partitioning and floorplanning for reliable system-on-a-chip (SOC) design are demonstrated as case studies of this new design methodology. Index Terms—Circuit reliability, dynamic voltage scaling (DVS), low power, systems-on-a-chip (SOC), SRAM, voltage island parti- tioning and floorplanning. I. INTRODUCTION C ONTINUOUS decrease in transistor feature size enables more and more devices to be fabricated in a single chip and various functional modules to be integrated as a systems-on-a-chip (SOC). This scaling trend poses two critical issues for chip designer, i.e., power consumption and system reliability. Due to the increase in power density and the wide use of portable systems, power consumption, including dynamic power and leakage power (gate leakage, subthreshold leakage, and band-to-band tunnelling (BTBT) leakage), is becoming a critical design metric. Voltage island architecture [1]–[3] has emerged as a new technique for core-based low-power SOC design and can effectively cut down all kinds of power sources. A voltage island is a group of on-chip cores powered by the same voltage source, independently from the chip-level voltage supply. The use of voltage islands permits operating different portions of the SOC at different voltage levels in order to optimize the overall chip power consumption at core-level. At the same time, in one voltage island or a single chip, dynamic voltage scaling (DVS) can be used as the second level technique to further reduce both power dissipation components [4]–[11]. Manuscript received April 5, 2007; revised November 6, 2007. S. Yang and T. Lu were with the Electrical Engineering Department, Princeton University, Princeton, NJ 08544 USA. They are now with Dig- ital Home Group, Intel Corporation, Chandler, AZ 85226 USA (e-mail: [email protected]; [email protected]). W. Wang is with the Electrical Engineering Department, Arizona State Uni- versity, Tempe, AZ 85281 USA (e-mail: [email protected]). W. Wolf was with the Electrical Engineering Department, Princeton Univer- sity, Princeton, NJ 08544 USA. He is now with the Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 USA (e-mail: [email protected]). N. Vijaykrishnan and Y. Xie are with the Computer Science and Engineering Department, Pennsylvania State University, University Park, PA 16802 USA (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TVLSI.2008.2000460 Accompanying the feature size scaling down, chip reliability, here it means immunity to soft error, is becoming another critical issue which has a big impact on circuit failure time. Soft errors or transient errors are circuit errors caused due to excess charge carriers induced primarily by external radiations [12], [13]. Radiation directly or indirectly induces a localized ionization which upsets internal data states [14]–[16]. Soft errors are particularly troublesome for pipeline latches, and memory-based elements, such as caches, register files, branch target buffer, etc., as the stored values of the bits may be changed. Recent experiments [17] showed that soft error rate of combinational circuits is comparable to or more than those of SRAMs with similar size. Soft errors can have much serious impact and lead not only to corrupted data, but also to a loss of functionality and system critical failures. As the technology scales down, both the supply voltage and the node capacitance scale down accordingly. The above two factors make a single device node more sensitive to soft error. Charge collection efficiency for a single node as another factor goes down at the same time and tends to make the node less sensitive to soft error. However, as pointed out in [14], supply voltage and node capacitance have more impact that the charge collection efficiency has, as a total effect of the previous three factors the node becomes more sensitive to soft error. The most effective way to reduce system power consump- tion is to lower the supply voltage, either for a specific location (voltage islands) or for a specific time period (DVS). However, lowered supply voltage makes the device nodes more sensitive to soft errors because less charge is required to flip the bit value at a node. As a direct result of the low power techniques, systems become less reliable. A design methodology, which cannot only reduce power consumption, but insure the mean time to failure (MTTF) constraint without violating the application deadline time is needed to hold tradeoff on the requirements for both reli- ability and power reduction in chip design. In the following sec- tions, for the first time, we will illustrate this reliability-aware and low-power design methodology by using three case studies which implement the correct tradeoff between system reliability and power consumption in the corresponding designs and algo- rithms. The remainder of this paper is organized as follows. Section II describes the characterization model for system component reliability level. Section III focuses on the three case studies. Section IV concludes this paper. II. COMPONENT RELIABILITY CHARACTERIZATION For a soft error to occur at a specific node in an SRAM-based element, the collected charge at that particular node should 1063-8210/$25.00 © 2008 IEEE

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI… · 2020. 1. 1. · IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 16, NO. 7, JULY 2008 861 Case

  • Upload
    others

  • View
    7

  • Download
    0

Embed Size (px)

Citation preview

  • IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 16, NO. 7, JULY 2008 861

    Case Study of Reliability-Awareand Low-Power Design

    Shengqi Yang, Member, IEEE, Wenping Wang, Student Member, IEEE, Tiehan Lu, Member, IEEE,Wayne Wolf, Fellow, IEEE, N. Vijaykrishnan, Member, IEEE, and Yuan Xie, Member, IEEE

    Abstract—Based on the proposed reliability characterizationmodel, reliability-aware and low-power design is illustrated for thefirst time as a design methodology to balance reliability enhance-ment and power reduction. Low-power and reliable SRAM celldesign, reliable dynamic voltage scaling (DVS) algorithm design,and voltage island partitioning and floorplanning for reliablesystem-on-a-chip (SOC) design are demonstrated as case studiesof this new design methodology.

    Index Terms—Circuit reliability, dynamic voltage scaling (DVS),low power, systems-on-a-chip (SOC), SRAM, voltage island parti-tioning and floorplanning.

    I. INTRODUCTION

    C ONTINUOUS decrease in transistor feature size enablesmore and more devices to be fabricated in a singlechip and various functional modules to be integrated as asystems-on-a-chip (SOC). This scaling trend poses two criticalissues for chip designer, i.e., power consumption and systemreliability.

    Due to the increase in power density and the wide use ofportable systems, power consumption, including dynamicpower and leakage power (gate leakage, subthreshold leakage,and band-to-band tunnelling (BTBT) leakage), is becoming acritical design metric. Voltage island architecture [1]–[3] hasemerged as a new technique for core-based low-power SOCdesign and can effectively cut down all kinds of power sources.A voltage island is a group of on-chip cores powered by thesame voltage source, independently from the chip-level voltagesupply. The use of voltage islands permits operating differentportions of the SOC at different voltage levels in order tooptimize the overall chip power consumption at core-level. Atthe same time, in one voltage island or a single chip, dynamicvoltage scaling (DVS) can be used as the second level techniqueto further reduce both power dissipation components [4]–[11].

    Manuscript received April 5, 2007; revised November 6, 2007.S. Yang and T. Lu were with the Electrical Engineering Department,

    Princeton University, Princeton, NJ 08544 USA. They are now with Dig-ital Home Group, Intel Corporation, Chandler, AZ 85226 USA (e-mail:[email protected]; [email protected]).

    W. Wang is with the Electrical Engineering Department, Arizona State Uni-versity, Tempe, AZ 85281 USA (e-mail: [email protected]).

    W. Wolf was with the Electrical Engineering Department, Princeton Univer-sity, Princeton, NJ 08544 USA. He is now with the Electrical and ComputerEngineering, Georgia Institute of Technology, Atlanta, GA 30332 USA (e-mail:[email protected]).

    N. Vijaykrishnan and Y. Xie are with the Computer Science and EngineeringDepartment, Pennsylvania State University, University Park, PA 16802 USA(e-mail: [email protected]; [email protected]).

    Digital Object Identifier 10.1109/TVLSI.2008.2000460

    Accompanying the feature size scaling down, chip reliability,here it means immunity to soft error, is becoming anothercritical issue which has a big impact on circuit failure time.Soft errors or transient errors are circuit errors caused due toexcess charge carriers induced primarily by external radiations[12], [13]. Radiation directly or indirectly induces a localizedionization which upsets internal data states [14]–[16]. Softerrors are particularly troublesome for pipeline latches, andmemory-based elements, such as caches, register files, branchtarget buffer, etc., as the stored values of the bits may bechanged. Recent experiments [17] showed that soft error rateof combinational circuits is comparable to or more than thoseof SRAMs with similar size. Soft errors can have much seriousimpact and lead not only to corrupted data, but also to a lossof functionality and system critical failures. As the technologyscales down, both the supply voltage and the node capacitancescale down accordingly. The above two factors make a singledevice node more sensitive to soft error. Charge collectionefficiency for a single node as another factor goes down atthe same time and tends to make the node less sensitive tosoft error. However, as pointed out in [14], supply voltage andnode capacitance have more impact that the charge collectionefficiency has, as a total effect of the previous three factors thenode becomes more sensitive to soft error.

    The most effective way to reduce system power consump-tion is to lower the supply voltage, either for a specific location(voltage islands) or for a specific time period (DVS). However,lowered supply voltage makes the device nodes more sensitiveto soft errors because less charge is required to flip the bit valueat a node. As a direct result of the low power techniques, systemsbecome less reliable. A design methodology, which cannot onlyreduce power consumption, but insure the mean time to failure(MTTF) constraint without violating the application deadlinetime is needed to hold tradeoff on the requirements for both reli-ability and power reduction in chip design. In the following sec-tions, for the first time, we will illustrate this reliability-awareand low-power design methodology by using three case studieswhich implement the correct tradeoff between system reliabilityand power consumption in the corresponding designs and algo-rithms.

    The remainder of this paper is organized as follows. Section IIdescribes the characterization model for system componentreliability level. Section III focuses on the three case studies.Section IV concludes this paper.

    II. COMPONENT RELIABILITY CHARACTERIZATION

    For a soft error to occur at a specific node in an SRAM-basedelement, the collected charge at that particular node should

    1063-8210/$25.00 © 2008 IEEE

  • 862 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 16, NO. 7, JULY 2008

    be more than a critical charge . If this happens, a largeenough pulse is generated and latched on by the feedback mech-anism of the inverter, which results in a bit flip at that node. Sim-ilar phenomenon happens in combinational logic circuits. Therate at which soft errors occur is given as soft error rate (SER).For the study of reliable DVS and reliable SOC voltage islandspartition and floorplan cases, estimation of the component reli-ability level (CRL) is needed. Since area or node which is sus-ceptible to soft error is crucial in determining the soft-error rate,we need first select the most sensitive node to soft error in eithera SRAM-based component or a logic component, and then cal-culate the node . In general, the measurement of circuitsensitivity to soft error takes into account not only the sensi-tivity of the most sensitive node, but also the average sensitivityof all circuit nodes. For simplicity, we only consider the mostsensitive node for calculation. We define for a partic-ular node as

    (1)

    where is the drain current induced by charged par-ticle and is the flipping time. In HSPICE experiment, wemodel the particle striking current as a current wave-form [18] to account for funnelling and diffusion charge col-lection. The current is injected at the most sensitive node andmeasured up to a point where the circuit commits a bit flip. Fi-nally, the current pulse is integrated to get the critical charge ofthat node. By using this procedure, the value for a 6-Tstandard SRAM (65-nm Berkeley Predictive Technology Model(BPTM) [19]) is 15.1 and 51.2 fC for a ripple-carry adder, forexample.

    Then, is used to estimate the SER which can be ex-pressed as (2) [20], where is the intensity of the NeutronFlux, CS is the area of the cross section of the critical node and

    is the charge collection efficiency

    (2)

    We reasonably assume that and are same for differentcomponents under the same technology. We select a component,say , and define its SER to be one, i.e., . For othercomponents, the SERs can be expressed as (3), whereand mean the critical charges for and . For (3),CSs for the two most sensitive nodes in two components areassumed to be same

    (3)

    However, they can be quite different due to gate resizing whichis frequently used for timing optimization. By taking this issueinto account, we can revise (3) to (4). In this work, the bench-marks used for experiments are not optimized with gate resizing.As a simplification, (3) is always used for the following calcu-lation:

    (4)

    TABLE ICRL FOR ADDER, MULTIPLIER, AND SRAM

    The next step is to relate the SER of each component to its re-liability level (CRL). Mathematically, component reliability isdefined as the probability with which this component can per-form the intended function successfully for a period of time,namely , given that it worked properly at time . Tocalculate the reliability of a component, we should first deter-mine its failure rate (FR) which is the probability with whichit will fail in the next time unit. The reliability of a compo-nent can be related to its failure rate by the distribution function

    which is demonstrated in [21], [22]. AlthoughSER does not directly mean failure rate because sometimes softerror will not result in an application failure, it can be modifiedand used to calculate the CRL as shown in (5), where is a pa-rameter within [0,1] representing the probability a soft error fi-nally results a failure. As discussed in [23], some masking, suchas logical masking, temporal masking, and electrical masking,can prevent soft error from resulting an actual failure. reflectsthis fact and calculation of is complicated. For simplicity, herewe assume is equal to one

    (5)

    In order to build the components library with reliability char-acterization, Cadence Virtuoso was used to construct the com-ponent layouts and extract the area information and HSPICEwas used for getting the s. Table I shows the normalizedCRL for adder, SRAM and carry-save multiplier as examplesunder 65-nm BPTM. The CRL and the area of the componentsare normalized by using Adder as the base. For larger compo-nents which contain a lot of basic units, each basic unit in thecomponent can be characterized individually. After this, by an-alyzing the interconnection of basic units in the netlist, we cancalculate the overall soft error susceptibility of the whole com-ponent according to the following (6):

    (6)

    where means that there are basic units inside the compo-nent. This equation reflects that all the basic units must succeedin order to execute the whole circuit component successfully.Finally, for an SOC, the system reliability level [RL (SOC)] canbe defined as (7), which is the product of reliability values ofdifferent voltage islands

    (7)

    The reliability value for a voltage island is definedin (8), where component belongs to the voltage island ,

    means the component reliability level of component

  • YANG et al.: CASE STUDY OF RELIABILITY-AWARE AND LOW-POWER DESIGN 863

    and represents the component access rate for component

    (8)

    For component access rate (CAR), it reflects the application pro-gram properties. If a component or some part of this componentis never accessed by the application program, soft errors insidethis component or inside that specific part of this componentcannot result in a program failure; while if a component is ac-cessed very frequently, not only do soft errors which alreadyexist in the component have much possibility to result in a pro-gram failure, but also more soft errors can happen in this com-ponent during the access time. We use (9) to calculate this CAR

    component access timeapplication execution time

    (9)

    Of course, there are some other factors, for example, whether acomponent is protected or not, that can affect the final reliabilitylevel. Equation (8) is a simplified calculation

    (10)

    Similarly, the reliability of a DVS chip is express in (10), whereis the component reliability level of component andmeans its access rate.

    III. CASE STUDIES

    In this section, we use three case studies, namely, low-powerand reliable SRAM cell design, reliable DVS algorithmdesign, reliability-aware SOC voltage islands partitioningand floorplanning algorithm design, to show the new designmethodology, i.e., reliability-aware and low-power design.At the first glance, although these three designs have limitedsimilarity, however, they share the common theme, i.e., how toeffectively tradeoff system reliability enhancement and powerconsumption reduction.

    A. Reliability-Aware and Low-Power SRAM Cell Design

    Leakage power and soft error are challenging the design ofSRAM cells. Many design techniques have been proposed to re-duce subthreshold leakage, gate leakage, and BTBT leakage, forexample, drowsy caches [24], [25], gated-supply voltage ( )SRAM [26]–[28], gated-ground SRAM [29]–[31], asymmetriccell or dual threshold voltage ( ) SRAM cache [32]–[35],multi- and multi-level cache [36]–[38], reverse/forwardbody-biased SRAM cache [39]–[42], etc. As claimed by theprevious works, the previously mentioned design techniquescould efficiently reduce the leakage power consumption ofSRAM cells. However, a very important analysis was neglectedfor these techniques, i.e., their immunity to soft error. Thisimmunity will be greatly affected due to the change of supplyvoltage, ground, and/or circuit structure.

    In this section, we compare leakage reduction capability andimmunity to soft error of popular SRAM design techniques, andfurther present the design philosophy and the implementation of

    Fig. 1. Dominant leakage components and sensitive nodes in a standard 6TSRAM cell which is in a standby mode and storing “0”.

    our novel hybrid SRAM (HSRAM) cell which shows excellentperformance in both subthreshold and gate leakage reduction,and resistance to soft error.

    1) Leakage and SER Analysis of Standard 6T SRAM Cell:Fig. 1 illustrates the dominant leakage components and thenodes which are the most sensitive to soft errors in a standard6-Transistor (6T) SRAM cell that is storing “0” in standbymode. In this SRAM cell, there are three subthreshold leakagepaths where only one off transistor is in each of them. Forsubthreshold leakage current in a leaky path, the amountis affected by the number of off transistors. The higher thenumber of off transistors, the lower the amount of subthresholdleakage through that path. For leakage current through m5, itdepends on the voltage at which bitlines are precharged. Sincenormally BL and are precharged to , this subthresholdleakage current in m5 is large. Further, all the 6 transistors,especially m2, m6, and m3, suffer from severe gate leakage andgate leakage strongly depends on gate to source/drain voltageand gate dielectric thickness. For sub-100-nm technologies,gate leakage is considered as the most significant leakagecomponent. As a result, leakage reduction techniques shouldfirst focus on the gate leakage reduction. From that point, theyshould further reduce the negative impacts of themselves onsubthreshold leakage and other aspects if possible. Fig. 1 alsoshows the nodes which are the most sensitive to soft error inthis SRAM cell. In the following experiments, theis estimated only at these sensitive nodes and . Currentpulses which model the charge generation due to the radiationare supplied to these nodes and the for both 0 to 1and 1 to 0 bit flips of the output is estimated. In the followingsubsections, we first propose a novel SRAM cell design andcompare this design with popular SRAM design techniques interms of leakage power reduction ability and their resistance tosoft error.

    2) HSRAM Cell Design: In order to reduce the dominant gatedirect tunnelling leakage, a popular method is to use high- gatedielectrics, such as ( ), ( ), and

    ( ), where means dielectric permittivity, tosubstitute the traditional . However, the adoption of high-gate dielectrics makes gate dielectric thickness comparable togate length. This means more fringing electric fields from thegate to the souce/drain regions which will induce lowering ofthe potential barrier between source and channel. This effect isnamed as fringing/field induced barrier-lowering effect (FIBL)[43] as illustrated in Fig. 2. This effect increases rolling-off,

  • 864 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 16, NO. 7, JULY 2008

    Fig. 2. Illustration of FIBL effect. Thicker gate dielectric means more fringingfields from the gate to the source/drain and severer FIBL effect.

    Fig. 3. (a) Cross section of DTMOS with gate and substrate tied together and(b) layout of gate to substrate connection.

    Fig. 4. HSRAM cell design.

    deteriorates subthreshold slope ( ) and finally increases sub-threshold leakage. Although gate leakage is the most dominantcomponent for sub-100-nm technologies, it will be negligiblecompared with subthreshold leakage after high- material ap-plied. As a result, subthreshold leakage current should be paidmuch attention after using high- gate material and FIBL ef-fect should be suppressed from the viewpoint of subthresholdleakage reduction.

    Jointly biased gate and substrate dynamic threshold voltageMOS (DTMOS) was first proposed by Hu et al. [44] for tradi-tional MOSFET using as gate dielectric and its cross sec-tion and layout are shown in Fig. 3. This DTMOS can achievehigh driving capability and low subthreshold leakage becausethe threshold voltage drops under transistor on state and in-creases under transistor off state. In addition to these advan-tages, we further find in our work that it can efficiently suppressFIBL effect caused by using high- gate dielectric, reduce sub-threshold leakage, and increase resistance of HSRAM cell tosoft error. This hybrid of high- gate dielectric and jointly bi-ased DTMOS is used to construct our new HSRAM cell. Forthe transistors in the HSRAM cell, the gate is connected to thesubstrate. As a direct effect of this new connection, the fringingfields from the gate to the source/drain are cancelled out by thefield from the substrate resulting in decreased rolling off andsubthreshold leakage. As an inborn feature, the gate capacitanceis also increased by capacitance between gate and substrate andside capacitance between gate and source/drain. We can expectthis increased node capacitance will reduce the susceptibilityof HSRAM cell to soft error. The implementation of the novelHSRAM is shown in Fig. 4.

    Fig. 5. Schematic cross sectional view of the HSRAM cell transistor. It useshigh-� gate dielectric and connects gate and substrate together.

    We carry out experiments on HSRAM through two levels, i.e.,transistor level and circuit level to demonstrate some advantagesof the novel HSRAM.

    3) Transistor Level Experiments: For the novel HSRAMcell, it is constructed by six special transistors which use high-material as gate dielectric and dynamic threshold voltagetechnique by jointly biasing gate and substrate. The followingexperiments will demonstrate that this special transistor notonly achieves the well known advantages benefiting from eitherhigh- (gate leakage reduction) or DTMOS (high driving ca-pability), but also gains some novel features which can only beobtained by the combination of both high- and DTMOS. Thesenew features include suppression of FIBL effect, improvementon subthreshold behavior, and enhanced resistance to SER.Experiments are performed with a 2-D device simulator ISE8.0[45]. The simulated transistor structure is schematically shownin Fig. 5. Here we use an nMOS transistor as a typical example.Same simulations can be carried out for pMOS transistors.The doping concentrations for p-type substrate, source/drainregion and LDD region are 8 10 cm , 1 10 cm , and1 10 cm , respectively. The effective channel length is45 nm. During the experiments, we fix the thickness of( ) for normal nMOS (without using high- material anddynamic threshold voltage technique), and vary the dielectricpermittivity from 3.9 to 200 for the structure shown in Fig. 5.The physical thickness of the high- gate dielectric, , iscalculated by (11), where 3.9 represents the permittivity of

    (11)

    In order to reduce gate direct tunnelling leakage, the conven-tional as gate dielectric is replaced by a high- material,for example, . Here, we use as a typical ex-ample. For other values, the following basic observations aresame. For sub-100-nm technologies, the physical thickness ofhigh- gate dielectric is comparable to channel length. Specifi-cally, it is about 9 nm under 45-nm technology node if equalsto 50. This thick gate dielectric introduces serious FIBL effect,which lowers the potential barrier between source and channel,as shown in Fig. 6 which compares the channel potential dis-tributions of two devices (high- only device and high- plusdynamic threshold voltage (DT) device to that of the traditionalnMOS with as gate dielectric. During the simulation, thedevice is biased at off state with and 0 V.For high- only nMOS at , the barrier potential is low-ered by 40.5 mV as shown in Fig. 6; while it is lowered onlyby 16.8 mV for hybrid (high- plus DT) nMOS. This reduc-tion on barrier potential lowering for hybrid nMOS is directly

  • YANG et al.: CASE STUDY OF RELIABILITY-AWARE AND LOW-POWER DESIGN 865

    Fig. 6. Potential distribution along the channel for high-�+DT nMOS andhigh-� nMOS.

    Fig. 7. V rolling off with increased � for hybrid nMOS and high-� nMOS.

    reflected by less rolling off as shown in Fig. 7. Fig. 7 com-pares the rolling off for high- nMOS and hybrid nMOSusing as -axis. For this figure, we measure the as

    0.1 V . It showsthat the threshold voltage rolling off for hybrid nMOS is muchless than that of high- only nMOS. This property will facili-tate the reduction of subthreshold leakage current and will bedemonstrated in the following circuit level experiments.

    For this novel hybrid transistor, there are three capacitanceswhich are parallel connected to gate capacitance, . They arethe two sidewall fringing capacitances s and the capaci-tance between gate and substrate . For , it associateswith the electric field emerging from the gate region and endingat the source/drain regions. As a result, the total gate capacitancewill be ( ). This enlarged gate capacitancecompared with that for normal nMOS will benefit the internalnodes and in HSRAM cell to resist to soft errors becauselarger capacitance makes bit flip more difficult. On the otherhand, bigger gate capacitance means longer current chargingtime and slower cell read/write speed. Fortunately, this speedloss because of larger gate capacitance is well compensated bythe dynamic threshold property. Under transistor on state, gate,and substrate are jointly biased at high voltage. Due to the bodyeffect and the FIBL effect, the threshold voltage is lowered andas a result the driving current is increased. Fig. 8 shows the ratioof driving current for hybrid nMOS to that for normal nMOS

    Fig. 8. Ratios of driving current and total gate capacitance between hybridnMOS and normal nMOS.

    and the ratio of total gate capacitance for hybrid nMOS to thatfor normal nMOS. value is used for -axis. Both ratios in-crease with the increasing of value. For the ratio of drivingcurrent, its increase is very fast at the beginning, and then tendsto be slow down with much high values. While for the ratioof gate capacitance, its increase is almost linear. From the view-point of trading off resistance to soft error and circuit speed, theoptimal value for is around 50 as shown by the dashed line inFig. 8. Before this point ( ) the driving current goes upmuch faster than the gate capacitance, and more speed gain canbe expected. After this point, the increase speed of gate capac-itance surpasses that of driving current. And this means speedgain gradually diminishes and timing overhead will cancel outthe SER benefit. In summary, 50 is an optimal value and willbe used in the following experiments.

    4) Circuit Level Experiments: For the circuit level experi-ments, we custom-designed and simulated our novel HSRAM,standard 6T SRAM (6TSRAM), standard 6T SRAM withhigh- gate dielectric ( 6TSRAM), drowsy SRAM (DSRAM)[24], [25], and DRG SRAM [29], and compared their per-formance in terms of leakage power reduction capabilityand resistance to soft error. All the simulations were carriedout using HSPICE with the 45-nm BPTM [19] to get thegate leakage, subthreshold leakage affected by FIBL effect,

    for SER calculation and SRAM access time. The onlydifference between 6TSRAM and 6TSRAM is the gate mate-rial. For the DSRAM, its supply voltage is fixed at 0.3 V.And the high access transistors are realized by DELVTOoption in HSPICE. For DRG SRAM, the HSPICE parametersof gated ground nMOS transistor are specially designed tomake the comparison between different SRAM designs fairly.All the leakage values are computed under two temperatures,30 C and 80 C. We define reliability degree (RD) to representthe relative soft error susceptibility of different SRAM designscompared to the soft error susceptibility of the 6TSRAMand RD is expressed as (12), where and

    mean the required critical charges for 6TSRAMflipping from 1 to 0 and the required critical charges for otherSRAMs flipping from 1 to 0 or from 0 to 1. In order to measurethe SRAM cell access time, we neglect the row/column addressdecoding, cell selection, and sense amplification and only takeaccount of the bitline delay, which is defined as the time for the

  • 866 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 16, NO. 7, JULY 2008

    TABLE IILEAKAGE POWER OF FIVE SRAM DESIGNS. SUPPLY VOLTAGE IS 0.6 V

    differential voltage between BL and to reach 100 mV andthe access time is normalized by that of the 6TSRAM

    (12)

    Table II compares the leakage power of seven differentSRAM designs under two temperatures, 30 C and 80 C.Compared with 6TSRAM, the gate leakage which is the domi-nant leakage component for 6TSRAM is reduced almost to zeroby using high- gate dielectric, as illustrated by 6TSRAMand HSRAM with . The introduction of high- gatedielectric causes the increase of subthreshold leakage powerbecause of the FIBL effect and the higher the value is, themore the increase of the subthreshold leakage power is. Thisdeteriorated subthreshold behavior exhibited by 6TSRAMis rectified by our novel HSRAM through jointly biasing gateand substrate. HSRAM brings the subthreshold leakage powerback to a considerable level compared with 6TSRAM, makesthe gate leakage negligible and is superior than 6TSRAM and

    6TSRAM under different values, as shown in Table II. ForDSRAM, both the subthreshold leakage power and the gateleakage power are greatly less than that of 6TSRAM. Two sig-nificant features contribute to this good property. One is it useshigh access transistors which make the bit line subthresholdleakage negligible. The second, which is more important, isthat it uses smaller supply voltage at standby mode. It is wellknown that reduction on will exponentially reduce gateleakage power. From the viewpoint of leakage power, althoughthe DSRAM is a little better than HSRAM at high temperature(80 C) , it uses many additional complementary circuits torealize the variable supply voltage and generates area overhead.Table II also demonstrates another important issue, i.e., temper-ature has a strong effect on subthreshold leakage, while littleeffect on gate leakage. This further verifies the necessity ofusing HSRAM to substitute 6TSRAM. Because chips are be-coming hotter with the scaling progress, which makes the FIBLeffect of 6TSRAM much severer in terms of subthresholdleakage increasing. Also, please note that if DSRAM and DRGSRAM are biased under the same rail-to-rail voltage, theyshould have similar reduction effect on subthreshold leakage.

    Table III compares the RD for different SRAM designs whenthey flip from 1 to 0 and from 0 to 1. Please note that due to tran-sistor sizing optimization (much bigger size assigned for nMOStransistors), the rate or will be different for 0 to 1 flipand 1 to 0 flip. This difference is contributed by the stronger in-fluence of diffusion as compared to that of the diffusion.HSRAM uses high- gate dielectric and jointly biases the gate

    TABLE IIIRD FOR DIFFERENT SRAM DESIGNS

    TABLE IVBITLINE DELAY TIME FOR DIFFERENT SRAM DESIGNS

    and the substrate. The combination of these two techniques in-creases the gate capacitance by adding additional andand this enlarged capacitance enhances the reliability degree ofthe HSRAM cell. As shown in Table III, the RD of HSRAMunder 1 to 0 flip or 0 to 1 flip is higher than that of 6TSRAMand 6TSRAM. The DSRAM cell is obviously more suscep-tible to soft errors as compared to the standard 6TSRAM dueto its reduced supply voltage in the leakage control mode. ForDRG SRAM cell, when it is shut off from the ground using thegated ground nMOS transistor, the virtual ground node does notstay at 0 V and charges up to a higher voltage (0.3 V). This prop-erty makes DRG SRAM cell more vulnerable to a 0 to 1 transi-tion because a smaller induced charge is sufficient to trigger theflip.

    Table IV shows bitline delays generated by the seven SRAMdesigns. For HSRAM cell, there are two important factors con-tributing to the reduction on the bitline delay. The first is theFIBL effect which lowers the of transistors under on state.The second is the substrate biased at supply voltage level whichcauses body effect lowering greatly for transistors underon state. The lowering of causes a quadratic increase indriving current of the access transistors which determine the bit-line delay time. For DSRAM, the high access transistorsbring significant bitline delay overhead which is about 3 timeslonger than that of 6TSRAM. For discharging the bitlines, DRGSRAM uses three nMOS transistors which obviously slow downthe discharging speed compared with two transistors in the cur-rent pass of 6TSRAM.

  • YANG et al.: CASE STUDY OF RELIABILITY-AWARE AND LOW-POWER DESIGN 867

    Fig. 9. (a) Tasks scheduling before DVS and (b) after DVS without reliabilityconsideration (c) after DVS with reliability consideration.

    From this case study, we can see that whenever novel tech-niques are designed to lowering the cache power consumption,reliability degradation should also be paid attention at the sametime. DSRAM and DRG SRAM are good examples whichachieve a lot of power reduction, however with sacrificing thecache reliability. In terms of potential application of this novelHSRAM, it can be used in ultra mobile and ultra low voltagedevices. HSRAM uses jointed biased gate and substrate, whichrequires the supply voltage to be lower than around 0.65 V.Otherwise, it will turn on the reverse diode.

    B. Reliability-Aware DVS Scheduling Algorithm

    DVS scheduling algorithm was proposed for being used inoperating as an effective approach to reduce chip power con-sumption. As it is well known, most applications do not alwaysrequire the peak performance from the processor. In a systemwith fixed performance level, certain tasks complete ahead oftheir deadline time and the processor enters a low-power sleepmode for the reminder of the time. This operating situation is il-lustrated in Fig. 9(a). For this case, both task 1 and task 2 are ex-ecuted under the same chip supply voltage, . A directeffect of this operation voltage homogeneity is that the systemreliability levels are same for both tasks. As a contrast in DVSsystems, the performance level can be reduced during periodsof low utilization such that the processor finishes each task justin time, stretching each task to its deadline time, as shown inFig. 9(b). For the dynamic voltage scaling case demonstrated inFig. 9(b), there is no consideration for system reliability level.The supply voltage for either task 1 or task 2 can be the pos-sibly lowest voltage which makes task 1 or task 2 to finish just intime. However, when system reliability level is incorporated asanother issue besides task deadline time deciding to what extendsupply voltage can be scaled down, the supply voltage might notbe for task 1 or for task 2. can only guaranteetask 1 to finish in time and it cannot guarantee task 1 to finishwithout failure interrupt since is such a low voltage thatmight cause severe reliability degradation of the background ex-ecution engine. The same conclusion applies for in task 2.In this case, the required supply voltages for task 1 and task2 might be leveraged a little bit, for example, for task 1and for task 2 to guarantee the system reliability level asshown in Fig. 9(c). This is the big difference between traditionaldynamic voltage scaling and dynamic voltage scaling with reli-ability concern.

    Fig. 10. Reliability-aware dynamic voltage scaling.

    For a chip with DVS operating system, it can operate at dif-ferent voltage levels. Let denote the set containing all pos-sible voltage levels for the chip which consists of different com-ponents, . For example, can be L1 data cache, L2 datacache, integer arithmetic logic unit (ALU), floating point ALU,decoder, etc. Each chip component has a list of reliability levels( s) which are measured under different chip supply voltages.A task ( ) can be executed under different voltage levels whoseset is denoted as . In order to reduce power consumptionwithout violation of the task deadline time, the chip operatingvoltage for task can be lower than the full supply voltageand must be higher that a threshold voltage . If executed ata voltage level which is lower than this , the task cannotbe finished before the deadline time. This means

    . Moreover, all the voltage levels in onlyensure that the task deadline time is not violated and meanwhilereasonable power reduction is achieved. After system reliabilityjoins in the design metrics of a DVS chip, the voltage set foreach task should be shrunk and certain voltage levels shouldbe removed from the set in order to guarantee the system re-liability level. In summary, the power reduction and reliabilityenhancement tradeoff problem for a DVS chip is selecting suit-able voltage levels by applying which to the chip not only ispower consumption reduced but also system reliability and taskdeadline time are ensured.

    The scheduling algorithm for reliability-aware dynamicvoltage scaling is shown in Fig. 10. The inputs to the algorithminclude the set containing possible voltage levels for thechip, the lower bound of the chip reliability level ,the application execution time DT if the chip operates at thehighest voltage, the upper limit of the application deadlinetime and some characteristic parameters for each chipcomponents. For the components in a DVS chip, we use oneto characterize the voltage levels for all the chip components.The calculation for chip reliability level is based on (10). Thealgorithm first sorts the voltage set and starts with the lowestvoltage level. Then it checks whether the application deadlinetime is less than the upper limit and whether the chipreliability level is higher than the lower bound

  • 868 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 16, NO. 7, JULY 2008

    TABLE VPOWER SAVING WITH/WITHOUT RB

    under this particular operation voltage. If not, the operationvoltage goes up to a higher level until these two requirementsmet. Finally, the algorithm ends up with a solution which cangenerate possibly maximal power reduction and guaranteethe chip reliability level and the optimal voltage level, thecorresponding power reduction and the chip reliability level,are output from the algorithm. Operating system will inputthis optimal voltage level to the on-chip voltage regulator andfeedback loop which will implement this voltage level andsupply it to the CPU core, as demonstrated in [46].

    A five-stage superscalar architecture CPU is used with in-struction set developed by SimpleScalar research group [47].Seven voltage levels are assumed for the CPU with the rangefrom 0.99 to 1.49 V. Customized Wattch [48] was used for mea-suring the power consumption of each CPU component. Ourreliability-aware dynamic voltage scaling algorithm was usedto schedule and allocate suitable voltage level for each bench-mark application. Table V compares the power saving with andwithout reliability bound which is assumed to be 95% of theCPU reliability level if it works under the full supply voltage.Five benchmarks were used. The fourth column shows, if relia-bility is not considered when DVS algorithm scales the supplyvoltage, the resulted chip reliability level is degraded a lot asshown in Table V.

    C. Reliability-Aware SOC Voltage Islands Partition andFloorplan Algorithm Design

    In this section, reliability enhancement and power reductiontradeoff problem is defined for the SOC voltage island partitionand floorplan algorithm.

    1) Problem Definition: Fig. 11 shows an example of a core-based SOC design. There are six cores for this SOC. Each core isassociated with a list of voltages that can be used to operate thecore, and a list of reliability levels corresponding to each voltagelevel. For instance, the core can operate at voltage levels suchas , , and . If it operates at , the reliability level underthis voltage is . The chip-level operation voltage is assumedto be which is the highest voltage compared to , , and .For core , a distinct voltage island is not created for it becauseit can only operate at the chip-level supply voltage. In order tominimize the power consumption of this SOC, one obvious wayis to operate each core at its lowest voltage. This means that atleast two voltage islands should be created: one for andwhich operate at ; one for , , and , which operate at

    . For the previous answer, the power reduction is maximized.However, system reliability is totally ignored as another designconstraint during the design process. A bad scenario is: the core

    , which could be an SRAM-based component in a civilian

    Fig. 11. Core-based SOC.

    aviation avionics system, is so sensitive to soft errors that occa-sional bit flips will cause a failure of the application execution,i.e., a flight tragedy. In this case, reliability is such an importantconcern for the core and the SOC as a whole system that wecannot neglect it just in order to reduce power. Instead, a littlepower reduction will be sacrificed to guarantee the core’s relia-bility.

    Now, Let us generalize the problem definition for reliabilityenhancement and power consumption reduction tradeoff ex-isting in SOC voltage islands partitioning and floorplanning.Given an SOC consisting of a set of cores , each core isassociated with a table, named as PR-table which specifiesthe set containing legal voltage levels, the correspondingaverage power consumption values , and the correspondingaverage reliability levels . The legal voltage levels for eachcore can be characterized by the core designers. For example,the designers may keep changing the core supply voltageas long as the core-level timing assertions are satisfied andthis gives out a list or a range of voltages that can be usedto operate the core. Once the legal voltages are selected, theaverage power consumption and the average reliability levelcorresponding to each voltage can also be characterized eitherthrough experimentation, simulation, or analytical estimation.This procedure is well demonstrated in previous sections. For avoltage island , it consists of a few cores which operate at aunique voltage, denoted as . is selected from a set,denoted as , containing a list of supply voltage levels.is equal to the intersection of the legal voltage levels of all coresin this island. As an example, if we create an island for and

    in Fig. 11, , while is equal to one ofthem, either or , depending on the final tradeoff of powerconsumption and system reliability. For the whole SOC system,it can be represented as , whereonly denotes those cores not assigned to any voltage island andtherefore operated at chip-level power supply voltage.

    We use the PR-tables as the algorithm inputs and assumesome cores in the SOC, which share a same voltage level in their

    s, can possibly be grouped together to form a voltage island.The constraints or rules for this grouping include possibly max-imal power consumption reduction, guaranteed system relia-bility level and ensured to meet deadline time for application ex-ecution in this SOC. For the convenience of discussion focusingon the tradeoff only between power consumption and system re-liability, all other constraints, like chip area, will be consideredin our algorithm extension which will be explained at the endof this section. The problem for power and reliability tradeoffin voltage island planning for core-based SOC design can be

  • YANG et al.: CASE STUDY OF RELIABILITY-AWARE AND LOW-POWER DESIGN 869

    Fig. 12. Reliability-aware algorithm for core-based SOC voltage island parti-tioning.

    summarized as partitioning SOC cores into a set of voltage is-lands and under this partition reducing the total system powerconsumption as much as possible without violation of systemreliability level and application deadline time.

    Algorithm: The algorithm is show in Fig. 12. The inputs tothe algorithm are the lower bound of system reliability level

    , the application execution time DT with each coreoperated at its highest voltage, the upper limit of applicationdeadline time , the net information, and the characteristicparameters for each SOC component including the applicablevoltage levels , the power consumption value , the com-ponent reliability level , and . Among the parametersfor each component or core, is a vector; while , , and

    are scalars associated with the highest component supplyvoltage. The set of voltages, , for a specific component can becharacterized by the designer as explained in Section III-C1.

    Once a prototype of the SOC system is constructed, the tar-geted application programs can be tested in this prototype atsome supply voltage. Then and for each componentcan be estimated and calculated on average. As an example, ifthe component is tested under the supply voltage andthe average power consumption is , then can becalculated according to (13), where and belong to the set

    (13)

    If works at as illustrated in Fig. 11, at , at ,at , at , and at , the average access time of

    each component can be achieved by running the applicationprogram in the SOC under the previously specified voltage level

    for each component. The equation for calculation of canbe extended from (9) to (14)

    (14)

    Later in the final implementation of the SOC system, if coreoperates at instead and the supply voltages for other cores donot change, the can be calculated as (15) which scalesthe access time according to the frequency change caused bythe voltage change

    (15)

    Here means the threshold voltage. Accordingly, the voltagelevel at which is calculated must be specified and inputto the algorithm. For , it is the reliability level for the coreoperated at the highest voltage.

    First, the algorithm scales the with respect to each voltagein and keeps this number in a vector . The calculationis shown in (16), where and are in the set with thelatter to be the highest supply voltage for core

    (16)

    Here a linear dependence between reliability and operationvoltage is assumed according to the idea of . Morecomplex analytical models can be applied for calculationwithout significant change in the algorithm complexity. Sim-ilarly, the algorithm calculates the power consumption valuesfor each component under different supply voltage levels ac-cording to (13) and these values ( s) are stored in the vector

    . Second, the algorithm constructs a link list voltage islandlink list (VILL) for all possible voltage island partitions. Foreach possible partitioning, the should be recalculatedand refreshed in the VILL for each component according to(15). In the following steps, the application deadline time isfirst checked to see whether it exceeds the upper limitunder this partitioning. If it does, then delete this partition fromthe link list. Otherwise, the second checking is made for thesystem reliability level according to (7). If the system reliabilitylevel under this configuration is less than , thendelete this partition from the list. The partitions remainingin the link list after both checks must meet the requirementsfrom application deadline time and system reliability. Finally,the algorithm calculates the power reduction and the numberof voltage islands for each possible voltage island partition.At this point please note that there might be several partitionsremaining in the VILL link list that meet all the constraints.

    The previous algorithm is only for partitioning and floorplan-ning the SOC voltage islands by using three metrics, systemreliability level, application deadline time, and power reduc-tion. After this algorithm procedure which clearly illustrated

  • 870 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 16, NO. 7, JULY 2008

    the idea of reliability-aware and low-power design, all the re-maining partitions guarantee the SOC system to be fast enough,reliable and power-saving. However, there are a few other sig-nificant factors which should be considered in order to find thefinal optimal solution for the SOC system partition and floor-plan. Here, we consider application deadline time overhead, fur-ther reliability degradation, power consumption overhead, andarea overhead. The first three factors are caused by off-island in-terconnections between components in different voltage islands.While the fourth factor is caused by dead space inside a voltageisland. The details about the previous impacting factors are ex-plained in the following.

    In order to consider these factors, a floorplan algorithm mustbe applied after partitioning in order to get the desired intercon-nection information. Once the SOC system and its applicationare determined, the critical path and the corresponding compo-nents within this path can be identified. After the floorplanningalgorithm is applied the locations of all components are deter-mined. If unfortunately two components in the critical path, forexample and , are partitioned into two different voltage is-lands, a lot of off-island communications between and arecreated. For this case, the application execution time increasescompared with the execution time if two components are in thesame island. In order to reflect this time overhead, a penalty isadded to the deadline time. This penalty is proportional to thewire length between voltage islands in the critical path. As a re-sult, the application deadline time under a specific partition isexpressed as (17), where is the penalty factor

    (17)

    If all the components in the critical path are located in the sameisland, is equal to zero. Otherwise, a positive fractional numberwhich is less than one is added proportional to the number ofislands which contain the critical components.

    Furthermore, voltage converters are used to facilitate commu-nications between two voltage islands. Soft errors and powerconsumption can also happen inside these converters. Corre-spondingly after the floorplanner applied, the system reliabilitylevel and the system power consumption can be updated ac-cording to (18) and (19).

    (18)

    Like , , and are penalty factors for system reliability andpower consumption, respectively. Both of them are positivefractional numbers and proportional to the islands number.More voltage islands mean more power consumption in theconverters and less reliable system. For the area overhead, avoltage island bringing and together would have a lotof dead space inside this island. This kind of area overheadshould be minimized if it cannot be avoided. All the infor-mation for calculating the previous factors can be obtainedafter the floorplanning of the partitioned voltage islands. Asimple floorplanner based on sequence pair representation andevaluation [2] is realized in the extended algorithm. By addingthese factors, the algorithm is augmented and shown in Fig. 13

    (19)

    Fig. 13. Extension of power consumption and reliability tradeoff algorithm forcore-based SOC voltage island partitioning and floorplanning.

    In the extended algorithm, all possible voltage island parti-tions are constructed and saved in a link list. For each possiblepartition, the algorithm first floorplans all partitioned voltageislands bounded by the specified constraints. For example, avoltage island may not be allowed for a few components withone located on the periphery of the SOC chip because this mayviolate the constraint of proximity to the power pins. Further-more, a clock generator/distributor is better to be put in thecenter of the chip in order to evenly distribute the clock signalto all components. After the floorplanning, the algorithm checksthe deadline time and system reliability level according to (17)and (18). Further, area overhead (dead space) and number ofvoltage island for this partition are also calculated. If the limitof either of the above four factors is not met, this partition nodeis deleted. Finally, the power consumption for the nodes meetingall the four requirements is calculated according to (19) and thenode or partition with the maximal power reduction is output.

    2) Experiments: The reliability-aware and low-power SOCvoltage island partition and floorplan algorithms are realizedin C programs. The platform for experiments contains an IntelCeleron 2.0 Ghz CPU with Red Hat Linux 9.0 as the operatingsystem. We used two MCNC benchmarks [49] and constructeda few synthetic benchmarks , , , and as summa-rized in Table VI. For voltage setting, there are totally sevenvoltage levels available with range from 0.99 to 1.49 V. Thesecond column shows the number of cores in each benchmark.Each core in the SOC system has a list of applicable voltagelevels. The third column gives the average number of voltagelevels for each core in the benchmark. For these benchmarks,we generated the power consumption values assuming that theyare proportional to the corresponding core areas, and then scaledthem appropriately according to (13). The initial reliability levelfor each core is generated according to (6). Assignments ofthe lower bound of system reliability level and the

  • YANG et al.: CASE STUDY OF RELIABILITY-AWARE AND LOW-POWER DESIGN 871

    TABLE VIRESULTS FOR THE RELIABILITY-BOUNDED LOW-POWER SOC VOLTAGE ISLAND PARTITION ALGORITHM

    TABLE VIIRESULTS FOR THE SOC EXTENDED ALGORITHM

    upper limit of system deadline time affect the number offinal optimal solutions, but have little effect on the algorithm ex-ecution time.

    In Table VI, the fourth column show the results for eachbenchmark under a particular optimal partition which gener-ates the maximal power reduction and the fifth column showsthe corresponding numbers of voltage islands under this par-tition. The sixth and the seventh columns show the results foranother specific optimal partition with the minimal power re-duction. All the power reduction numbers are achieved by com-paring the power under one specific partition and the power con-sumed by the SOC with all cores operated at their individualhighest voltage levels. The eighth column shows the algorithmexecution time and the final column illustrates the reliabilitybound used to generate the optimal solutions. 95% means thatthe system reliability level under one optimal partition shouldnot be lower than 95% of the system reliability level underthe specific partition with all chip components operated at theirhighest voltage.

    The results in Table VI show that our algorithm is fast. It typi-cally finishes in minutes with the actual running time dependingon the size of a design and the constraints imposed on it, in-cluding number of cores and number of voltage levels for eachcore. Since this work focuses on how to introduce the reliabilityissue in the partition and floorplan, the algorithm is not opti-mized by using advanced techniques. Further improvement inalgorithm execution time is expected in the follow-up work onalgorithm optimization.

    Bounded by the system reliability and performance (appli-cation deadline time), the extended SOC algorithm searchesfor a final optimal solution whose area overhead and numberof voltage island are within the tolerance range with maximalpower reduction. Table VII shows the results. Obviously, theextended algorithm is still very fast. Columns fourth and fifthcompare the power consumption with reliability considerationand without consideration. Notice that the time unit is minute.

    IV. CONCLUSION

    For the first time, we have developed a reliability characteri-zation model and a novel design methodology, reliability-aware,

    and low-power design. Low-power and reliable SRAM cell de-sign, reliable DVS algorithm design, and reliable voltage is-land partitioning and floorplanning in SOC were used as casestudies. All the previous results and discussions strongly im-plied that most, if not all, current low-power design techniquesdegraded the system reliability sometimes severely. In the fu-ture, as reliability issue or system sensitivity to soft error be-comes more and more important as another design dimension,reliability-aware low-power design will be the methodology forlow-power system design.

    REFERENCES[1] D. E. Lackey, P. S. Zuchowski, T. R. Bednar, D. W. Stout, S. W. Gould,

    and J. M. Cohn, “Managing power and performance for system-on-chipdesigns using voltage islands,” in Proc. Int. Conf. Comput.-Aided Des.,Nov. 2002, pp. 195–202.

    [2] J. Hu, Y. Shin, N. Dhanwada, and R. Marculescu, “Architecting voltageislands in core-based system-on-a-chip designs,” in Proc. Int. Symp.Low Power Electron. Des., Aug. 2004, pp. 180–185.

    [3] J. N. Kozhaya and L. A. Bakir, “An electrically robust method forplacing power gating switches in voltage islands,” in Proc. Conf.Custom Integr. Circuits, Oct. 2004, pp. 321–324.

    [4] K. J. Nowka, G. D. Carpenter, E. W. MacDonald, H. C. Ngo, B. C.Brock, K. I. Ishii, T. Y. Nguyen, and J. L. Burns, “A 32-bit powerPCsystem-on-a-chip with support for dynamic voltage scaling and dynaicfrequency scaling,” IEEE J. Solid-State Circuit, vol. 37, no. 11, pp.1441–1447, Nov. 2002.

    [5] T. D. Burd and R. Brodersen, “Design issues for dynamic voltagescaling,” in Proc. Int. Symp. Low Power Electron. Des., Aug. 2000,pp. 9–14.

    [6] B. Zhai, D. Blaauw, D. Sylvester, and K. Flautner, “Theoretical andpractical limits of dynamic voltage scaling,” in Proc. Des. Autom.Conf., Jun. 2004, pp. 868–873.

    [7] K. Choi, R. Soma, and M. Pedram, “Off-chip latency-driven dynamicvoltage and frequency scaling for an MPEG decoding,” in Proc. Des.Autom. Conf., Jun. 2004, pp. 544–549.

    [8] T. Simunic, L. Benini, A. Acquavivia, P. Glynn, and G. D. Micheli,“Dynamic voltage scaling and power management for portable sys-tems,” in Proc. Des. Autom. Conf., Jun. 2001, pp. 524–529.

    [9] V. Roy, G. Singhal, and A. Kumar, “Real time dynamic voltage scalingfor embedded systems,” in Proc. Int. Conf. VLSI Des., Jan. 2004, pp.650–653.

    [10] T. D. Burd, T. A. Pering, A. J. Stratakos, and R. W. Brodersen, “Adynamic voltage scaled microprocessort system,” IEEE J. Solid-StateCircuit, vol. 35, no. 11, pp. 1571–1580, Nov. 2002.

    [11] S. Ghiasi, J. Casmira, and D. Grunwald, “Using IPC variation in work-load with externally specified rates to reduce power consumption,” inWorkshop on Complexity Effective Design (Held in Conjunction withISCA), Jun. 2000.

  • 872 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 16, NO. 7, JULY 2008

    [12] N. Seifert, D. Moyer, N. Leland, and R. Hokinson, “Historical trend inalpha-particle induced soft error rates of the alphaTM microprocessor,”in Proc. IEEE Int. Symp. Reliability Physics, May 2001, pp. 259–265.

    [13] N. Seifert, X. Zhu, D. Moyer, R. Mueller, R. Hokinson, N. Leland, M.Shade, and L. Massengill, “Frequency dependence of soft error ratesfor sub-micron CMOS technologies,” in Int. Electron Devices Meet.,Techn. Dig., Dec. 2001, pp. 14.4.1–14.4.4.

    [14] V. Degalahal, N. Vijaykrishnan, and M. J. Irwin, “Analyzing soft errorsin leakage optimized SRAM design,” in Proc. Int. Conf. VLSI Des., Jan.2003, pp. 227–233.

    [15] V. Degalahal, R. Rajaram, N. Vijaykrishnan, Y. Xie, and M. J. Irwin,“The effect of threshold voltages on soft error rate,” in Proc. Int. Conf.Quality Electron. Des., Mar. 2003.

    [16] R. Ramanarayaman, V. Degalahal, N. Vijaykrishnan, M. J. Irwin,and D. Duarte, “Analysis of soft error rate in flip-flops and scannablelatches,” in Proc. IEEE Int. Conf. SOC, Sep. 2003, pp. 231–234.

    [17] M. Zhang and N. R. Shanbhag, “A soft error rate analysis (SERA)methodology,” in Proc. Int. Conf. Computer-Aided Design, Nov. 2004,pp. 111–118.

    [18] L. B. Freeman, “Critical charge calculations for a bipolar SRAM array,”IBM J. R&D, vol. 40, no. 1, pp. 119–130, 1996.

    [19] UC Berkeley, Berkeley, CA, “Berkeley predictive technology model,”[Online]. Available: http://www-device.eecs.berkeley.edu

    [20] P. Hazucha, C. Svensson, and S. A. Wender, “Cosmic-ray soft errorrate characterization of a standard 0.6-�m CMOS process,” IEEE J.Solid-State Circuits, vol. 35, no. 10, pp. 1422–1429, Oct. 2000.

    [21] A. Papoulis and S. U. Pillai, Probability, Random Variables and Sto-chastic Processes. New York: McGraw Hill.

    [22] S. Tosun, N. Mansouri, E. Arvas, M. Kandemir, and Y. Xie, “Relia-bility-centric high-level synthesis,” in Proc. Des. Test Eur. Conf., Mar.2005, pp. 1258–1263.

    [23] C. Weaver, J. Emer, S. S. Mukherjee, and S. K. Reinhardt, “Techniquesto reduce the soft error rate of a high-performance microprocessor,” inProc. Int. Symp. Comput. Arch., Jun. 2004, pp. 264–275.

    [24] N. S. Kim, K. Flautner, D. Blaauw, and T. Mudge, “Drowsy instructioncaches,” in Proc. IEEE/ACM Int. Symp. Microarch., Nov. 2002, pp.219–230.

    [25] K. Flautner, N. S. Kim, S. Martin, D. Blaauw, and T. Mudge, “Drowsycaches: Simple techniques for reducing leakage power,” in Proc. Int.Symp. Comput. Arch., May 2002, pp. 148–157.

    [26] M. Powell, S. H. Yang, B. Falsafi, K. Roy, and T. N. Vijaykumar,“Gated-V : A circuit technique to reduce leakage in deep-submicroncache memories,” in Proc. Int. Symp. Low Power Electron. Des., Jul.2000, pp. 90–95.

    [27] S. H. Yang, M. D. Powell, B. Falsafi, K. Roy, and T. N. Vijaykumar,“An integrated circuit/architecture approach to reducing leakage indeep-submicron high-performance I-caches,” in Proc. Int. Symp.High-Perform. Comput. Arch., Jan. 2001, pp. 147–157.

    [28] S. Kaxiras, Z. Hu, and M. Martonosi, “Cache decay: Exploiting gen-erational behavior to reduce cache leakage power,” in Proc. Int. Symp.Comput. Arch., Jul. 2001, pp. 240–251.

    [29] A. Agarwal, H. Li, and K. Roy, “DRG-cache: A data retention gated-ground cache for low power,” in Proc. Des. Autom. Conf., Jun. 2002,pp. 473–478.

    [30] A. Agarwal, H. Li, and K. Roy, “A single V low-leakage gated-ground cache for deep submicron,” IEEE J. Solid-State Circuit, vol.38, no. 2, pp. 319–328, Feb. 2003.

    [31] A. Agarwal and K. Roy, “A noise tolerant cache design to reduce gateand sub-threshold leakage in the nanometer regime,” in Proc. Int. Symp.Low Power Electron. Des., Aug. 2003, pp. 18–21.

    [32] F. Hamzaoglu, Y. Ye, A. Keshavarzi, K. Zhang, S. Narendra, S. Borkar,M. Stan, and V. De, “Dual-VT SRAM cells with full-swing single-ended bit line sensing for high-performance on-chip cache in 0.13 �mtechnology generation,” in Proc. Int. Symp. Low Power Electron. Des.,Aug. 2000, pp. 15–19.

    [33] F. Hamzaoglu, Y. Ye, A. Keshavarzi, K. Zhang, S. Narendra, S.Borkar, M. Stan, and V. De, “Analysis of dual-VT SRAM cells withfull-swing single-ended bit line sensing for on-chip cache,” IEEETrans. Very Large Scale Integr. (VLSI) Syst., vol. 10, no. 2, pp. 91–95,Apr. 2002.

    [34] N. Azizi, F. N. Najm, and A. Moshovos, “Low-leakage asymmetric-cell SRAM,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol.11, no. 4, pp. 701–715, Aug. 2003.

    [35] N. Azizi, A. Moshovos, and F. N. Najm, “Low-leakage asymmetric-cell SRAM,” in Proc. Int. Symp. Low Power Electron. Des., Aug. 2002,pp. 48–51.

    [36] T. Douseki, N. Shibata, and J. Yamada, “A 0.5-1 V MTCMOS/SIMOXSRAM macro with multi-V memory cells,” in Proc. IEEE Int. Conf.SOI, Oct. 2000, pp. 24–25.

    [37] K. Ghose and M. B. Kamble, “Reducing power in superscalar processorcaches using subbanking, multiple line buffers and bit-line segmenta-tion,” in Proc. Int. Symp. Low Power Electron. Des., Aug. 1999, pp.70–75.

    [38] N. S. Kim, D. Blaauw, and T. Mudge, “Leakage power optimizationtechniques for ultra deep sub-micron multi-level caches,” in Proc. Int.Conf. Comput.-Aided Des., Nov. 2003, pp. 627–632.

    [39] A. J. Bhavnagarwala, A. Kapoor, and J. D. Meindl, “Dynamic-threshold CMOS SRAM cells for fast, portable applications,” in Proc.IEEE Int. Conf. ASIC/SOC, Sep. 2000, pp. 359–363.

    [40] H. Kawaguchi, Y. Itaka, and T. Sakurai, “Dynamic leakage cut-offscheme for low-voltage SRAM’s,” in Tech. Dig. Symp. VLSI Circuits,Jun. 1998, pp. 140–141.

    [41] C. H. Kim and K. Roy, “DynamicV SRAM: A leakage tolerant cachememory for low voltage microprocessors,” in Proc. Int. Symp. LowPower Electron. Des., Aug. 2002, pp. 251–254.

    [42] C. H. Kim, J. J. Kim, S. Mukhopadhyay, and K. Roy, “A forwardbody-biased-low-leakage SRAM cache: Device and architecture con-siderations,” in Proc. Int. Symp. Low Power Electron. Des., Aug. 2003,pp. 6–9.

    [43] W. Wang, R. Huang, S. Yang, G. Zhang, X. Zhang, and Y. Wang, “Anovel idea: Using DTMOS to suppress FIBL effect in MOSFET withhigh-� gate dielectrics,” Solid-State Electron., vol. 47, pp. 1735–1740,Apr. 2003.

    [44] F. Assaderaghi, S. Parke, D. Sinitsky, J. Bokor, P. K. Ko, and C. Hu, “Adynamic threshold voltage MOSFET (DTMOS) for very low voltageoperation,” IEEE Electron Device Lett., vol. 15, no. 12, pp. 510–512,Dec. 1994.

    [45] Synopsys Inc., Mountain View, CA, “Ise8.0 manual,” 2006 [Online].Available: http://www.ise.com

    [46] S. Yang, W. Wolf, D. Serpanos, N. Vijaykrishnan, and Y. Xie, “Powerattack resistant cryptosystem design: A dynamic voltage and frequencyswitching approach,” in Proc. Des. Test Eur. Conf., Mar. 2005, pp.64–69.

    [47] SimpleScalar LLC, Ann Arbor, MI, “Simplescalar llc,” 2004 [Online].Available: http://www.simplescalar.com/

    [48] D. Brooks, V. Tiwari, and M. Martonosi, “Wattch: A framework for ar-chitectural-level power analysis and optimization,” in Proc. Int. Symp.Comput. Arch., Jun. 2000, pp. 83–94.

    [49] Dept. CSE, University of California, Santa Cruz, CA, “MCNC floor-plan benchmarks,” 2008 [Online]. Available: http://www.cse.ucsc.edu/research/surf/GSRC/MCNCbench.html

    Shengqi Yang (M’07) received the B.S. degree, theEconomic Double Major degree, and the M.S. de-gree from Peking University, Beijing, China, in 2000and 2002, respectively, and the Ph.D. degree fromPrinceton University, Princeton, NJ, in 2006.

    In March 2006, he joined Intel Corporation,Chandler, AZ, where he is currently a Senior VideoArchitect. At Intel, he is responsible for video postprocessing algorithm and architecture design. He hasfive Chinese Patents and six U.S. pending patents.He has published around 20 papers in major IEEE

    conferences and transactions. His research interests include image/videoprocessing algorithm, architecture and FPGA design, low-power and reliableICs design, power modeling and optimization, and CMOS novel structure andcompact modeling.

    Wenping Wang (S’06) received the B.S. and M.S.degrees from the Changchun University of Scienceand Technology, Changchun, China, in 2000 and2003, respectively. She did her master research workat Peking University, Beijing, China, from 2001 to2003. She is currently pursuing the Ph.D. degree inthe Department of Electrical Engineering, ArizonaState University, Tempe.

    Her research interests include modeling of tran-sistor and circuit reliability degradation instability(NBTI) and channel hot carrier (CHC) effects, sim-

    ulation method and design solutions for circuit aging, and CMOS low-powerand reliable design.

  • YANG et al.: CASE STUDY OF RELIABILITY-AWARE AND LOW-POWER DESIGN 873

    Tiehan Lu (M’07) received the Ph.D. degree inelectrical engineering from Princeton University,Princeton, NJ, in 2004, and the Masters degree anda Bachelor’s degree from Peking University, Peking,China, in 1998 and 2000.

    He is now with Intel Corporation, Chandler, AZ,where he is a Senior Staff Video Architect. He haspublished over 20 technical papers on internationalconferences and journals. He has applied eight U.S.patents. His research interests include video pro-cessing algorithm, VLSI design, embedded systems,

    and low-power design.

    Wayne Wolf (F’98) received the B.S., M.S., andPh.D. degrees in electrical engineering from Stan-ford University, Stanford, CA, in 1980, 1981, and1984, respectively.

    He is Farmer Distinguished Chair in EmbeddedComputer Systems and GRA Eminent Scholar atthe Georgia Institute of Technology, Atlanta. Hewas with Princeton University from 1989 to 2007and AT&T Bell Laboratories from 1984 to 1989.His research interests include embedded computing,VLSI systems, and multimedia information systems.

    Dr. Wolf has served as Editor-In-Chief of the ACM Transactions onEmbedded Computing Systems, the IEEE TRANSACTIONS ON VERY LARGESCALE INTEGRATION (VLSI) SYSTEMS, and Design Automation for EmbeddedSystems. He is the author of Computers as Components (Morgan Kaufmann,2000), FPGA-Based System Design (Prentice-Hall, 2004), and Modern VLSIDesign (Prentice-Hall, 1994) (for which he won the ASEE Frederick E. TermanAward in 2003). Wolf has been elected to Phi Beta Kappa and Tau Beta Pi. Hewas a recipient of the IEEE Circuits and Systems Education Award in 2006.He is a Fellow of the ACM, an IEEE Computer Society Golden Core Member,and a member of the SPIE and ASEE.

    N. Vijaykrishnan received the B.E. degreein computer science and engineering from SriVenkateswara College of Engineering (SVCE), Uni-versity of Madras, Madras, India, in 1993, and thePh.D. degree in computer science and engineeringfrom University of South Florida (USF), Tampa, in1998.

    He is a Professor of Computer Science and En-gineering and Electrical Engineering, PennsylvaniaState University, Philadelphia. His research interestsinclude areas of power/thermal-aware architectures,

    reliable circuits and systems, embedded architectures, and nanoscale system.

    Yuan Xie (M’97) received the B.S. degree inelectronic engineering from Tsinghua University,Beijing, China, in 1997, and received the M.S.and Ph.D. degrees in electrical engineering fromPrinceton University in 1999 and 2002, respectively.

    He is an Assistant Professor in the Computer Sci-ence and Engineering Department, The PennsylvaniaState University (Penn State), Philadelphia. Beforejoining Penn State in Fall 2003, he was with IBM Mi-croelectronic Division Worldwide Design Center.

    Dr. Xie was a recipient of the SRC Inventor Recog-nition Award in 2002 and the NSF CAREER Award in 2006. His research in-terests include VLSI Design, computer architecture, embedded systems design,and electronics design automation. He is a member of the ACM.