32
INDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si InGaAs detectors find new uses AmberWave sues Intel over chips ALSO INSIDE August 2005 Volume 11 Number 7

INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

  • Upload
    others

  • View
    8

  • Download
    0

Embed Size (px)

Citation preview

Page 1: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

INDIUM PHOSPHIDEDoes a foundry model add up

for InP optoelectronics?

Veeco and Picogigago for GaN-on-Si

InGaAs detectorsfind new uses

AmberWave suesIntel over chips

ALSO INSIDE

August 2005 Volume 11 Number 7

Page 3: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

AUGUST 2005 VOLUME 11 NUMBER 7

Wake-up call: The InP sector needs a foundry-based model, says Michael Lebby. p5 and p17

The new Laser Competence Centre in Finlandwill use this MBE reactor from Coherent. p9

Improving metal-organic material efficencywas key in Aixtron's new MOCVD design. p25

5 Headline News Report on InP sector is a ‘wake-up call’...Toyoda rubbishes doping patent claim...Veeco and Picogiga team up for GaN-on-silicon substrate project

6 GaAs & Wireless News European Commission expands Wi-Fi spectrum...RFMD undaunted by $5 m charge...Efficiency drive boosts profits at Skyworks... Raytheon in $580 m GaAs radar deal with Boeing...Chip maker TriQuint sees 20% drop in year-on-year revenue... Samsung selects GaAs device suppliers

8 LED News Patent guidance to speed LED lighting... Patterned laser lift-off simplifies fabrication of GaN-based LEDs...Chip heavyweights renew supply contract

9 Opto News Finland establishes photonics cluster... Superluminescent LED enables early dental diagnosis... nLight manufactures 3 W NIR diode laser

10 Fiber News Merged NeoPhotonics is ‘top-tier’... Hitachi and OpNext work on power-saver...Emcore set to grow as Cisco deal expires

11 Silicon Compounds NewsAmberWave sues Intel

27 Materials & Equipment NewsAXT struggles with client trust...Tegal signs $22.5 million investment deal

COMPOUND SEMICONDUCTOR AUGUST 2005 1

On the cover: On the cover: Manufacture of InP-based optoelectronics and microelectronics comes under the spotlight in this month’s issue. The cover imageshows a 3 inch InP wafer full of integrated optoelectronic devices (image courtesy of Bookham). See pages 17 and 21.

NEWS

17 Foundry model could be key to InP industry futureNew executive director of the OIDA Michael Lebby issounding a wake-up call to optoelectronic chipmanufacturers. Michael Hatcher talks to him about afoundry-based industry model for InP optoelectronics.

COVER STORY: INDIUM PHOSPHIDE

21 NGST transforms InP transistor manufactureWith its cost-efficient InP HEMT and HBT processes on100 mm substrates, NGST is well positioned to producepower devices for next-generation cellphones. That wasthe message from Richard Lai, NGST’s manager ofmicroelectronics products, at a recent conference.

12 Nitrel ion beam treatment increases laser lifetimesSwedish start-up Comlase has developed an ingenioustechnique for boosting the durability, output power andreliability of all types of laser diode. Oliver Graydonreports on the company’s Nitrel treatment.

14 Wafer-making advances fuel InGaAs camera sales boomInGaAs cameras are penetrating new markets, driven bythe availability of cheaper, higher-quality InGaAsmaterial. Martin Ettenberg of Sensors Unlimited reports.

25 Cost of ownership dictates new MOCVD reactor designRainer Beccard explains how throughput, yield and thedeposition of expensive metal-organic precursors havedriven the design of Aixtron’s latest planetary reactor.

FEATURES

Compound Semiconductor’s circulationfigures are audited by BPA International

28 Research Review IBM develops smooth strained silicon...Quantum-dot laser suggests CMOS compatibility...Robust GaN pressure gauge suits remote sensing

DEPARTMENTS

AIX

TR

ON

Page 4: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

Editor Michael [email protected]: +44 117 930 1013. Fax: +44 117 925 1942

Features editor Richard [email protected]: +44 117 930 1192

Consulting editor Tim [email protected]: +44 117 930 1233

Commercial manager Rebecca [email protected]: +44 117 930 1032. Fax: +44 117 930 1178

Senior sales executive Joanna [email protected]: +44 117 930 1028. Fax: +44 117 930 1178

Circulation manager Jackie [email protected]: +44 117 930 1218. Fax +44 117 930 1178

Publisher Sarah [email protected]: +44 117 930 1020

Production Lindsey Coles, Lucy Patterson,Clare SturgesAd production Jackie Cooke, Tanwen HafArt directorAndrew GiaquintoTechnical illustratorAlison ToveyPublishing director Richard Roe

SubscriptionsAvailable free of charge to qualifying individualsworking at compound semiconductor fabs andfoundries. For further information visitcompoundsemiconductor.net/subscribe.Subscriptions for individuals not meeting qualifyingcriteria: individual £82/$148 US/7119; library£184/$331 US/7267. Orders to CompoundSemiconductor, WDIS, Units 12 & 13, CranleighGardens Industrial Estate, Southall, MiddlesexUB1 2DB, UK. Tel: +44 208 606 7518; Fax: +44 208 606 7303. General enquiries:[email protected].

7979 total qualified circulation*

*June 2004 BPA audit statement

Editorial boardMayank Bulsara Atlas Technology (USA);Andrew Carter Bookham Technology (UK);Jacob Tarn Epistar/Gigacomm (Taiwan); Ian Ferguson Georgia Institute of Technology(USA); Toby Strite JDS Uniphase (USA); MarkWilson Motorola (USA); Dwight Streit NorthropGrumman (USA); Joseph Smart RF Micro Devices(USA); Colombo Bolognesi Simon FraserUniversity (Canada); Shuji Nakamura Universityof California at Santa Barbara (USA)

©2005 IOP Publishing Ltd. All rights reserved.

US mailing information: CompoundSemiconductor (ISSN 1096-598X) is published 11 times a year for $148 by Institute of PhysicsPublishing, Dirac House, Temple Back, Bristol BS1 6BE, UK. Periodicals postage paid atMiddlesex, NJ 08846. POSTMASTER: sendaddress corrections to Compound Semiconductor,c/o PO Box 177, Middlesex, NJ 08846. US agent:Pronto Mailers Association Inc, 200 Wood Avenue,PO Box 177, Middlesex, NJ 08846.

2

Editorial

2005 MRS Fall Meeting 24

Advanced Semiconductor Cleaning 20

Aixtron 3

Bandwidth Semiconductor 6

Compound SemiconductorWeek 4

EpiNova 20

Indium 20

Intertech 24

INTRINSIC Semiconductor IFC

LayTec 23

Linn High Therm 10

PANalytical 22

Raboutet 18

Riber 11

Rockwell Scientific 22

SAES Pure Gas 19

SAMCO Inc 16

SemiSouth Laboratories 22

Thomas Swan Scientific Equipment 7

Veeco OBC

Advertisers’ Index

It’s been a retro kind of month for the wireless business. But thisisn’t the premature, pre-millennial fizzle of late-1990s hype. It’sthe real-world, post-bubble emergence – finally – of that greatwhite hope, 3G.

Yes, the technology has been with us for a while, but now it’sstarting to catch on. And that spells good news for the high-tech

chip industry. So where’s the evidence? First, to the market forecasters.Granted, they aren’t always right, but bear with me.

Initially Gartner had reckoned on 720 million phones selling this year.Then in May it raised that figure to 750 million. Now, it says, 780 million ofthe chirruping gizmos will be snapped up – and the billion-mark will besurpassed in 2009. More interestingly, 100 million phones offering 3Gservices will sell in 2006. And that means more GaAs content per phone.

It’s a theory backed up by fellow crystal-gazer Strategy Analytics, whichexpects 71% annual growth in 3G services over the next five years. Its latestreport predicts 43% growth in shipments of semi-insulating GaAs substratesin the same time-frame, to around 20 million square inches in 2009.

Now, how about chip manufacturers? Well, Texas Instruments (TI) alsoseems to be getting the hots for wireless. In its latest trading update, the Dallas-based silicon churner said revenue from the sector spiked 8% due to what itcalled the fast-growing 3G market. That’s good news for TI shareholders,who can now look forward to a 20% hike on their October dividends.

Next, to the phone makers themselves. Motorola, on a high all yearthanks to the success of its RAZR product line, is gearing up for 3Gdevelopment with spin-off Freescale and plans to mass-manufacture 3Gcomponents in 2008.

Satisfied yet? Well then, how about a shiny new IPO to get excited about?It’s been a while, but up to the plate has stepped RFIC manufacturer HittiteMicrowave with its offer of 4.5 million shares at $17 a pop. As CompoundSemiconductor went to press, those shares were trading at around $18.50.Hopefully, this is a sign of confidence in a sector that now promises todeliver the broadband access networks and services we’ve been waiting for.

Michael Hatcher

COMPOUND SEMICONDUCTOR AUGUST 2005

Wireless gets its buzz back

Page 7: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

HEADLINE NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR AUGUST 2005 5

Report on InP sector is a ‘wake-up call’By Michael HatcherCo-operation among InP-based optoelec-tronic device manufacturers and the estab-lishment of a foundry model are crucial to thefuture success of the photonics industry.

That’s the conclusion of a roadmap for theInP industry compiled by the OptoelectronicsIndustry Development Association (OIDA).

Mike Lebby, the OIDA’s executive director,said: “The roadmap sounds a wake-up call forthe industry. We need to act soon to acceler-ate the development of an InPfoundry model.”

According to Lebby, who co-founded IgnisOptics and moved to Bookham when it

acquired the company, the optoelectronicsindustry is at a crossroads. “Unfortunately,[chip manufacturers] are forced to remain ver-tically-integrated because of the competitiveadvantage they get in their InPoptoelectronicdevice designs,” he said.

The clear solution, believes Lebby, is co-operation among device manufacturers andthe adoption of a common foundry model. Akey recommendation of the OIDA report isthat the InP industry should mirror the sili-con semiconductor manufacturing industryinfrastructure.

To date, InP device manufacturers have

used the unique properties of the material todevelop proprietary designs to tailor deviceperformance, using specific epitaxial growth,fabrication, testing and packaging procedures.

The excess manufacturing capacity that hasresulted has become a huge drain on both cap-ital and operating expenditure. But accordingto the OIDA roadmap, the picture will onlychange very slowly: “2009 will be the begin-ning of a time when the key vendors will looktoward the foundry model as a solution andan economic advantage – as opposed to athreat,” predicts the report.● See interview with Michael Lebby, p17.

Epitaxy equipment vendor Veeco Instrumentsand French substrate specialist Picogiga arejoining forces in a bid to speed the commer-cialization and volume production of GaN-on-silicon substrates.

The firms have set up a development pro-gram to create the first industrial MBE reac-tor set up specifically for Picogiga’s patentedGaN-on-silicon manufacturing process.

Development work will take place atVeeco’s process integration center in St Paul,MN. Once it has been built, Veeco will deliverand install the reactor at Picogiga’s Les Ulisproduction facility in France, where it will beused to fabricate GaN-on-silicon epiwafersof up to 6 inches in diameter.

Jean-Luc Ledys, Picogiga’s COO, saysthat the agreement will pave the way for theproduction of competitive GaN-on-silicon

substrates on which devices such as high-electron-mobility transistors (HEMTs) canbe fabricated.

A whole host of companies are currentlydeveloping GaN transistor technology, withmost initial production taking place on SiCsubstrates or, to a lesser extent, so-called“native” substrates such as GaN or AlN.

Although the key advantage of GaN is theextra output power that RF devices based onthe material can produce, the substrate tech-nology used until now has proved to be rela-tively expensive.

Veeco and Picogiga believe a silicon basewill give better thermal conductivity, reduceprocurement costs and allow production onlarger-diameter wafers than GaN substrates.

Among the leading GaN-on-silicon HFETdevice developers is US company Nitronex.

Veeco and Picogiga team up forGaN-on-silicon substrate project

Picogiga plans toend up with aGEN200 MBEreactor similar tothis one – butspecificallydesigned for itsGaN-on-siliconepiwafermanufacturingprocess – when thedevelopmentprogram it isundertaking withVeeco is complete.

A patent infringement lawsuit concerningLED manufacturing brought by a ColumbiaUniversity professor against Toyoda Goseihas been dismissed by the Japanese company.

Prof. Gertrude Neumark filed the suit in theUS District Court, Southern District of NewYork, citing Toyoda Gosei, its US subsidiaryand two of its LED distributors. However,Toyoda Gosei has rubbished the academic’sclaims, saying that the two patents in questionare “based on a hypothetical logical formulaand lack both novelty and inventiveness”.

One of the patents concerned details aprocess for doping wide-bandgap semicon-ductors. Awarded in 1990, US patent 4,904,618describes how crystals of ZnSe and ZnTe canbe doped with nitrogen and lithium. In itNeumark says that ZnSe may be p-type dopedusing a lithium-rich melt and liquid-phase epi-taxy, or by using ammonia gas. The secondpatent – US number 5,252,499 – was awardedin 1993 and also concerns doping.

Toyoda says that back in 1999 it had dis-cussed the patent claims with Neumark. Butthe LED manufacturer alleges that an agree-ment could not be reached because herdemands were “beyond common sense”.

Not only does Toyoda believe that it is notinfringing the patents as it does not use any suchmethod, it also regards the patents to be invalid,and lacking in what it calls patentability.

“Toyoda Gosei is confident that our LEDproducts do not infringe the patents named inthe lawsuit. It will take legal measures to replyto the complaint, and intends to defend its posi-tion,” concluded the company in a statement.

Toyoda rubbishesdoping patent claim

VE

EC

O

Page 8: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

GAAS & WIRELESS NEWS

COMPOUND SEMICONDUCTOR AUGUST 20056

European Commissionexpands Wi-Fi spectrumThe European Commission has made two fre-quency bands in the radio spectrum availablefor wireless access systems (Wi-Fi). Wi-Fi andbroadband wireless communications tech-nologies such as WiMAX are seen as key futuremarkets for GaAs-based RF semiconductors.

The decision to open up the 5.15–5.35GHzand 5.47–5.725 GHz bands is accompaniedby a move to introduce “innovative spectrummanagement approaches”, which the Com-mission believes will protect other RF spec-trum applications, such as military radar andsatellite services, from damaging interference.

“The decision will help industry to createinnovative services, such as voice over IP, fora single European market,” said VivianeReding, the Information Society and MediaCommissioner. “High-speed electronic com-munication networks are essential to Europe’scompetitiveness.”

According to a Commission statement, theso-called i2010 initiative will pave the way toan open, competitive single market for Wi-Fiin the European Member States.

“Access to this spectrum with common

rules will make equipment cheaper and allev-iate the growing overloading of spectrumalready used for this purpose. It will facilitatethe take-up of wireless systems, from corpor-ate networks to hotspots in airports, train sta-tions, shopping malls and hotels.”

Estimates put the number of worldwide Wi-Fi users at 120 million, including 25 millionin western Europe. More than 500 millionworldwide users are expected by 2008.

In a recent presentation to the EuropeanInstitute in Washington, DC, the commissionersaid that the time had come for policy conver-gence to match technology convergence.

According to Reding, flexibility of spec-trum use is a key issue. “Adebate is under wayon a common spectrum approach for all wire-less transmission platforms providing elec-tronic communications services,” she said.

Reding added that the European Commis-sion has plans for the efficient management ofthe radio spectrum. In another move that willaffect the RF spectrum and devices used inbroadcast applications, it is proposing toswitch off analog terrestrial television by 2012.

RFMD undaunted by $5m chargePower amplifier and RF chipset supplier RFMicro Devices (RFMD) has posted revenue forthe quarter ending June 30 of $159.4 million.

Although RFMD was hit by a $5 millioncash charge for the period for delayed ship-ments, the figure exceeds the “mid-$150 mil-lion” revenue guidance it issued in April.

The Greensboro, NC, company announcedthat it had experienced stronger-than-expected

orders in the June quarter for its power ampli-fiers, Polaris Total Radio transceiver chipsetsand Bluetooth products. It added: “[RFMD]believes its wireless end markets are continu-ing to show strong growth rates.”

Some of that growth has been driven bydesign wins for Polaris at Motorola, andRFMD’s transceiver will feature strongly inMotorola’s forthcoming cell-phone handsets.

Skyworks Solutions has reported revenue for the third fiscal quarter ended July 1 of$191.5million, up $1million sequentially, butdown $15.9 million year-on-year.

Third-quarter profit for the US-based RFchip maker was $7.4 million, lower than the$13 million reported one year ago, but animprovement on the $1.2 million income inthe previous quarter.

Gross margin for the recent quarter was40.7%, a rise of 2.5% sequentially.

According to Skyworks’ CEO DavidAldrich, the improvement in gross margin wasdriven by a combination of further diversifi-cation into linear products, higher levels ofintegration, and greater operational efficien-cies. The company believes that furtherimprovements in these areas could lead to agross margin of at least 45% in 2006.

Skyworks anticipates that its fourth fiscalquarter revenue will be between $191 millionand $202 million.

Efficiency drive boosts profits at Skyworks

Page 9: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

7COMPOUND SEMICONDUCTOR AUGUST 2005

GAAS & WIRELESS NEWScompoundsemiconductor.net

Raytheon in $580m GaAsradar deal with BoeingUS defense contractor Raytheon has won a$580 million contract to produce GaAs-basedradars for use in Super Hornet fighter aircraftflown by the US Navy.

Under the five-year production contract,Raytheon has agreed to deliver 190 activeelectronically scanned array (AESA) APG-79systems to Boeing.

The first such radar was delivered to BoeingIntegrated Defense Systems in January.

“The APG-79 program is on a roll thisyear,” said Erv Grau, vice-president for air

combat avionics at Raytheon’s space and air-borne systems division. “APG-79 will pro-vide aircrews with unequalled combatcapability and play a critical role in support-ing the Navy’s vision in how it intends to oper-ate in the future.”

The AESAAPG-79 system will enable theUS Navy’s fighter aircraft to track other air-craft at longer ranges, provide higher-reso-lution synthetic aperture radar maps, andallow near-simultaneous air-to-air and air-to-ground sensing.

RF chip manufacturer TriQuint Semicon-ductor has posted revenue of $67.9million forthe second fiscal quarter ended June 30. Therevenue excludes any contribution from thecompany’s InP optoelectronics business,which it sold to CyOptics on April 29.

TriQuint has recalculated its financialresults for the current and prior quarters byreclassifying the results from its optoelec-tronics business as “discontinued operations”.

Following this adjustment, second fiscalquarter revenue was up by $1 million sequen-tially, but down by $16.5million year-on-year.

Although profit for the second quarter was$6.2 million, that figure includes a one-timecontribution of $7.7 million from the sale ofthe company’s optoelectronics business.

According to TriQuint, quarterly gross

margin has increased from 27.5% in the firstquarter of this year to 30.7% for this quarterthrough improvements in the use of capacityin the high-volume factories.

“In our largest market, GSM/GPRS/EDGEhandset products, we generated record quar-terly revenue of over $9 million on thestrength of our new module products,”remarked Ralph Quinsey, TriQuint’s CEO.

Quinsey said that the company’s CDMAorders have “rebounded” significantly fromthe low levels of the fourth quarter of 2004and the first quarter of 2005.

The company says that it expects third-quarter revenue to rise to between $73.3 mil-lion and $76.7 million, through an increase insales of products for wireless phones andbroadband applications.

Chip maker TriQuint sees 20%drop in year-on-year revenue

Leading GaAs device manufacturers Ana-digics, RF Micro Devices (RFMD) andSkyworks Solutions have all claimed designwins in handsets made by the Korean elec-tronics giant Samsung.

Samsung, which is ranked third behindNokia and Motorola in terms of global unitcell-phone shipments, will use Anadigics’3 × 3 mm cellular-band code-division multi-ple access (CDMA) power amplifiers (PAs)in a range of handsets.

Anadigics supplies PAs to Samsung forGSM/GPRS, wideband-CDMA and Korean

PCS wireless communications applications.Rival PA manufacturer RFMD says that it

is now shipping PA modules designed forCDMA phones to Samsung. Although theGreensboro, NC, chipmaker has already deliv-ered more than 100 million RF components toSamsung, this latest design win marks the firstshipments of its CDMA PAs to the company.

Meanwhile, RFMD’s leading rival in theGaAs IC industry, Skyworks Solutions, willsee its Helios RF product for EDGE applica-tions designed into a new range of high-endSamsung handsets.

Samsung selects GaAs device suppliers

Thomas SwanScientific Equipment

Thomas Swan Scientific Equipment LtdBuckingway Business ParkSwaveseyCambridge CB4 5FQ UK

t +44 (0)1223 519444f +44 (0)1223 519888e [email protected]

A member of the AIXTRON group of companies

• The Next Generation of an IndustryStandard: Epison 4 OM GasConcentration Analyser

• Improved accuracy, extended to lower concentration, better signal to noise ratio

• Optimised design – integrated ultrasonic cell and control unit

• DeviceNet and Profibus fieldbuscommunications for system integration

Page 10: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

LED NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR AUGUST 20058

Chip manufacturer Cree of Durham, NC, hassigned a three-year LED supply deal withGermany-based Osram Opto Semiconductors.

The contract covers Cree’s entire LED chipproduct line, including standard, mid-brightand XBright LEDs. Details of volumes, pri-cing and product mix have been agreed for aninitial period, and will be reviewed regularlybetween now and June 2008.

The two companies last signed a supply dealin October 2003. Osram bought at least

500 million LED chips under that agreement.“Osram fulfilled its previous purchase

commitment far earlier than expected and this[new] agreement reflects the way in which thetwo companies have conducted business overthe past several quarters,” said Cree CEOChuck Swoboda.

According to Swoboda, the new deal isstructured in such a way to allow both par-ties to react quickly to sudden changes indemand from customers.

Chip heavyweights renew supply contract

Ede, a town in the Netherlands, is the firstlocation to deploy street lights using LEDluminaires from Philips Lighting. The PhilipsEquinox street lights comprise two opticalmodules. Each contains 18 white andamber LEDs that are a combination ofLuxeon I and III products. With a lifetime of50,000h, the LED lamps should not have tobe changed for another 12 years if they arelit for an average of 11–12 h a day.

Taiwanese researchers from National ChengKung University and the We-Fung Instituteof Technology have fabricated vertical-structured GaN-based LEDs using nickelelectroplating and patterned laser lift-off(Appl. Phys. Lett. 87 011111).

These devices, the output power of whichis more than twice that of a standard LED, willhelp the development of high-brightnesssources for cost-effective solid-state lighting.

According to the researchers, sapphire sub-strates, which are a common choice for GaNLED production, have poor thermal and elec-trical conductivity, and this prevents heat fromdissipating efficiently.

In addition, conventional GaN LEDs havetheir positive and negative electrodes on thesame side of the wafer, which causes severe

current crowding and reduces the emitter’sinternal quantum efficiency.

The team addressed both of these issues byusing a patterned laser lift-off technique tosimultaneously define the device area andseparate the GaN epilayer from the sapphiresubstrate. Nickel is subsequently depositedonto the p-type GaN, followed by layers ofsilver, titanium and aluminum, to form ametallic substrate that reduces current crowd-ing and improves the device’s heat dissipa-tion properties.

Using laser lift-off to enhance GaN LEDperformance has been demonstrated before.However, according to the Taiwanese team,previous efforts have required complicatedfabrication processes that could impact onproduction yields.

Patent guidance to speed LED lightingBy Tim WhitakerLED development in the US has received aboost from the Department of Energy (DOE).New guidance on intellectual property (IP)will see the industry benefit from break-throughs made in solid-state lighting (SSL)research at DOE laboratories, academic insti-tutions and small businesses.

Inventions arising under the DOE’s Solid-State Lighting research program will now becovered by a so-called Exceptional Circum-stances Determination. Referring to the Bayh-Dole Act, this determination places guidanceon IP generated under the Core TechnologyResearch program area. This program areacreates technology breakthroughs that can bewidely applicable to future SSL products.

The Exceptional Circumstances Deter-mination is supported by Congress and theDOE, and will facilitate more rapid use of newSSL technology that has been developedthrough the DOE program.

Small businesses and non-profit organiza-tions (such as universities) working under USgovernment funding cannot be made to giveup IP rights that they might acquire unlessexceptional circumstances apply.

The new IP arrangements allow membersof the Core Technology Program (organiza-tions that are working on solutions to techni-cal barriers for SSL and that are engaged infunding agreements with the DOE) to retaintitle to inventions made under their SSLProgram awards.

However, these innovators must offer eachmember of the SSL Partnership (a collectionof companies that have the capacity to manu-facture SSL systems) the first option to enterinto a non-exclusive license. These open-license offers to SSL Partnership membersmust continue until at least one year after thedate that the US patent was issued. After thistime the inventors are free to enter into licensesin any field of use with any interested party thatis involved in the development of SSL.

The agreement does not provide royalty-free access – the negotiations would be ingood faith for royalty at a reasonable rate.

Tim Whitaker is editor of LEDs Magazine(www.ledsmagazine.com).

Patterned laser lift-off simplifiesfabrication of GaN-based LEDs

PH

ILIP

S L

IGH

TIN

G

Page 11: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

OPTO NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR AUGUST 2005 9

Finland establishes photonics clusterBy Oliver GraydonThe photonics community in Tampere,Finland, has formed a local cluster to uniteacademic research with companies and aid thedevelopment of diode lasers and fiber lasersin the region.

“The Laser Competence Centre [LCC]Finland was established on June 7 and aimsto speed up technology exploitation and sup-port company needs,” Markus Pessa, directorof the Optoelectronics Research Centre(ORC) at Tampere University of Technology(TUT), told delegates at the LASER 2005show held in Munich in June.

He added: “Already 20 companies havepaid a membership fee of 73500 [$4200] peryear to join, and we expect another 20–30companies to join before the end of the year.”Local firms that have already signed upinclude laser manufacturers Coherent Finland(formerly Tutcore Oy) and Modulight, andepiwafer supplier and custom optoelectronicdevice manufacturer EpiCrystals. Well knownnames from further afield include Nokia,Heptagon and Oxford Instruments.

LCC’s activities include laser development,integration of lasers with production equip-ment and end-user training. It has beendonated a V90-type molecular beam epitaxy

(MBE) reactor, worth 71.5 m, by Coherent.The reactor will be installed at the ORC this

autumn and has a production capacity that isthree times that of the ORC’s six existing MBEreactors. It is the only reactor of its type in aEuropean university and will be able to manu-facture III-V optoelectronic components inlarger batches than were previously possible.

“The Finnish laser industry will certainly

benefit from this donation,” said Pessa. “Thereis a unique link between research and pro-duction in Tampere. A new branch of exportindustry – the industrial manufacture of epi-taxial semiconductors and semiconductor andfiber lasers – has emerged quickly.”

Oliver Graydon is editor of Optics.org and Opto &Laser Europe magazine.

Amedical imaging technique that uses an InP-based superluminescent LED (SLED) is beingused by dentists to detect the early stages ofdisease in teeth. The result could be earlier,less-damaging treatment of dental problems.

US firm Lantis Laser has developed anoptical coherence tomography (OCT) imag-ing instrument based around an SLED sup-plied by Singapore-based firm DenseLightSemiconductors. According to dentists whohave trialed the technique at the University ofPennsylvania (UPenn) School of Dentistry,OCT can detect oral problems before a con-ventional X-ray image can.

This is because the spatial resolution of thethree-dimensional images produced by OCTis 10 times better than that of X-ray images. X-ray detection of tooth decay depends on a vari-ation in material density – a sign that damage

has already been done – but OCTrelies on moresubtle variations in optical characteristics.

OCT requires a broadband light source,and Denselight’s Sunil Phatak believes thatit is the particularly “clean” power output ofhis company’s SLEDs that benefits the den-tists. “Customers keep clamoring for cleanpower – useful optical power with low coher-ence noise, high polarization and wider spec-tral bandwidth.”

Denselight’s SLEDs produce over 50 mWoutput, with a spectral width of more than70nm. “We have tried several broadband lightsources. The Denselight 1310 nm SLED isclearly superior and performs consistentlywell in generating exceptionally clearimages,” said UPenn dentist Linda Otis.

Lantis Laser will now look to commercial-ize the technique and gain clearance from theFood and Drug Administration, the regulatingbody in the US. “OCThas a very definite func-tion in dentistry,” said Lantis’s Stan Baron.“Lantis Laser is ready to embark on develop-ing pre-production prototypes and then addressmarket introduction in 2006.”

A powerful MBE reactor will shortly be installed at Tampere University, thanks to the LCC.

SuperluminescentLED enables earlydental diagnosis High-power semiconductor laser manufacturer

nLight has released a near-infrared (NIR) diodelaser that emits 3 W continuous-wave powerfrom a single, 100 µm broad area emitter.

The 808 nm laser is claimed to be an idealpump source for solid-state lasers, and anexcellent heat or illumination source for med-ical and industrial applications.

nLight’s single-emitter diode laser has anoperating current of 2.8 A and a compliancevoltage of 1.85 V. Full-width half-maximum(FWHM) values of beam divergence are lessthan 36° × 10°. The laser can also be accom-panied by a cylindrical lens to collimate thedivergence of the fast axis to below 2° FWHMwith more than 95% power transmission.

nLight says that its high-power single-emit-ter diode lasers are based on its proprietaryMOCVD-grown laser structure and facet pas-sivation technology, which produces highlyreliable, long-lifetime products.

nLight manufactures3W NIR diode laser

Page 12: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

FIBER NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR AUGUST 200510

Merged NeoPhotonics is ‘top-tier’

Emcore set to grow as Cisco deal expires

NeoPhotonics, the optical module supplierand chip manufacturer based in San Jose, CA,has completed its merger with the PhotonTechnology Company of Shenzhen, China.

According to the US firm, the acquisitionpositions the merged entity, which retains thename NeoPhotonics, as a “top-tier” opticalcomponent manufacturer. Revenue is expectedto exceed $50 million in 2005, while the com-pany now boasts more than 1200 employeesand 100 customers, including some key net-work equipment suppliers.

Set up in 1993, Photon Technology wassaid to be China’s largest active componentmanufacturer in the fiber-optic sector.NeoPhotonics became the largest shareholderin the company earlier this year when itacquired one-third of all outstanding sharesformerly owned by the Chinese state.

NeoPhotonics specializes in planar light-wave circuits (PLCs) featuring integratedcomponents, which are designed for long-haul, metropolitan and access networks. It isthe access area that NeoPhotonics’CEO TimJenks is particularly excited about: “The

merged company [has] a complete line ofactive and passive modules – from the core tothe edge of the network – and a particularlystrong portfolio for the booming fiber-to-the-premises [FTTP] sector,” he said.

Jenks says that FTTP is already a marketworth close to $1 billion worldwide, and theeconomies into which the high-speed accesstechnology appears to be penetrating fastestare those of Taiwan, China and Korea.

NeoPhotonics says that its photonic inte-gration will ultimately drive down costs andimprove the efficiency of optical networks,allowing faster deployment of new, high-band-width services. “Key market opportunitiesinclude the integration of active lasers with pas-sive filtering, and alignment on PLC substratesfor low-cost triplexers used in ‘triple-play’FTTP access networks,” claimed the firm.

The merged company’s headquarters willremain in San Jose, where NeoPhotonics has a10,000 ft2 wafer fabrication facility. The bulkof device assembly will take place in Shenzhen,while research and development activity willcontinue in San Jose, Shenzhen and Beijing.

The growing market for 10 Gbit/s fiber-opticnetworks and the end of an exclusive supplydeal with Cisco Systems spells good news forEmcore, says an investment bank.

According to research by Jefferies & Co,the end of the Cisco agreement, which expiredon June 30, 2005, will give Emcore accessto a raft of new customers.

“Starting on July 1, Emcore will be able topursue other customers,” said Jefferies prior tothe deal’s expiration. “Potential customersinclude Force10 Networks, Extreme Networks,Foundry Networks and Huawei Technologies.”

The bank also points out that Emcore will

continue to be Cisco’s key supplier as the10 Gbit/s market grows. With other customersnow available to Emcore, the Somerset, NJ,chip maker will ramp manufacture of its LX4product, which optically combines four sep-arate uncooled distributed-feedback lasersoperating at 1275, 1300, 1325 and 1350 nm.

Cisco recently announced plans to deployan optical network containing these trans-ceivers at the Memorial Sloan-KetteringCancer Center in New York. Jefferies reckonsthat the expanding market, and Emcore’sstrong position within it, will drive 50% year-over-year growth in sales of these products.

OpNext and its parent company Hitachi havedeveloped a semiconductor laser for high-speed optical networks that is said to be sim-pler in structure than competing devices andfar less power-hungry.

According to a report in the Nihon KeizaiShimbun, the new device consumes less powerbecause it integrates the laser with a modula-tor that does not require complex cooling.

The report adds that OpNext, which uses

wafer fabrication facilities formerly belong-ing to Hitachi, plans to commercialize mod-ules that will incorporate the new devices inthe first half of next year.

Set up by Hitachi as a fiber-optic compo-nents unit in 2000, OpNext took over the firm’ssemiconductor and opto-device division in2002. As well as lasers for optical networks, italso makes laser diodes used in optical data-storage applications and bar-code readers.

Hitachi and OpNext work on power-saver

Phone: +49 9665 9140-0Fax: +49 [email protected]

w w w . l i n n . d e

Crystal growing

Bridgeman 3 zone vertical tubular furnacewith graphite heater for directional /and single crystal solidification ofmetals under protective gas atmos-phere. With quenching buffle. High precisiontranslation. Program controller: SE 502.Max. furnace temperature: 1.800 °C. Max. hea-ting power: appr. 20 kW. Linear unit: 0,1 mm/hto 1.000 mm/h. Fast cooling: appr. 100 mm/s.

GaIn

Micro-Crystal growth systemfor pulling of single crystalline fibers from the melt under inert gas or air. Fiberdimensions: Ø = 0,2-2,0 mm, lmax = 250 mm.Up to 5000 mg of starting material is melted in aplatinum crucible (for high-melting compoundsalso Ir-, W-, Mo- crucibles) and a fiber crystal ispulled down through a capillary nozzle with asecondary heater around the nozzle. Powersupply: primary heater 80 W (max. 500 W),secondary heater 30 W (max. 200 W).

LiNbO3

Production system for sublimation growth of 2 / 3 inch 4H- and 6H SiC single crystals for optoelectronics, power- and high temperature electronics. Allowsthe precise control of process conditions (tempe-rature field, gas pressure, gas composition).

Tmax 2300 °C.

SiC

Page 13: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

SILICON COMPOUNDS NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR AUGUST 2005 11

AmberWave sues IntelAmberWave Systems, the Salem, NH, com-pany that specializes in developing strained-silicon device manufacturing technology, hasfiled a lawsuit against Intel, the world’s fore-most silicon chipmaker.

According to AmberWave, Intel’s latestPentium processors, which are manufacturedusing 90 nm technology, infringe US patentnumbers 6,881,632 and 6,831,292.

In both of these patents, AmberWave detailsways to increase carrier mobility in n-type andp-type channels by introducing strain into thesilicon lattice. The litigation arose after Intelrefused to negotiate a “commercially reason-able” license agreement, claims AmberWave.

“Because Intel has been using these pro-prietary technologies without a license fromAmberWave, we have no choice but to defendour intellectual property rights,” said Amber-Wave CEO Richard Faubert.

Patent 6,881,632, which was awarded inApril this year, details a method developed byAmberwave’s Eugene Fitzgerald, also a pro-

fessor at Massachusetts Institute of Tech-nology, and Nicole Gerrish.

In it, they describe how a compositionally-graded buffer layer can be used to accommo-date the lattice mismatch between a relaxedSiGe film and a silicon substrate. A siliconlayer under biaxial tension can then be depos-ited on top of the SiGe film, thus improvingboth hole and electron mobility.

Devices made using the method can oper-ate faster while the input power is kept con-stant, for example in processor chips fordesktop computers where speed of operationis the crucial attribute.

Alternatively, the chips can run at their nor-mal frequency but draw less power – a usefulattribute for portable electronic applications.

The earlier patent details silicon and SiGe-based structures featuring an “impurity” gra-dient. At the furthest point of a strained-siliconlayer from the semiconductor substrate, theconcentration of the impurity, or dopant mate-rial, is zero.

● SiGe foundry Jazz Semiconductorhas appointed Brent Jensen as its vice-president and chief financial officer(CFO). Jensen’s financial career began in the early 1980s with NationalSemiconductor, and he now leaves AMI Semiconductor, where he has heldvarious positions including senior vice-president and CFO.

In mid-June, Jazz told the US Securitiesand Exchange Commission that it waswithdrawing plans for an initial publicoffering of its stock.● Peregrine Semiconductor, amanufacturer of circuits based on silicon-on-insulator technology and featuringsapphire substrates, has appointed BrianHurst as vice-president of worldwide sales.

Hurst was formerly vice-president ofworldwide sales and marketing atAnadigics, and has also held the position ofgeneral manager of sales and marketing forthe Americas at National Semiconductor.

In brief

Page 14: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

compoundsemiconductor.net

COMPOUND SEMICONDUCTOR AUGUST 200512

LASER PROCESSING

Nitrel ion beam treatmentincreases laser lifetimes

Asmall Swedish firm believes that it hasfound a way to fabricate semicon-ductor lasers that can be driven, with-

out failing, at much higher temperatures andoutput powers than ever before. If the claim ofStockholm-based Comlase turns out to be true,it could have dramatic consequences in fieldsranging from data storage and telecoms to fiberpumping and laser welding.

Until now, the maximum output power andtemperature of operation of high-power laserdiodes has been severely limited by the onsetof a failure mechanism called catastrophicoptical mirror damage (COMD). This irre-versible thermal damage to the surface of thelaser chip facets, which act as mirrors, is oftencaused by facet oxidation or manufacturingdefects that absorb light and act as “hotspots”.It is the principal reason for the poor manu-facturing yield of high-power lasers.

Today, edge-emitting laser diodes are madeby cleaving a semiconductor wafer into indi-vidual chips. The cleaved ends are then cov-ered with thin-film coatings – one end with ahigh-reflectivity (HR) coating, the other end,antireflection (AR) – to form the laser’s rearmirror and front output coupler.

Dangling bondsThe problem tends to be that, after cleaving,the exposed facets are highly chemically reac-tive because the bonds of the atoms in the sur-face layer have been physically torn apart,creating so-called “dangling bonds”. On con-tact with even low concentrations of moistureor oxygen, these areas oxidize to create a light-absorbing hotspot that can lead to COMD.

Although the conventional thin-film coat-ings that are applied to the facets do offer someprotection against moisture and oxygen, theyare not a durable solution. For example, alu-minum oxide, which is often used as a coat-ing, is relatively permeable to water and isprone to moisture ingress over time.

To date, approaches to overcoming the

problem have focused on depositing a passiv-ation layer, such as silicon (in Bookham’s E2process), on top of the raw facets to protectthem prior to depositing the thin-film reflec-tion coatings. However, this process is onlyeffective at certain wavelengths.

“Applying a thin layer of silicon works verywell for lasers operating at 900–980 nm, butas soon as you go to shorter wavelengths, youget negative effects,” explained AlfredFeitisch, Comlase’s CEO. “Our ‘Nitrel’passivation process is applicable to any semi-conductor material – going all the way from

GaN in the blue to the infrared.”The Comlase Nitrel process uses nitrogen

to tidy up all the dangling bonds on the rawfacet surface so that they cannot oxidize andact as a catalyst for COMD. Conventional HRand AR thin-film coatings are then applied tothe treated facets.

“What Comlase does is atomically seal thesurface by taking off the oxygen and sub-stituting it with nitrogen atoms,” saidFeitisch. “This renders the surface chemi-cally stable so that it cannot react.”

The process is performed in a specially

Swedish start-up Comlase has developed an ingenious technique for protecting the surface ofsemiconductor laser chip facets. Oliver Graydon reports on the company’s Nitrel treatment,which promises to boost the durability, output power and reliability of all types of laser diode.

Special treatment: Comlase’s reactor for performing its Nitrel process. The ultra-high-vacuum chamber features a nitrogen ion gun to prevent facet oxidation and severalelectron guns to produce the necessary facet coatings.

Page 15: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

13COMPOUND SEMICONDUCTOR AUGUST 2005

LASER PROCESSINGcompoundsemiconductor.net

designed ultra-high-vacuum chamber (reac-tor) that features two electron guns and an iongun for bombarding the facets of the laser witha stream of nitrogen ions. The nitrogen ionspolish the surface smooth, remove any oxidesand then seal it with a nitride layer. The elec-tron guns are then used to deposit the HR andAR thin-film coatings onto the rear and frontfacets by e-beam evaporation.

“The reactor has a carousel that puts a stackof 50 laser bars in front of the ion gun at a time.We then flip them over and do the reverse side.Then we lay down the HR and AR coatings,”said Feitisch. “We are now building a newhigh-volume chamber that will have at leastthree electron guns and will be able to process800 laser bars in a single run.” Heading up thisdevelopment is Olof Sahlén, Comlase’s newvice-president of engineering.

Improved degradationThe benefits of the process are substantial,according to lifetime test data collected byComlase. It says that multimode 805 nmAlInGaAs laser diodes fabricated with theNitrel process showed no degradation in per-formance over a period of 9000h when drivenat 60–80 W with a junction temperature of90°C. In comparison, untreated diodes drivenunder the same conditions degraded rapidly,with three-quarters of the batch failing beforereaching 6000 h.

Tests with InGaAs diodes at the longerwavelength of 980 nm also showed greatimprovements in performance. “We’ve putthem on life tests at 180 mW/µm [emitterwidth] and seen no degradation over thou-sands of hours,” said Feitisch. “In short, whatthis means is that if you take a 100 µm-widesingle-chip emitter, [it] would be a reliable18 W laser chip, which is enormously impor-tant for pumping high-power fiber lasers.”

As far as applications for the process areconcerned, Feitisch says that it would be idealfor making high-power infrared (IR) lasersfor pumping solid-state lasers, such as the USmilitary’s proposed mobile 100 kW laserweapon. The ability to run diode lasers atmuch higher temperatures (90 °C, instead ofroom temperature) and higher output powersshould dramatically simplify the coolingrequirements for the pumps and minimize thenumber that are needed.

“In theory, you could run everything on acar-engine cooler, rather than using refriger-ation equipment,” explained Feitisch. “Wehave set up a US subsidiary in Delaware sothat we can deal more easily with the US

military and government.”Fiber lasers and thin-disc lasers could also

benefit from diodes with improved reliabilityand power levels. Ultimately, the technologycould result in bright, compact diode bars thatare ideal for performing direct diode weldingand surface treatment.

The improved reliability of the lasers couldalso be a big benefit for other mission-criticalapplications. “We are in talks with theEuropean Space Agency to design and qual-ify a special laser bar for spaceborne applica-tions, and hope to have a project started nextyear,” said Feitisch. “After all, if a laser failson a satellite in space, it’s a big problem.”

Other potential applications include rais-ing the output power of blue and red lasersused in the optical storage industry. The resultcould be faster writing and reading of dataonto CD-ROMs, DVD and BluRay discs.Comlase says that it has already receivedenquiries from Sony and Hitachi, who areboth interested in the technology.

Although the company is initially focus-ing on applying its process to semiconductorlasers, Feitisch says that there is no reason whythe Nitrel process couldn’t be applied to semi-conductor photodetectors, such as avalanchephotodiodes (APDs) and pin diodes, to helpreduce their noise. “These devices have darkcurrent [noise] issues that our process shouldbe able to eliminate,” said Feitisch. “Nitride isan electrical insulator and can prevent elec-

trons from flowing over the surface of thesedevices, which causes the dark current. Wewant to investigate this idea further.”

In the case of APDs, the Nitrel processallegedly lowers the risk of voltage break-down over the junction region, thereby reduc-ing the likelihood of device failure. And that’snot all – Feitisch says that the process couldpotentially be used to protect glass compo-nents such as fibers and crystals. “For exam-ple, you could treat the end of optical fibersbefore laying down an AR coating, in order toget extremely good adhesion and remove anysurface imperfections.”

Another possibility is treating borate crys-tals, which are used for nonlinear optics, butare very sensitive to moisture (hygroscopic).By creating a thin, protective film of nitrideon the surface of a crystal, it could be protectedfrom water vapor.

Comlase has no shortage of possibilities forits technology, but with a staff of just 20, thefirm is having a tough time deciding whichapplications to pursue first. “Before weexplore other opportunities, we need to getsome traction with laser manufacturers andsecure revenue,” said Feitisch. No firms havelicensed the Nitrel process yet, but he says thatit is currently being trialed by three parties andhe is optimistic about the outcome. ●

Oliver Graydon is editor of Opto & LaserEurope magazine.

Wavelength range 300–520 nm 620–750 nm 780–980 nm >980 nmMaterial GaN InGaP AlGaAs InGaAsP:InP

AlGaN AlInGaP AlInGaAs InGaAsSb:InPInGaN InGaAsP GaInNAs: GaAs

InGaAs

Materials that can benefit from the Nitrel process

oxides

Step IIon-milling of facet

mixture of inert andreactive gases

roughness from cleavingimpurities

InGaAsP/InGaAs/AllnGaAs/InAlGaP/GaN

InGaAsP/InGaAs/AllnGaAs/InAlGaP/GaN

GaN, InN, AlN

nitrogen

dangling bonds

Step IIForming native nitrides

CO

MLA

SE

A stream of nitrogen ions is used to protect the facet from oxidation and create a smooth,flat surface that is free from any impurities or roughness. The ions then form a thin nitride(GaN, InN or AlN) layer that seals the surface, giving a chemically stable, durable base thatblocks oxygen and moisture ingress. Optical thin-film coatings are then deposited on top.

Page 16: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

compoundsemiconductor.net

14

INGAAS CAMERAS

COMPOUND SEMICONDUCTOR AUGUST 2005

Wafer-making advances fuel

The falling cost of InGaAs cameras isdriving their increased deployment inareas as diverse as spectroscopy, object

identification, and military and thermal imag-ing. These more affordable detectors, whichoperate in the spectral band between 750 nmand 2.6 µm, are just starting to be used forapplications as varied as sorting plastics,determining fill levels in opaque plastic bot-tles and imaging under starlight conditions.

Improvements in material quality haveaccompanied these increases in sales, leadingto devices with greater uniformity and lowerdark current, and room-temperature-opera-tion imaging arrays with greater sensitivity.Further advances are expected to follow, fuel-ing the trend for higher volumes, improvedperformance and falling prices that SensorsUnlimited Inc. (SUI) of Princeton, NJ, haswitnessed for over a decade.

Straining to increase coverageSUI’s detectors cover the 900–2600 nm spec-tral range with various InxGa1–xAs alloys, andthe company has recently developed a new pro-cessing technique to extend this range down to400 nm at the blue end of the visible spectrum.The detector uses three alloy compositions:In0.53Ga0.47As, which is lattice-matched toInP (referred to simply as InGaAs); strainedIn0.71Ga0.29As; and strained In0.82Ga0.18As.Varying the InGaAs composition allows thecamera to detect emission at wavelengths of upto 2.6 µm, far beyond the 1 µm cut-off associ-ated with silicon-based imagers (see figure 1).

Lattice-matched InGaAs has seen the great-est improvements. During the last six yearsdark currents have fallen by 95% and pixeloperability (the proportion of working pixels)has risen substantially. This unstrained mate-rial has also generated the highest increase indemand for new applications.

InGaAs arrays also contain a CMOS read-out integrated circuit (ROIC). The InGaAsdevice converts incident photons to electrons,and the ROIC amplifies and stores the elec-

trical signal, which permits simultaneouslight-collection by all the pixel elements andserial read-out of the signal.

The detectors have a linear response to lightintensity over more than five orders of mag-nitude. However, these detectors are limitedby the storage capacitor size on the CMOSROIC, which places an upper limit on the col-lected signal and dictates acceptable dark cur-rent values. Dark current cannot be eliminated,but reducing this unwanted signal improvessignal-to-noise ratios. Changes to structuraldesign and processing improvements have sig-nificantly improved the dark current in stan-dard pin detectors, and typical dark currentsfor an InGaAs pixel in a 320 × 256 array witha 25 µm pitch are now below 75 fA at reversebiases of 300 mV.

High-performance detectors also demanduniform dark current, because it is the pixelwith the highest dark current that determinesthe detector’s longest integration time or thelargest gain. SUI has improved its detectoruniformity, and dark current variations arenow below 20% for a 640 × 512 InGaAs arraymeasuring 16 × 12.8 mm. In this device con-taining more than 325,000 separate detectors,over 99.5% of the pixels are operable. Lineararrays with 1024pixels and a 25µm pitch haveeven higher operability figures of 100%.

Detecting the enemyMilitary imaging is the largest application sec-tor for shortwave infrared (SWIR) detectors,followed by spectroscopy, the sorting of prod-ucts and materials, and thermal sensing. Eachapplication places different demands on thedetectors, and fulfilling these requirementshas driven the production of higher-quality,lower-cost imagers.

During the last few years improvements inInGaAs material quality have raised the detec-tor’s performance to such a level that it is nowstarting to penetrate the military market.

These instruments allow both imaging andthe transmission of digital pictures under

InGaAs cameras are now being used to find bruising in fruit, sortplastics for recycling, and help the glass-bottle manufacturingindustry detect defects. This penetration into new markets is being driven by the availability of cheaper, higher-quality InGaAsmaterial, reports Martin Ettenberg of Sensors Unlimited.

SE

NS

OR

S U

NLI

MIT

ED

0.25

00.5 1

wavelength

quan

tum

effi

cien

cy

0.50

0.75

1.001.06 µm

laser1.55 µmeye-safe

laser

v i s

i b

l e

InP layers prohibit InGaAs detectors from performing in tmaterial is highly absorbing at these wavelengths. Sensoproblem by incorporating etch-stop layers into the deviccombined with the CMOS read-out integrated chips to fthe InP and InGaAs etch-stop are removed by chemical

Extending detection to visible wavelengt

InP cap

i-InGaAs absorption

InP n-contactInGaAs stop etch

n-InP substrate

multiplexer

Fig. 2. Short-wave imaging (right), unlike visible imagingplastic and determine liquid levels inside opaque conta

Fig. 1. By adjusting the InGaAs strain and removing the Unlimited’s imagers can cover the spectral range from 01.06µm and 1.55µm lasers used by the military.

Page 17: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

15

INGAAS CAMERAScompoundsemiconductor.net

COMPOUND SEMICONDUCTOR AUGUST 2005

starlight-only conditions, so images can beshared between soldiers on the battlefield(see figure 3). This feature is not possiblewith the standard-issue night-vision goggleswhich only allow direct viewing. InGaAsarrays can also image nearly all types of bat-tlefield laser, including the newer 1.55 µmeye-safe sources. Other technologies canprovide digital images at night, for exampleelectron-bombarded active pixel sensors andimage-intensified charge-coupled devices.However, both these detectors have a smalldynamic range and don’t allow the user tosee 1.55 µm eye-safe sources.

Although the latest thermal weapon sights(8–12 µm detection range) currently beingdeveloped and deployed can also detect peo-ple or military vehicles, they have severaldrawbacks. They are unable to see laser tar-get designators and ranging devices, cannotimage through glass windows, and suffer frominferior performance at dawn and dusk.SWIR imagers can complement these ther-mal imagers, and images can be fused togetherto generate a very informative picture.

The spectroscopic detector market is dom-inated by linear arrays, but recently there hasbeen a surge in the use of their 2D counter-parts, which can record spectroscopic infor-mation on one axis, while using the other todetect spatial information. InGaAs arrays arealready the first choice for monitoring theoptical output from telecom networks usingdense-wavelength division multiplexers,while the research market has seen steadygrowth over the last decade as detector per-formance has improved.

Spectroscopy can also be used to sort mate-rials, and it has been used for several yearsin recycling plastics by analyzing reflectedlight intensity at different wavelengths. Onecamera is used for each wavelength, and spe-cific plastic types can be identified by com-paring these images. This “machine vision”application requires high-speed cameras tominimize blurring, which demands a detec-

l InGaAs camera sales boom

2 3(µm)

siliconInGaAs (1.7 µm)InGaAs (2.2 µm)InGaAs (2.5 µm)night vision tube (genIII)visible InGaAs

infr

are

d

the visible region because theors Unlimited has solved thisce (left). This structure is thenform detector arrays (right) beforeetching.

ths

indium bumps

metal contacts

passivation layer

diffused - P-type

alloyed N-contact

InGaAs

indium Phosphide

back AR coat

Sensors Unlimited was thefirst optoelectronic companyto have a 4 inch line, but mostInGaAs/InP detectorfabrication facilities still use2 or 3 inch InP substrates.4 inch wafers offer high-volume processing withimproved uniformity, althoughthe strained InGaAs materialrequired for longer-wavelength detectors is oftenonly available on 2 and 3 inchsubstrates.

Production quantities haveincreased in recent years,and a reduction in epitaxialdefects has led to a ten-foldreduction in dark current.Longer-wavelength strainedInGaAs material, which has ahigher dark current, is nowmore common, and manylinear arrays incorporatingthis material are now availableoff-the-shelf.

The refinements in materialquality and processing havereduced the dark current,which benefits applicationsinvolving the detection ofweak signals such asspectroscopy. The reductionin dark current has alsoimproved the yield of higher-speed devices, which has inturn lowered the cost ofcameras used to trackmoving objects, such asthose used in machine-vision arrays.

Lower sales prices have inturn driven greaterapplication and increasedwafer volumes, therebycontinuing the trend of betterperformance, lower costsand larger product volumes.

SUI has also extended theresponse of its 2D arrays to

visible wavelengths byremoving the InP substrate,which absorbs light below920 nm. Removing thesubstrate using the InGaAsetch-stop layer allows forbackside-illuminateddetectors with a thin(<<1 µm) InP layer for ann-side contact (see“Extending detection tovisible wavelengths”). Lightcan then penetrate InP andreach the InGaAs absorptionlayer, allowing visible lightdetection.

SUI’s detector prices havebeen in continual decline, asdemonstrated by the saleshistory of its linear arraydetectors to the opticaltelecoms market. In 1997256-element linear arrayswith a 50 µm pitch and 99%pixel operability cost $6000in single-piece quantities.Five years later, similar arrayssold for $1300 each inquantities of 1000 anddelivered 100% operability.

This trend has beenmirrored in the 2D arraymarket. In 1993, SUI sold itsfirst 128 × 128 (60 µm pitcharray) InGaAs cameraoffering room-temperatureoperation. The cameraweighed over 2 kg, had apixel operability of 98% andcost $25,000 in single-piecequantities.

Today 320 × 256 InGaAsimagers with just a 25 µmpitch, in a camera the size ofa matchbox and weighingless than 70 g, cost $22,000in single-piece quantities. For100-piece quantities the costof each detector is reducedto $11,000.

Developments in InGaAs manufacturing

g (left), can be used to see throughiners.

High-performanceInGaAs material is nowstarting to penetratethe military market.

absorbing InP substrate, Sensors0.4–2.6µm, which includes the

Page 18: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

INGAAS CAMERAS compoundsemiconductor.net

16 COMPOUND SEMICONDUCTOR AUGUST 2005

tor with high uniformity, high speed and fastread-out.

Developing instruments to cater for the twovastly different applications of spectroscopyand imaging under low light-levels has led toperformance improvements that are provinguseful outside these specific applications.

Imaging on the production lineFor example, InGaAs cameras are just begin-ning to be used to measure the fill levels of liq-uids in containers. In the last year, SUI hasinstalled detector systems within the phar-maceutical industry to replace slower and sim-pler methods such as using scales to determinecontainer fill levels (see figure 2).

The food industry is also now starting to useInGaAs detectors as an affordable alternativeto silicon-based cameras to reveal the level ofbruising in fruit.

The smallest market, thermal imaging, hasbeen dominated by microbolometers or detec-tors containing mid- and long-wavelengthinfrared materials (>3 µm detection range)such as HgCdTe and InSb. Although theseinstruments are good solutions for imaging

room-temperature objects, InGaAs detectorsoffer distinct advantages above 120 °C. Thisfeature has led to SUI selling InGaAs camerasto the glass manufacturing and smeltingindustries during the last four years.

One advantage InGaAs cameras have overtheir competitors for thermal imaging is theircompatibility with glass optics, which cir-cumvents the need for germanium or sap-phire windows. This means they can be used

in factories in already-qualified standard pro-tective enclosures, to image through plainglass windows that were built for visiblecameras which was the previous state-of-the-art technology. In glass manufacturing, thecamera measures the glass temperature dur-ing cooling and checks for defects on theinside of glass bottles. This application does-n’t work with a traditional thermal imagerbecause glass is opaque at longer wave-lengths, so internal defects can’t be seen. TheInGaAs imagers do not require cooling, sorunning costs are lower than those of manyother imagers that require either liquid nitro-gen or multi-stage thermo-electric coolers.Some of the cheaper thermal imagers thatoperate without cooling struggle to operateat high frame rates, making them unsuitablefor machine-vision applications.

InGaAs cameras are already suitable for awide variety of applications. And as their costcontinues to drop, they are in a strong positionto penetrate these markets further still. ●

Martin Ettenberg is director of imagingproducts at Sensors Unlimited.

Headquarters: Kyoto, Japan

Phone: +81 (75) 621-7841Fax: +81 (75) 621-0936 www.samcointl.com/PXA

US Office: Sunnyvale, CA

Phone: +1 (408) 734-0459Fax: +1 (408) 734-0961

The versatility of our system design helps you bridge the gap to your product goals.

partners in progress building creative solutions

SAMCO Plasma Dry Cleaning System

PXA–100

Computerized touch panel

Magazine-to-magazine configuration

High throughput

Wire bonding pre-treatment

Surface cleaning after laser processing

Cleaning of Ball Grid Arrays (BGA)

Pre-clean prior to LED packaging

Cleaning of leadframes

surfacetreatment

Fig. 3. InGaAs cameras can give soldiersthe edge during night-time combat bydelivering clear images, even in the darkestconditions.

Page 19: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

COVER STORY compoundsemiconductor.net

COMPOUND SEMICONDUCTOR AUGUST 2005 17

Foundry model could bekey to InP industry future

Michael Lebby says that the InPindustry is at a crossroads. The co-founder of Ignis Optics is currently

putting together a roadmap highlighting sev-eral key recommendations to improve condi-tions in a sector that is shackled by the heavyoverheads of running internal InP fabs withexcess capacity.

His central message is this: optoelectronicscompanies need to drive a common foundrybusiness model, just like the silicon industrydid 20 years ago. But how similar are the sil-icon and InP industries, and just how exactlywould such a foundry model be set up?

Michael Hatcher: What’s the idea behindthe InP industry roadmap?Michael Lebby: I’m adding a lot of urgencyand vibrancy to an organization [OIDA] thathas huge potential. The OIDA represents theoptoelectronics industry, and I’m beginningto tackle what I think are some of the indus-try’s biggest issues. One of them is the InPfoundry situation, and I’m trying to address areal interest in this hotly-debated issue.

MH: How strong are the parallels betweensilicon and InP?ML: They’re really strong. The silicon guyshave been through their woes with foundriesand the message is that we’re just like theywere 20 years ago. One of the reasons why sil-icon went to a foundry model was that theywere going through a down cycle and therewas overcapacity – a lot of fabs were emptyand they had huge overheads. So they weredriven to look at creative and innovative solu-tions to the problem.

I think we’re in a similar situation with InP.We now have this overcapacity and it’s goingto drive us to think creatively and innova-tively. The situation is a little bit worse [forInP], in that the different silicon CMOS

processes were fairly similar, whereas we stillhave a uniqueness problem in our device andmaterial design. I think it will be more diffi-cult [to agree on common processes], but thereare some parallels that we can learn from.

MH: What is your key message?ML: The roadmap sounds a wake-up call forthe industry. We need to act soon to addressthese issues and accelerate the development ofan InPfoundry model. The OIDAwill be work-

ing with its members and the community as awhole to make strides in developing a commonfoundry for the marketplace and identifyingviable solutions to simplify the situation.

MH: What’s holding the InPindustry backat the moment?ML: There’s definitely a reluctance from the[InP chip] suppliers to consolidate. Also, theyhave to be vertically integrated to have a rea-

New executive director of the Optoelectronics Industry Development Association Michael Lebby issounding a wake-up call to optoelectronic chip manufacturers. Michael Hatcher talks to himabout a foundry-based industry model for InP optoelectronics and the roadmap to profitability.

INTERVIEW

It’s a vision thing: Michael Lebby will beworking with the InP industry to acceleratethe development of a foundry-based chipmanufacturing model.

“We have a lot ofinfluence withgovernment agencies,and I’m connected withthe venture-capitalcommunity.” MICHAEL LEBBY, OIDAEXECUTIVE DIRECTOR

The Optoelectronics Industry DevelopmentAssociation (OIDA) is a North Americanindustry body with 50 members, includingBookham, JDSU and CyOptics. Nationallaboratories and universities can also join.

The OIDA’s mission is to promoteoptoelectronics worldwide and advance thecompetitiveness of its members. It serves asan industry voice to government andacademia, liaises with other industryassociations worldwide and provides anetwork for the exchange of ideas andinformation within the sector. It alsofacilitates the sharing of resources and theformation of joint ventures and partnerships.

Headquartered in Washington, DC, theOIDA conducts workshops to assessinternational market trends and technologyroadmaps, develops worldwide tradestatistics, and identifies issues. It developsa shared industry–government consensuson the problems in optoelectronics, andworks to solve these issues.

The current emphasis is onstrengthening the infrastructure andmetrology for high-volume, low-costmanufacturing and facilitating R&D incritical areas of the technology. Seeoida.org for further details.

What is the OIDA?

Page 20: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

COVER STORY compoundsemiconductor.net

COMPOUND SEMICONDUCTOR AUGUST 200518

sonable business model. They really want tobe horizontally integrated (i.e. supply a widerrange of products) but they can’t afford to,and there doesn’t seem to be any route to dothat. The big question is how to get the tra-ditional vertical integration models to workon a horizontal basis. That’s what is drivingthe roadmap.

The next thing to address is how to simplifythe complexities of the materials, devices,packaging, intellectual property (IP) and man-ufacturing processes. This is a huge issue.Some lasers have got two re-growth steps, oth-ers have got three. The IP is just incrediblydetailed and there are probably 500 differentpatents on DFB lasers alone.

We also have an inflexible customer base.The big communications system companies

have got too many vendors to choose from. Itdoesn’t matter if there’s a standard or a multi-source agreement (MSA), they just choose theones that they think have got the best price andthe best performance. And if you don’t meetit, then tough. Those inflexible customers arecosting the livelihood of a lot of the vendors.The vendors have got no margins so they’redriven down on price and way too hard onspecifications. When you get driven on spec-ifications you need unique devices, and youcan’t really work together.

MH: Will the industry support such a radical switch?ML: From the engineering level they allunderstand it. At the CEO level they under-stand it too, but the thing that’s holding it back

INTERVIEW

Despite the slump indemand for III-V-based fiber-optic components since2000, telecommunicationsstill represents a growthindustry. Lebby says that theglobal market fortelecommunications grewby 9% from 2003 to reach$22 billion last year.According to figurescompiled by the OIDA,diode lasers represented12% of the $27 billion globaloptoelectronic componentsbusiness (excluding flat-panel displays) in 2004 –equivalent to around$3 billion (see chart, right).

As shown in the table p19,almost all of the major InPchip producers have morethan enough epitaxy anddevice processing capacityavailable currently, and all arestruggling against massiveoverheads driven by the costof running an underutilizedsemiconductor fab.

InP device foundries doexist, such as GlobalCommunicationSemiconductors based inTorrance, California, andFremont-based Avanexlaunched an optoelectronic

foundry service in March.One company that could

one day act as a commonfoundry is CyOptics, theIsraeli firm that acquiredTriQuint’s optoelectronicsbusiness earlier this year.With a 30,000 ft2 cleanroom,CyOptics runs 120 waferstarts per week, and hasoptions to expand thiscapacity with existingequipment and availablespace. Lebby says thatthanks largely to its heritageof Bell Laboratories, Lucentand TriQuint development,CyOptics has world-classcrystal growth and materials

characterization expertise,and is a leader in many keyfiber-optic componenttechnologies.

Switching to a foundrymodel is not without risks,however. Transferring andrequalifying processeswould take time and moneythat many manufacturers arelacking. Lebby’s view is thatthe industry is not ready forsuch a switch today. Butwhat he describes as the“slow trek” to an InP foundrysolution should be aided bythe OIDA, which will try toconvince fab owners tostandardize and consolidate.

Optoelectronic components market and InP manufacturing status

diode lasers 12% ($3bn)couplers 4%

storage media 10%

connectors & hardware 4%passives, solar cells, other 13%

LEDs 20% ($5.4bn)

image sensors 15%

nondiode lasers 8%

modules 3%

fiber & cable 11%

Total value of optoelectronics market in 2004 = $27bn excluding FPD

SO

UR

CE

: OID

A

Page 21: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

19COMPOUND SEMICONDUCTOR AUGUST 2005

COVER STORYcompoundsemiconductor.net

is that if you go out of the vertical integra-tion mode then you jeopardize your business.And you can’t do that when you’re not prof-itable. And so the horrible dilemma [forchip/module vendors] is that until some ofthese companies become profitable and breakeven from a business standpoint, they’re goingto have to move slowly towards the horizon-tal business model.

Only the brave companies are going tomove in that direction right now, and I don’tsee many of them doing that. The question [forchip vendors] is how long can you work withhigh overheads and inefficiency until you getto the point where you’re forced to say “I’vegot to find a different solution”?

MH: How could an InP foundry model beestablished and how long would it take?ML: For a foundry to be successful, the[chip] suppliers have got to run the show,because they’re the guys that need to sim-plify things. What I see is baby steps over thenext two years. I don’t see a real, proper com-mitment until around 2009. Then the tech-nology has to be transferred to the foundry.That will take 18–24 months. It tookBookham probably about 18 months to trans-fer its fab from Canada to the UK – and theydid a good job. So you’re not going to see areal silicon foundry-like operation for InPuntil 2010 or 2011.

But if a good plan was put together, the cap-ital markets in New York would fund it. Andthere will also be some help from the finan-cial markets in terms of consolidation.

MH: What happens next?ML: Until 2007, not going much at all. By2009, there will be fewer, stronger, InPvendors– call it an oligopoly if you like. Those that fig-ure out how to be profitable will have fab over-heads to match the business they’re running.

In 2009 the customer base will also be moreflexible because there will be fewer suppliersto choose from. If there are fewer suppliersthen customers have to go with the marketprice, and have to accept MSAspecifications.That will reduce the complexity of devicesand the difficulties of establishing a foundry.

MH: Who will be left standing?ML: I guess the guys that have got lots ofmoney. That means Agilent and JDS Uniphase[Ed note: the future of Agilent’s semiconduc-tor business is uncertain and JDSU has recentlybought the test and measurement companyActerna, which could signal a change in pri-orities]. The guys that don’t have any moneyhave got some really smart technology, andgood differentiation, but they’re stuck in thisvertical business model just to keep the busi-ness going. Opnext and Mitsubishi are wellpositioned, because they have other technol-ogy running through their fabs, which reducesthe cost of telecom component manufacture.

MH: How can the OIDA help?ML: What you’re seeing now is a messageto the community saying that we’re commit-ted to go help you figure this out. Now, we’llplay whatever role you want us to play. Wehave a lot of influence with government agen-cies who have a lot of money to spend, andI’m pretty well connected with the venture-capital community. So if there’s a good solu-tion, OIDA can help finance it and helpcompanies go and do it. Our roadmap is goingto be composed by industry experts who havea really good understanding of the technologyand business issues. ●

Michael Lebby will be presenting an invitedtalk on this subject at the CS-MAX conferencelater this year. See compoundsemiconductor.net/csmax for details.

INTERVIEW

JDS Uniphase ✓ ✓ ✓ US US, China, ThailandAvanex ✓ ✓ ✓ France US, ChinaBookham ✓ ✓ ✓ UK UK, ChinaCyOptics ✓ ✓ ✓ US, Israel US, MexicoFinisar ✓ ✓ ✓ US ThailandEudyna ✓ ✓ ✓ Japan Japan, TaiwanAgilent ✓ ✓ ✓ US, Singapore Singapore, ChinaMitsubishi ✗ ✗ ✗ Japan Japan

✓ = available capacity; ✗ = limited capacity.

Company Epitaxy Devices Packaged Fab location Test & assemblymodules

Fab capacity at selected InP chip manufacturers

SO

UR

CE

: MIC

HA

EL

LEB

BY

Page 22: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

� Cost of ownership reductions (up to 75%) byT h e rmagas® pro c e s s i n g

� I n c rease MOVCD platter/susceptor longevity

� I m p rove your operation efficiency and yields

� T h e rmagas® processing eliminates outgasingp ro b l e m s

� Elimination of hazardous waste handling

� ISO 9002, 100% inspection forflatness, complete traceability,24/7 service with 3–7 day shipping

� Purity re p o rting—GDMS(Glow Discharge MassSpectro s c o p y )of key elements

� State and Federal approvals forthe handling of hazardous wastes

� All operations perf o rmed in class10,000 and final packaging in class1000 clean room enviro n m e n t s

Before

30 Ozick Drive, Durham, CT 06422860.349.1121 Phone860.349.1143 Faxe-mail: [email protected]

Call and ask about Therm a g a s® p ro c e s s i n g .

After3x Magnification

RE S U LT S O FA S C2T ’SC L E A N I N GP R O C E S S

Tired of paying those high prices for consumable parts?!ASC2T /Ebara’s Thermagas® technology addresses your needs!

Page 23: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

COVER STORY compoundsemiconductor.net

COMPOUND SEMICONDUCTOR AUGUST 2005 21

NGST transforms InPtransistor manufacture

NGST has been developing its InPtechnology for space, military andcommercial applications for more

than 15 years, and in the last two years hassteadily transferred its production from 75 to100 mm substrates. InP transistors are nowproduced on the same lines that are used forthe production of its GaAs HEMT and HBTs.

The company’s InP HBTs have been usedto build efficient, linear power amplifiers tar-geting the cellphone market, and its HEMTshave been incorporated into W-band low-noiseamplifiers (LNAs) for improving the perfor-mance of passive millimeter-wave (PMMW)imagers that will be used to detect hiddenweapons, for instance, at airport security.

NGST’s Richard Lai says that InP devicesoffer several advantages over those made frommaterials such as GaAs, SiGe and silicon.These include superior gain, low noise (seefigure 1), low power consumption and highefficiency, as well as the superior thermal con-ductivity that arises from the InP substrate.

Although InP transistor production is lessmature than that of GaAs, the microelec-tronics product manager says that for RFdevices, NGST’s InP yield exceeds that of itsGaAs transistors. This results from widermargins on RF performance set for InPdevices. Because NGST makes the GaAs andInP transistors on the same 100 mm line, thedevices have similar fabrication costs, andthe only real drawback of InP is the relativelyhigh substrate price.

InP HEMTs and HBTs are grown by solid-source MBE on a multi-wafer platform accom-modating up to seven 100 mm substrates. Thesubsequent device fabrication shares many ofthe passive component and backside processesused for GaAs HEMT and HBT production,improving reproducibility and cutting costs.

NGST’s HEMT and HBT processes use the

same steps for nitride deposition, thin-filmresistor formation, interconnect metal depo-sition, and backside processing. The onlymajor differences are in the etch chemistriesused (and related resist processes), the metalsdeposited, and the anneal conditions.

Lai believes that a good via etch processholds the key to the manufacturing of both InPHEMTs and HBTs. NGST’s approach is tobond 100 mm InP substrates to 109 mm sap-

phire wafers, before mechanically grinding theInP substrate down to a thickness of either 50or 75 µm. The through-substrate vias are thenformed by reactive ion etching with a Unaxissystem that can handle three wafers simulta-neously. This process, which takes 90min, pro-duces etch uniformities below 3% across athree-wafer batch and a 100% via yield.

According to Lai, NGST’s InP HBTs oper-ate at double the frequency of their GaAs coun-

With its cost-efficient InP HEMT and HBT processes on 100 mm substrates, Northrop GrummanSpace Technology (NGST) is well positioned to produce power devices for next-generationcellphones. That was the message from Richard Lai, NGST’s manager of microelectronics products,to delegates at the recent Indium Phosphide and Related Materials conference held in Glasgow, UK.

INP MICROELECTRONICS

Top left: Detecting weapons hidden underneath clothing will help in the fight againstterrorism. Higher-resolution cameras are needed, but the larger focal arrays required forthis will increase the imager’s cost, weight and power consumption. NGST says thatdetector size and weight could be reduced by imaging at higher frequencies using low-noise amplifiers based on the company’s 150–215GHz InP HEMTs. Top right: NGST isdeveloping transistors that can operate at frequencies of over 300 GHz by scaling emittersizes down to 0.14 µm. Above: PMMW cameras, which have greater sensitivity thanks tothe use of InP-based low-noise amplifiers, can image airport runways in foggy conditions.

base pad

1 um

emitter pad

NG

ST

Page 24: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

grow with us

SiC epiwafers - 2 inch and 3 inch

Custom SiC power discretes

Page 25: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

23COMPOUND SEMICONDUCTOR AUGUST 2005

COVER STORYcompoundsemiconductor.net

INP MICROELECTRONICS

terparts when using only 50% of the bias volt-age. The InP device’s higher-frequency per-formance results from its smaller, 0.8 µm-wideemitter. The company is also currently devel-oping transistors with emitter widths of lessthan 0.l5µm that can operate at over 300GHz.

NGST’s InP HBT production line has an85–90% device yield. Standard characteriza-tion tools such as bulk resistivity and X-raydiffraction measurements determine epiwaferquality, and ensure a repeatable growthprocess. In addition, thinner structures aregrown twice a week to confirm layer thick-ness, doping and junction quality.

NGSTproduces two different HBTdesigns.One variant, targeting digital applications, usesa thin base and collector to deliver high-speedperformance at a moderate breakdown volt-age, and has values of 150 GHz for both ft andfmax. The second HBT design is suitable formicrowave power applications, and its thickerbase and collector layers deliver a larger break-down voltage and improved linearity.However, this device’s high-frequency char-acteristics are inferior, with ft and fmax valuesof only 80 GHz and 130 GHz respectively.

Cheap, efficient amplifiersLai believes that NGST’s InP HEMTs couldbe used to produce cheap, highly linear andhighly efficient amplifiers for the cellphonemarket. The company has built a “power cell”to operate at 2.4 GHz that uses 1.5 × 30 µmtransistors. This module has a power-added-efficiency (PAE) of 63.4%, and 20.8 dB gainat a current density of 31.9 kA/cm2. The tech-nology has also been deployed in a single-stage MMIC with output power of 500 mWand a PAE of 50% at 1.95 GHz.

HEMT production on 100 mm substrates,which also boasts an 85–90% line yield, is anextension of NGST’s space-qualified 75 mmprocess. Growth time for these structures istypically just 30min, which is 4–6 times fasterthan that for GaAs HEMTs requiring the inser-tion of a metamorphic buffer layer. The higherwafer throughput and the lower labor-cost perwafer are claimed to offset InP’s higher sub-strate costs.

Lai predicts that InPHEMT manufacturingcosts will fall below those associated withtheir GaAs counterparts, so long as both tran-sistor types are fabricated on the same-sizedsubstrates, and that the cost of InP substratesfalls as projected. He also points out that thecompany’s MBE equipment and process linecan accommodate 150 mm InP wafers withminimal tooling adjustments, although suffi-

cient quantities of high-quality 150 mm InPsubstrates have yet to become available.

NGST produces InP HEMTs with anIn0.6Ga0.4As channel and relatively good noisecharacteristics, and higher-power, higher-effi-ciency versions with an In0.53Ga0.47As channel.Both can be produced with 0.15, 0.l or 0.07µmgate lengths, depending on the required fre-quency performance.

These InPHEMTs can be used in LNAs formillimeter-wave imagers operating in the W-band (75–110 GHz). According to Lai, InPHEMTs can increase camera sensitivity bythree to five times, decrease power con-sumption by the same amount, and deliver atwo- to three-fold size reduction. The LNAsproduced by NGST have already beeninstalled in millimeter-wave receivers in tele-scopes operating throughout the world.

Lai adds that because of the threat of terror-ism, there is now a demand for millimeter-wave imagers with larger focal arrays that canprovide sufficient detail for easy detection ofconcealed weapons. This will lead to increasedefforts to reduce the imager’s cost, size, weightand power consumption. He believes that thesedetectors will benefit from the high perfor-mance and reasonable price of LNAs based onInP HEMTs. Detector size and weight couldalso be reduced by increasing the HEMToper-ating frequency to 140 or 220 GHz, says Lai.

For many years InPdevices have promisedlow noise with excellent performance athigher frequencies, but they have always beenassociated with higher costs. NGST’s new 100mm process suggests that InP device manu-facture can approach that of GaAs, and thatInP transistors will soon become affordablecomponents used in next-generation cell-phones and millimeter-wave cameras. ●

00 50 150 250

frequency (GHz)

nois

e fig

ure

(dB

)

100 200

1

2

3

4

5

6

7

8

NGSTGaAs

NGSTInP

SO

UR

CE

:NG

ST

Fig. 1. InP-based low noise amplifiers sufferfrom less noise than their GaAscounterparts, enabling them to improve thesensitivity of millimeter-wave cameras.

Page 26: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

6th annual international business conference and exhibit- over 400 people and 50 exhibitors expected

Alers Technology LLCCarmanah TechnologiesCotco International LtdDow Corning CorporationElectronic Products MagazineeLite OptoelectronicsInstitute of Network CulturesInternational RectifierLighthouse Technologies LimitedLumileds LightingStrategy AnalyticsUniversity of Florida/Kennedy Space Center

CreeHeatronHeptagon OyIII-N TechnologyiSuppliLG.Philips LCD Lynk Labs, Inc.NichiaNye LubricantsOSRAMPhilips Lighting SupertexToyoda Gosei

Strategic

Insight

From

October 17-19, 2005Hilton San Diego Resort - San Diego

USA +1.207.781.9618www.intertechusa.com/leds.html

Page 27: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

LED MANUFACTURING compoundsemiconductor.net

COMPOUND SEMICONDUCTOR AUGUST 2005 25

Cost of ownership dictatesnew MOCVD reactor design

During the last 20 years the MOCVDprocess has matured from a techniqueused in research laboratories to a com-

mon method for manufacturing high volumesof compound semiconductor devices.

The driving force for new developments hasalso moved on from its original form, whichwas to demonstrate the suitability of anMOCVD process for a specific device. Todaymanufacturers employing MOCVD technol-ogy are concerned with high-volume manu-facturing, and use multiwafer platforms suchas Aixtron’s planetary reactors to carry outsimultaneous epitaxial growth on several sub-strates. This technique produces large quanti-ties of epiwafers with good uniformity and hasenabled the expansion of the LED manufac-turing industry.

The industry is now entering a third stageof development that reflects the maturity ofboth MOCVD and LED technology. Thefocus is directed at reducing manufacturingcosts, so that LED makers can achieve prof-itable margins despite the year-on-year fallsin the average selling price of their devices.Since the MOCVD process is one of the keymanufacturing steps, cutting costs here canreduce the cost of ownership (CoO) of anMOCVD reactor.

Aixtron has redeveloped its planetary reac-tor mass-production platforms to reduce theCoO of these systems. This approach has beenapplied to reactors for both GaInN-based blue,green and white LEDs, and AlGaInP-basedred and yellow LEDs. There is much interestin red LEDs owing to their expected applica-tion in red, green and blue (RGB) backlight-ing systems for large LCD screens.

The design process began with an investi-gation of the CoO of existing reactors, usingstandards defined by the Semiconductor Equip-ment and Materials International organization.Calculations revealed that the key parameterswere throughput, yield and the deposition effi-ciency of costly metal-organic precursors.

After defining a CoO target value, a detailedtheoretical study of reactor and MOCVD sys-tem configurations was undertaken, includ-ing comprehensive numerical modeling of thereactor geometry. These efforts led to a reac-tor design that delivered CoO improvementsverified by actual growth runs.

Increasing wafer coverageThroughput is defined by the reactor’s loadcapacity, the wafer size, and the time taken fora complete growth cycle.

Today most LED manufacturers work with2 inch substrates, despite the promises ofhigher yield, reduced edge effects and greaterwafer area offered by 4 inch material. Con-sequently, the reactor had to be designed forboth 2 inch and 4 inch processes. The reac-tor geometry also had to optimize the growtharea, which resulted in a design suitable foreither a 12 × 4 inch or 49 × 2 inch configura-tion, and with a total wafer area of 150 inch2.This represented an increase of 50% and 40%on the standard platforms of 8 × 4 inch and35 × 2 inch, respectively.

To optimize throughput it is also necessaryto minimize growth cycle times, which are acombination of the time taken for materialgrowth, the loading and unloading of wafers,and the heating and cooling of the reactor. This

was partly accomplished by introducing anovel automated handling system to load andunload at high temperatures, which eliminatedthe time lost while the reactor cooled down.Although automatic loading has already beenused for GaAs/AlGaAs-based HEMT andHBT processes, a refined version has beendeveloped that is suitable for the much thickerAlGaAs/AlGaInP/GaP LED structures.

Another approach to reducing growth cycletimes was to develop a reactor that could pro-duce the same material quality more quickly.Previously, growth rates were limited by para-sitic reactions that occurred before the gasesreached the wafer surface, an effect that ismore likely at higher precursor concentrations.

The second criterion that strongly impactsthe CoO is yield, which can be consideredeither in terms of epiwafers or LED chips,although the latter are more appropriate asthey are related to the final product. TheMOCVD process influences the uniformityof LED properties on each wafer and fromwafer to wafer. Efforts were directed to opti-mize the uniformity of film thickness, layercomposition and doping for both 2 inch and4 inch processes.

The third factor controlling CoO is thegrowth efficiency of the relatively expensivemetal-organic precursors that are used for theepitaxial process. Efficiency was defined asthe ratio of group III atoms incorporated intothe grown layers to the number of group IIIatoms entering the reactor through the metal-organic sources.

Optimizing the reactor’s growth efficiencyfor novel geometries is a time-consumingprocess that has to take into account detailsrelating to both reactor hardware and processparameters. High efficiencies are frequentlyachieved by adjusting the parameters that alsoaffect film thickness uniformity, so the solu-tion must balance optimizing the efficiencywhile ensuring high levels of uniformity for allrelevant materials within the LED structure.�

Throughput, yield and the deposition of expensive metal-organic precursors dominate the cost ofownership of MOCVD reactors, according to research at Aixtron. Rainer Beccard explains howthese three factors have driven the design of the company’s latest planetary reactor.

Aixtron’s fully loaded 49×2 inch planetaryreactor can produce epiwafers withuniformity suitable for LED manufacturing.

Page 28: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

LED MANUFACTURING compoundsemiconductor.net

COMPOUND SEMICONDUCTOR AUGUST 200526

The novel reactor design is based onAixtron’s earlier 2600 planetary platforms butuses different hardware including animproved gas inlet geometry. Measurementsof composition and thickness on all of thematerials used to produce red and yellowLEDs (GaAs, AlGaAs, AlGaInP, GaInP andGaP) verified that the reactor’s growth processfulfilled the theoretical predictions. The reac-tor’s capability was assessed for both the12×4 inch and 49×2 inch configurations, andthe effect of the standard p- and n-typedopants, tellurium and magnesium. Resultsrevealed that the reactor can meet and evensurpass the typical epiwafer specifications fordoping uniformity, thickness and so ondemanded for LED production.

LEDs contain distributed Bragg reflectors– alternating GaAs and AlGaAs layers – thatreduce light loss into the substrate. The thick-ness uniformity of these layers is revealed bytheir reflectivity profiles (figure 1). Uniform-

ities across a 4 inch wafer and between wafersfrom the same growth run are better than 0.5%,assuming an edge exclusion zone of 3 mm,showing that the process is suitable for pro-ducing LED material.

Although the growth and uniformity ofGaAs and AlGaAs layers depend predomi-nantly on the reactor’s flow dynamics, otherLED materials such as AlGaInPare more tem-perature dependent. Consequently a large-scale reactor’s temperature uniformity can berevealed through the growth of AlGaInP lay-ers. Epiwafers produced on a 12 × 4 inch plat-form show typical photoluminescence (PL)uniformities of less than 0.45 nm (3 mm edgeexcluded) and a wafer-to-wafer variationbelow 0.8 nm, indicating excellent growthtemperature homogeneity (figure 2).

Aixtron’s reactor is also suited to high epi-wafer yields using the 49×2inch configuration.PL on-wafer uniformities of 0.53 nm (3 mmedge excluded) and wafer-to-wafer uniformi-

ties of 0.78mm were achieved from a full loadof wafers containing an AlGaInP multiplequantum well active region emitting at 630nm.

The growth efficiencies of the metal-organicmaterials used in LEDs were determined forboth 12 × 4 inch and 49 × 2 inch reactor con-figurations (table1).These efficiencies, whichare delivered without compromising materialuniformity, are 20% higher than thoseachieved with the 8 × 4 inch and 35 × 2 inchstandard configuration. This improved per-formance results from the optimization of thegas inlet and the susceptor geometry.

The CoO of the new reactor will be reducedeven more through faster growth rates.Although faster growth rates can deterioratematerial quality, the very high precursor effi-ciency and the minimization of parasitic reac-tions through optimized design of the reactorchamber and the gas inlet were expected toenable rapid growth of high-quality films.

X-ray diffraction and electrical character-ization measurements revealed no loss of filmquality, despite an increase in the growth rateof AlGaInP and GaAs from 1.5 µm/h and2 µm/h to 4.3 µm/h and 16.7 µm/h, respec-tively. These significant increases indicate thehuge potential for additional cuts in growthtime that will lead to lower production costs.

These new 12 × 4 inch or 49 × 2 inch planet-ary reactors, which are suitable for red andyellow LED production, satisfy the require-ments for high-quality material and meet welldefined CoO targets. This design, which isalso suitable for solar cell or laser manufac-turing, reflects the growing level of maturitywithin the III-V industry. ●

Rainer Beccard is director of marketing for Aixtron’s Compound SemiconductorTechnologies business unit. E-mail:[email protected].

AlGaAs AlGaInP12 × 4 inch 49 × 2 inch 12 × 4 inch 49 × 2 inch

Table 1: Metal-organic deposition efficiency

Metal-organic precursors are one of the top three contributors to the total production costof LED epiwafers, and so increasing the efficiency with which they are used can reduce thecost of MOCVD reactor ownership. The 12 × 4 inch and 49 × 2 inch configurations arecalculated to deliver an improvement of over 20% in growth efficiencies of the metal-organic precursors trimethylgallium (TMGa), trimethylaluminum (TMAl), and trimethylindium(TMIn). These calculations use precise measurement of the growth rates and assumenominal consumption of the metal-organic precursors according to the adjusted carrier gasmass flows and the given vapor pressures of the metal-organic chemicals. The vaporpressure data for TMGa and TMAl were provided by the material’s suppliers, but data forTMIn was taken from O Kayser et al. (Chemtronics 1988 3 90).

Aixtron’s latest design uses either a12 × 4 inch or a 49 × 2 inch configuration todeliver growth on substrates with acombined area of 150 inch2.

Fig. 1. The reflectivity from an AlGaAs/GaAsdistributed Bragg reflector deposited on a4 inch wafer reveals thickness uniformityvalues required for LED production.

Fig. 2. Photoluminescence uniformities of<0.45nm across these 4 inch wafers,grown on AlGaInP, indicate this reactor’sexcellent growth temperature homogeneity.

TMGa 36% 43% 38% 44%

TMAl 36% 44% 39% 45%

TMIn n.a. n.a. 28% 30%

nm570.0

567.5

565.0

562.5

560.0

557.5

555.0

552.5

550.0

nm595.5

595.0

594.0

593.5

593.0

592.5

592.0

591.5

591.0

Page 29: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

M&E NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR AUGUST 2005 27

AXT struggles with client trust

Tegal signs $22.5 million investment deal

AXThas blamed its low revenue for the recentquarter on GaAs substrate customers requiringlonger-than-expected qualification periods.

The substrate manufacturer’s revenue forthe second fiscal quarter was $6million, down$0.6million sequentially. GaAs substrate saleswere 13% lower than the previous quarter, andgenerated $4.5 million. Second-quarter grossmargin was 2.1%, and the loss for this periodwas $3.3 million.

“My main focus is to restore the reputationand credibility of AXT,” remarked Phil Yin,AXT’s CEO. He says that the quality issue thatthe company has faced requires “focused

attention” and that, although progress has beenmade, further work is needed. The companyhopes that its new organizational structure willhelp to restore the company’s revenue.

Minsheng Lin has joined AXT as COO,effective from July 11. Lin, a former employeeof silicon wafer manufacturer Helitek, willoversee the company’s day-to-day operationsin Fremont, CA, and Beijing, China.

AXT has also transferred Morris Youngfrom CEO of the company’s China operationsto CTO, and moved Davis Zhang from theposition of president of China operations topresident of joint venture operations.

Plasma etch and deposition equipment supplierTegal says it has agreed new financing worth$22.5million. The Petaluma, CA, company hasagreed to sell common stock and five-year war-rants in two stages to unspecified investors.

The initial step will see $4.1 million raised,

with the remaining $18.4 million to follow.The sale will involve 34.6 million common

shares plus 17.35million warrants to purchaseadditional common shares for $1. Tegal cur-rently has a market capitalization of around$49 million and a share value of just under $1.

● Hangzhou Silan Azure, a Chinese start-up company that has plans to mass-produce high-brightness LEDs, hasordered an Aixtron AIX 2400G3 HT and a19 × 2 inch Thomas Swan close-coupleshowerhead system.

● Molecular Imprints, a developer ofstep-and-flash imprint lithographytechnology, has sold Imprio 55 systems toLawrence Berkeley NationalLaboratory’s Molecular Foundry inCalifornia, the University of Texas atAustin, and Pennsylvania StateNanofabrication Facility.

● Oxford Instruments has received anorder for a V90 MBE system from theUnited States Air Force ResearchLaboratory.The system will be installed atthe Directed Energy Directorate inAlbuquerque, NM, and used by Ron Kaspiand his mid-infrared laser group.

In brief

Page 30: INDIUM PHOSPHIDE Does a foundry model add up …download.iop.org/cs/cs_11_07.pdfINDIUM PHOSPHIDE Does a foundry model add up for InP optoelectronics? Veeco and Picogiga go for GaN-on-Si

RESEARCH REVIEW compoundsemiconductor.net

COMPOUND SEMICONDUCTOR AUGUST 200528

IBM researchers have fabricated dislocation-free strained siliconslabs that are bonded directly to silicon wafers (Appl. Phys. Lett. 86251902). These smooth strained silicon surfaces could improvethe performance of MOSFETs.

The team, based at IBM’s T J Watson Research Center in NewYork, said that their material’s smooth surface arises from the elas-tic relaxation of SiGe, which induces the strain in the silicon slabs.This is unlike the plastic relaxation that occurs when thick SiGe lay-ers are used to fabricate strained silicon-on-silicon and strained sil-icon-on-insulator structures, which causes a cross-hatched roughsurface. This complicates device fabrication, while dislocations inthe strained silicon layer may degrade yield and reliability.

The team claims that this new fabrication procedure for produc-

ing strained silicon-on-silicon wafers is suitable for high-volume man-ufacturing because every step is a standard silicon manufacturingprocess (see figure above).

AFM images of an 8.5 × 8.5 µm strained silicon slab revealed a “flatand smooth” surface with a root-mean-square surface roughness of0.16 nm. This roughness is almost three times smoother than that ofthe surrounding silicon substrate, which deteriorates because of thewet chemical processes used to removed silicon and SiGe.

The team has not measured the electron mobility in the slabs.However, researchers Guy Cohen and Patricia Mooney say that theyexpect the mobility to be the same as that of other forms of biaxiallystrained silicon bonded by the whole-wafer method, unless scatteringcenters at the strained silicon–silicon interface degrade mobility.

IBM develops smooth strained silicon

Fabrication of strained silicon-on-silicon structures begins with epitaxial growth of sequential silicon – Si0.8Ge0.2 – and silicon layers ontosilicon-on-insulator substrates incorporating a 145 nm-thick layer of buried oxide. Reactive ion etching and conventional lithography thendefine isolated slabs in these epiwafers (a). This is followed by removing the buried oxide layer by etching with diluted hydrofluoric acid (b),which allows the SiGe layer to relax elastically and induce tensile strain in the silicon films (c). The free-standing silicon surface is then bondedto the silicon substrate by hydrophobic attraction (d). Adhesion between the two surfaces is strengthened by annealing for 3 h at 800 °C undernitrogen, which causes covalent bonding at the interface. Finally, the top silicon and SiGe layers are removed by chemical etching (e).

A collaboration from the University of Florida, Nitronex and USoptical component manufacturer Multiplex has made a capacitancepressure sensor featuring a GaN HEMT-on-silicon membrane (Appl.Phys. Lett. 86 253502).

The sensor, which can be used under vacuum and positive pressureconditions, is suitable for harsh and high-temperature environments,says Steve Pearton from the University of Florida. It can also be usedfor remote sensing by integration with a GaN-based wireless device.

The sensors were made by etching 200–600 µm-diameter circularholes into the substrates of Nitronex’s GaN-on-silicon HEMTepiwafers.

Pressure differences across the device can induce a tensile strainin the membrane, leading to a change in the two-dimensional elec-tron gas density at the AlGaN/GaN interface, which in turn alters thecapacitance of the HEMT diaphragm.

Pearton says that the device has a linear response to pressures from–1.5 to 12.3 bar, but this range can be significantly increased by adjust-ing layer thicknesses and the hole’s diameter.

The researchers say their capacitance-based sensors offer an advan-tage over piezoresistive sensors because the latter are more sensitive tocontact resistance, which can be highly temperature-dependent.

Robust GaN pressure gauge suits remote sensing

Scientists from the University of Michigan, MI, claim to have pro-duced the first quantum-dot (QD) lasers on silicon substrates that emitat room temperature (Elec. Lett. 41 742).

The work will help with the development of high-speed silicondevices that combine electronic circuits and optoelectronic functions,and it has the potential to pave the way to QD lasers featuring as prac-tical light sources on CMOS chips.

The In0.5Ga0.5As QD structures were grown on silicon substratesmisoriented by 4° towards the [111] direction. A 2 µm-thick GaAsbuffer was deposited by MOCVD, before MBE growth formed theremainder of the device, including several QD layers.

The structure’s quality was confirmed by room-temperature photo-

luminescence measurements on the epiwafers. These showed that theintensities and linewidths from this material were comparable toQD heterostructures grown on GaAs substrates.

Lasers fabricated from the epiwafers produced output powers ofover 50 mW at a wavelength of 1 µm when driven at room-tempera-ture with a 1% duty cycle. However, according to team member PallabBhattacharya, the emission could be tuned between 0.9 and 1.5 µm bycontrolling the size and composition of the dots.

The InGaAs-on-silicon laser’s threshold current is typically1500 A/cm2 – 15 times higher than that of comparable structures onGaAs substrates. However, Bhattacharya is looking to introduce aSiGe buffer layer into the structure to reduce this threshold current.

Quantum-dot laser suggests CMOS compatibility

(a) (b) (c) (d) (e)

SiSiGe Si

buriedoxideSi substrate

etching

bonding

relaxedSiGe

strained Sistrained Si

Si substrate

strained Si

Si substrate