21
Elektrotehnički fakultet u Beogradu Katedra za elektroniku Analogno integrisana kola: Projektovanje trostepenog operacionog pojačavača Student Mentor Bojan Petrović !"#$% &r'eljko Aleksić

Izveštaj Projekta Predmeta Analogno Integrisana Kola - Sa Komentarima

Embed Size (px)

DESCRIPTION

Report for designing NGCC three stage amplifier

Citation preview

Elektrotehniki fakultet u BeograduKatedra za elektroniku

Analogno integrisana kola:Projektovanje trostepenog operacionog pojaavaa

StudentMentorBojan Petrovi 3336/2013Dr eljko Aleksi

RezimeCilj ovog projekta je upoznavanje studneta sa procesom projektovanja jednog sloenog analognog kola i upoznavanje sa neophodnim alatima. Student je odabrao da koristi alate Electric i LTSpice IV. Tehnologija u kojo je realizovan projekat je 0,5m-skoj tehnologiji univerziteta u Lozani. Za proraune svih tranzistora je korien alat PAD, razvijan na istom univerzitetu.

Sadraj1. Performanse operacionog pojaavaa42 Projektovanje pojaavaa na nivou sistema43 Odabir dizajna pojaavaa63.1. Odabir stepena pojaavaa63.1.1. Ulazni stepen63.1.2. Srednji stepen63.1.3. Izlazni stepen73.2. Odabir povratne sprege83.3. ema operacionog pojaavaa94. Dizajn sistema na nivou kola.94.1. Frekventna karakteristika kola94.2. Struje u kolu124.3. Podela kola na manje analogne strukture125. Dimenzionisanje tranzistora136. Simulacije156.1. Frekvencijske karakteristike pojaavaa156.2. ICMR166.3. Odziv kola na step signal176.4. Potronja kola i CMRR177. Zakljuak19LITERATURA19

1. Performanse operacionog pojaavaaNeophodno je projektovati operacioni pojaava sa diferencijalnim ulazom koji ima pojaanje preko 50dB, PM = 60 , propusni opseg 1MHz, potronju manju od 0.5mW, koji na izlazu ima optereenje od 10pF, opseg ulaznog napona 2.2 V i CMRR 60 dB. Da bi bilo preglednije vrednosti su date u Tabli 1.

Projektni parametarVrednost parametra

Jedinino pojaanje50 dB

Margina Faze60

Setle Timeminimalno

Propusni opsegComment by Zeljko: Da li se radi zaista o propusnom opsegu ili o uestanosti jedininog pojaanja?1 MHz

Potronja pojaavaa0.5mW

Optereenje10 pF

CMRR60 dB

ICMR2.2 V

Tabela 1Comment by Zeljko: Jedan od parametara treba da bude napon napajanja, ili jos bolje opseg napona.

2 Projektovanje pojaavaa na nivou sistema

Projektovanjem pojaavaa na nivou sistema se moe doi do nekih optih zakljuaka o performansama kola. U trenutku projektovanja na nivou sistema, podrazumeva se da projektant jo nije izabrao konkretan nain realizacije, pa je nemogue raspravljati o pojedinanim stepenima pojaavaa. Na slici 1. je prikazan operacioni pojaava. Koji e se koristiti kao model za projektovanje.

Sl. 1 ema operacionog pojaavaa sa optereenjem

Na osnovu zahtevanih specifikacija i eme sa slike 1, moemo proraunati neke parametre:

Gde je P ukupna potronja operacionog pojaavaa. Takoe ovde je mogue pretstaviti osnovne izraze kola, kao to su izraz za pojaanje i frekventnu katakteristiku, poto je poznato da e kolo imati tri stepena i tri aktivna elementa (2 kondenzatora u povratnoj sprezi i jedan kao optereenje).Comment by Zeljko: Odakle je poznato?Comment by Zeljko: Iz ovako napisanog sledi das u ti kondenzatori aktivni elementi.

Iz prenosne karakteristike se vidi da je dominantni pol na uestanosti f1/A0 .Comment by Zeljko: Ne vidi se, jer se ne zna koji pol ima najmanju uestanost.Prenosna karakteristika i pojaanje e biti detaljnije analizirani, kad bude predstavljen odabir pojaavaa. Comment by Zeljko: Gde je odreivanje raspodele po stepenima ukupne struje polarizacije?

3 Odabir dizajna pojaavaaPri dizajniranju pojaavaa postoje dve celine na koje se mora obratiti panja, prva celina je odabir stepena pojaavaa, a druga je odabir povratne sprege.3.1. Odabir stepena pojaavaa

3.1.1. Ulazni stepen

Pri odabiru ulaznog stepena potrebno je obratiti panju na ulazni opseg (ili CMIR), ofset koji unosi, propusni opseg i slew rate. Najefikasnija struktura kao ulazni stepen je diferencijalni par, kada se radi sa bipolarnim tranzistorima, najbolje je da se koriste NPN tranzistor, dok su u MOS tehnologiji, kao ulazni stepen najefikasniji PMOS tranzistori. Kao optereenje koristi se strujno ogledalo. Dizajn je prikazan na slici 2.

Sl. 2 diferencijalni stepen sa PMOS tranzistorima i strujnim ogledalom kao aktivnim optereenjem3.1.2. Srednji stepen Pri odabiru srednjeg stepena je potrebno obratit panju na pojaanje ovog stepena, pa je odabrana jedna od najjednostavnijih ema koje omoguavaju dobro pojaanje, to je stepen sa zajednikim sorsom. Stepen sa zajednikim sorsom koristi strujno ogledalo umesto karakteristine otpornosti. ema stepena sa zajednikim sorsom je prikazana na slici 3.

Sl. 3 stepen sa zajednikim sorsom sa strujnim ogledalom kao aktivnim optereenjem3.1.3. Izlazni stepen Kao izlazni stepen je odabran pojaava sa zajednikim sorsom, zbog velikog pojaanja i velike izlazne otpornosti. Pojaava sa zajednikim sorsom je dat na slici 4.

Comment by Zeljko: Osnova p-kanalnog tranzistora mora da bude pozitivnija od ostalih prikljucaka.Sl. 4 stepen sa zajednikim sorsom sa aktivnim optereenjem

3.2. Odabir povratne spregeStudent je odabrao jednu od tehnika frekvencijske kompenzacije raene u predmetu linearna elektronika, a koja se sve vie koristi u dizajnu low votage kola i predstavlja nadogradnju Milerove ugnjedene tehnike. Tehnika se zove ugnjedena Gm-C tehnika ili na engleskom Nested Gm-C (NGCC).

Glavni problem Milerove kompenzacije pri dizajniranju trostepenog pojaavaa je pojava nule u desnoj poluravni, koja se kompenzuje otpornikom postavljenim na red sa kompenzacijskim kondenzatorima. Kod NMCC tehnologije, pojava nule u desnoj poluravni se kompenzuje kontrolom struje kroz pozitivnu povratnu spregu transkonduktansnog stepena gmf2. Kroz stepen gmf2 bi trebalo da protie ista struja, samo u protiv fazi kao kroz stepen gm2 to znai da bi ove dve transkonduktanse trebalo da budu jednake. Ista stvar vai i za spoljni stepen tj gmf1 = gm1 na slikama 5,6 i 7 se nalaze ugnjedena Milerova kompenzacija, ugnjedena Milerova kompenzacija sa serijskim otpornicima i ugnjedena Gm - C kompenzacija, respektivno.Comment by Zeljko: A kod dvostepenog I etvorostepenog?Comment by Zeljko: Ova reenica je ista kao u prethodnoj verziji izvetaja, u kojoj sam Vam ovaj deo podvukao crvenom bojom. Nema pozitivne povratne sprege transkonduktansnog stepena gmf2.Comment by Zeljko: Veliko slovo.

Sl. 5 Milerova tehnika frekvencijske kompenzacijeSl. 6 Milerova tehnika frekvencijske kompenzacije sa serijskom otpornouComment by Zeljko: Ovaj tekst mora d aide ispod slike 6.

Sl. 7 NGCC tehnika frekvencijske kompenzacije

3.3. ema operacionog pojaavaaema operacionog pojaava, ulazni, izlazni i medjustepen su spojeni i primenjena je ema za kompenzaciju. Tako dobijeno kolo je predstavljeno na slici 8.

Sl. 8 ema pojaavaa4. Dizajn sistema na nivou kola.

Poto je odabrano kolo za dizajn, sada je mogue odrediti sve parametre kola.

4.1. Frekventna karakteristika kolaPoto se pri dizajniranju koristi NGCC tehnika, da bi se odredile struje neophodno je prvo izanalizirati frekventnu karakteristiku kola. Pretpostavimo da su kondenzatori Cm1 i Cm2 1 pFComment by Zeljko: Zato?Kao to je spomenuto u prethodnom poglavlju, da bi se izvrila kompenzacija neophodno je da budu zadovoljene sledee dve jednakosti gmf1=gm1 i gmf2=gm2. Kada je ovaj stepen zadovoljen prenosna karakteristika kola jednaka je:

Da bi se ovaj izraz sveo na opti izraz iz poglavlja 2, neophodno je da budu ispunjeni sledei uslovi.

Iz ovih uslova se moe izvesti sledee zakljuak: , potvrda ovog izraza se najlake dobija u nekom softverskom alatu, uz rad dostavljena je provera u alatu WxMaxima.

Jedan od glavnih uslova specifikacije je minimizacija vreme smirivanja i potronje. Najlake se odreuje dominantni pol karakteristike i on je jednak GB, tj , ostali polovi se odreuju na osnovu drugih specifikacija, kao to su fazna margina, i vreme smirivanja. Comment by Zeljko: Prema izrazu z poglavlja 2, funkcija nema pol u .

Zavisnost vremena smirivanja u funkciji polova i nije mogue odrediti direktno ve se koristi softverski alat MATLAB. Analizom je dobijeno da se najbolji rezultati dobijaju kada se pol nalazi na 2GB, a pol na 3,73GB. Pol emo posmatrati kao da se nalazi na 4GB, jer je dovoljno precizno.

Sl. 9 Odziv pojaavaa na ulaznu step funkciju kada se pol f2 nalazi izmeu 1.8 i 3 ghzComment by Zeljko: Koliki su ? Gore ste rekli da je , da li je stvarno izmeu 1.8 i 3 GHz? U tom sluaju karakteristika je praktino jednopolna i nema premaenja. Na ta je normalizovana ordinata (amplituda)?

Sl. 10 Raspored polova pojaavaa kada se pol f2 nalazi izmeu 1.8 i 3 ghzComment by Zeljko: Ne razumem ovaj grafik. Od polova na realnoj osi, dominantan je otprilike na 100kHz. ta oznaavaju konjugovano kompleksni parovi u boji sa malim modulom realnog dela?

Poto se u kompenzaciji koriste kondenzatori, za koje se moe smatrati da vai relacija:

mogue je izraunati sve transkonduktanse tranzistora.

4.2. Struje u kolu

Kada se izraunaju struje diferencijalnog para, veinu struja je lako odrediti, jer su odreene strujnim ogledalima. Struje pojaavakih tranzistora gm2 i gm3 je mogue izraunati preko uslova za ICMR, tj preko VDSAT kao: A VDSAT se izraunava preko uslova za ICMR iz formule.

Ovakav proraun struja e nam dati masksimalno mogue ICMR ali na tetu SR i settle time-a. Iako su u prethodnom poglavlju izraunate najbolje frekvencije za najmenji settle time, odabirom da se struje ne izraunavaju preko SR, ve preko ICMR se pravi kompomis da se izvue to bolji ICMR na tetu SR.

4.3. Podela kola na manje analogne struktureDa bi se kolo jednostavnije i efikasnije alanliziralo neophodno je izdeliti ga na manje strukture. Kada se kolo izdeli na manje analogne strukture, osim jednostavnije analize lake je raditi izraunavanja u alatu PAD. Ovaj alat poseduje grafiko okruenje preko koga je mogue izraunati parametre EKV modela tranzistora.

Sl. 11 ema pojaavaa sa izdvojenim manjim analognim strukturamaNa slici 11 se nalazi ema pojaavaa gde su razliitim bojama izdvojene razliiti strukture. utom bojom je izdvojeno strujno ogledalo sa tranzistorima M1 i M2. CIlj ove strukture je da odredi jainu struje diferencijalnog para. Crvenom bojom je izdvojen diferencijalni par M3 i M4, sa strujnim ogledalomM5-M6 kao aktivnim optereenjem. Diferencijalni stepen predstavlja prvi stepen pojaavaa. Zelenom bojom je uokviren drugi pojaavaki stepen. Tranzistor M11 je pojaavaki tranzistor. Tranzistori M12 i M14 predstavljaju strujno ogledalo, dok je M13 bias tranzistor.Comment by Zeljko: Aktivno optereenje.Plavom bojom je izdvojen poslednji pojaavaki stepen. Tranzistor M16 je pojaavaki tranzistor, a tranzistor M15 se koristi kao bias tranzistor.Tranzistori M7, M8, M9 , M10 i M17 su tranzistori pozitivne povratne sprege.5. Dimenzionisanje tranzistoraNa osnovu prethodnih poglavlja i predstavljenih uslova i formula, proraunate su, pomou alata PAD dimenzije svih tranzistora u kolu.Pri dimenzionisanju tranzistora M1 i M2 neophodno je obratiti panju da tranzistori u odgovarajuoj tehnologiji mogu pouzdano da kopiraju zadatu struju, za parametre L=1m i W=2m, mogue je dobro kopirati struju, jer je male jaine, samo 0.9A.Comment by Zeljko: ta ovo znai? Tranzistori diferencijalnog para M3 i M4 su dimenzionisani tako da ispune uslove zadate jednainama Na ovaj nain su izraunati i preostali pojaavaki tranziszori M11 i M16 su dimenzionisani tako da ispunjavaju uslove date gorepomenutom formulom.Tranzistori u optereenju diferencijalnog para M5 i M6 su raunati tako da imaju to manje dimenzije, a da pri tome ispunjavaju uslov o mogunosti prebacivanja struje.

Tranzistori u kolu povraten sprege su dimenzionisani tako to veina njih ima ve postojee dimenzije, koje su zadate samim karakteristikama kola.

Tranzitori M13 i M15 nisu raunati, ve e njihove dimenzije biti odreene u simulaciji, poto oba tranzistora bi trebala da budu zakoena (M13 da bi postojao jednosmerni napon na pojaavakom tranzistoru M16, a M15 da ne bi postojala konstantna struja na izlazu kada pojaava ne radi).Comment by Zeljko: Ovo je svakako greka.U Tabeli 2 su dati svi parametri tranzistora

Tranzistor Duina [m]irina[m]Faktor inverzijegm /Id [s/A]

M1120.6819.34

M2120.6819.34

M3111.615.3

M4111.6Comment by Zeljko: M3 i M4 rade u umerenoj inverziji, pa ne vai izraz za struju sa prethodne strane.15.3

M5110.1624.97

M6110.1624.97

M7141307.790.47

M8110.8918.21

M911358.781.54

M1012358.781.54

M1111.42.3613.52

M1211160.652.24

M13----

M1411160.652.24

M15----

M162.9600.3522.27

M170.91.91.2916.38

Tabela 2 Karakteristike svih tranzistora u kolu

6. Simulacije

Pri dizajniranju kola dolo je samo do manjih odstupanja od proraunatih i od simuliranih vrednosti, u ovom poglavlju bie predstavljeni svi rezultati simulacija. Prvo e biti predstavljena svaka test bench ema, a onda i rezultati dobijeni njom. 6.1. Frekvencijske karakteristike pojaavaa

Da bi se dobile amplitudska i fazna karakteristika pojaavaa, dovoljno je dovesti na ulaze pojaavaa signale koji su fazno pomereni za 180. ema kojom se analizira frekvencijska karakteristika data je na slici 12.

Sl. 12 ema za proveru frekvencijskih karakteristika

Pomou eme na slici 12 je dobijena frekvencijska karakteristika na slici 13.

Sl. 13 Frekvencijska karakteristika pojaavaaSa slike 13 se moe videti da je DC pojaanje pojaavaa 57.8 dB, dok je PM 66. Propusni opseg pojaavaa je 970 KHz. Moemo zakljuiti da su dobijene karakteristike malo bolje, izuzev propusnog opsega, koji je opet vrlo blizu ispunjenja zadatih kriterijuma. Simulacijapomou koje se dobijaju ove karakteristike zove se TEST.spi, a elija u Electricu je je TEST.

6.2. ICMRKolo za izraunavanje ICMR se nalazi na slici 14. Pomou ovog kola dobijen je odziv kola sa slike 15.

Sl. 14 ema za merenje ICMR

Sl. 15. ICMR pojaavaaComment by Zeljko: Ako su napajanja 5V i 0V, zbog ega opseg merenja poinje od -3,3V? Nepovoljno je to ICMR ne obuhvata 0V (a ni 5V).Sa slike 15. vidimo da je ICMR oko 3,1 V, to znai da je karakteristika pojaavaa u ovome segmentu dosta bolja od zahtevane karateristike. Simulacije radjene da bi se dobio ovaj rezultat nalaze se u dokumentu ICMR_TEST.spi, a dizajn kola se nalazi u eliji ICMR_TEST.

6.3. Odziv kola na step signalKao to je pri dizajniranju kola i pretpostavljeno, kolo je dizajnirano sa jako slabim slew-rateom i vremenom smirivanja. Iako je polovima optimizovano vreme smirivanja, poto kasnije u odreivanju struja se nije koristila formula preko koje se rauna slew rate, dobijene su loe karakteristike. Kolo pomou kojeg se analizira odziv kola na step signal je dato na slici 14, samo se umesto jednosmernog signala nalazi generator povorke impulsa. Odziv kola je dat na slici 16.

Sl. 16. Odziv kola pojaavaa na povorku impulsaKao to se moe videti sa slike SR ovog kola je otprilike 0.3V/s. to predstavlja jako lo rezultat. Ali ovaj pojaava nije imao za cilj veliki SR.

Simulacija se nalazi u fajlu SR_TEST.spi, a elija u Electricu se zove SR_TEST. Na ovom grafiku moemo videti i preskok kola koji je optimizovan rasporedom polova.

6.4. Potronja kola i CMRR

Potronja pojaavaa se rauna pomou formule

Pojaava sa kola troi 0.214mW. Pa ispunjava poetni zahtev kola.

Kolo kojim se meri CMRR je predstavljeno na slici 17. Posle merenja ovim kolom dobijeno je da je CMRR = 97.8 dB.

Sl. 17. ema za izraunavanje cmrr-a operacionog pojaavaaComment by Zeljko: Objasnite detaljnije ovu emu, kako se pomou nje odreuje CMRR. Da li su AC generatori jednaki? Kolika je srednja vrednost ulaznih signala?

Na slici 17, se za razliku od prethodnih slika podrazumeva da AC izvor ima i DC komponentu, inae se drugaije nikako ne bi mogao odrediti CMRR.

7. Zakljuak

U ovome radu je dizajniran trostepeni opeacioni pojaava sa NGCC tehnikom kompenzacije, u literaturi se koristi i samo naziv NGCC pojaava. Ovaj pojaava je dizajniran tako da ima malu potronju i veliki ICMR, dalje je mogue projektovati pojaava sa mnogo boljim slew rate-om, ali bi se poveali potronja i ICMR bi se smanjio. Student je ispunio sve zahteve obuhvaene postavkom zadatka, kao to se moe i videti iz tabele 3.

Projektni parametarVrednost zahtevanog parametraVrednost projektovanog paramtera

Jedinino pojaanje50 dB57.8 dB

Margina Faze6066

Propusni opseg1 MHz970 KHz

Potronja pojaavaa0.5mW0.214mW

Optereenje10 pF10 pF

CMRR60 dB97.8 dB

ICMR2.2 V 3.1 V

LITERATURA

1. Fan You, Sherif H. K. Embabi, and Edgar Sanchez-Sinencio, Multistage Amplifier Topologies with Nested Gm-C Compensation, IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 12, DECEMBER 1997

2. Jae-Seung Lee, Jun Hyun Bae, Ho-Young Kim, Ji-Yong Um, Jae-Yoon Sim, and Hong June Park, A Design Guide of 3-stage CMOS Operational Amplifier with Nested Gm-C Frequency Compensation, SoC Design Conference, 2008. ISOCC '08 International in Busan

3. Johan Huijsing, OPERATIONAL AMPLIFIERS, Theory and Design, Kluwer Academic Publishers, 2001.