oxidation process

Embed Size (px)

Citation preview

  • 7/30/2019 oxidation process

    1/19

    Oxidation Process

    1

  • 7/30/2019 oxidation process

    2/19

  • 7/30/2019 oxidation process

    3/19

    What is oxidation?

    The word oxidation originally implied reaction with oxygen toform an oxide.

    N2 (g) + O2(g) 2NO(g)

    3

  • 7/30/2019 oxidation process

    4/19

    What is silicon dioxide?

    Whenever a silicon surface is exposed to oxygen, it is

    converted to silicon dioxide Silicon dioxide is composed of one

    silicon atom and two oxygen atoms (SiO2)

    Silicon dioxide layers are formed on bare silicon surfaces at

    elevated temperatures in the presence of an oxidant. The

    process is called thermal oxidation.

    4

  • 7/30/2019 oxidation process

    5/19

    Uses of SiO2 layer

    Surface passivation

    It protects the in two waysa)- Physical protection of the surface

    Silicon dioxide layers formed on the surface are very dense

    (nonporous) and very hard.

    b)- Chemical protection of the surface Regardless of the cleanliness of the processing environment

    some electrically active contaminants (mobile ionic

    contaminants) end up in or on the wafer surface.

    5

  • 7/30/2019 oxidation process

    6/19

    Contd..

    Doping barrier The silicon dioxide left on the wafer acts to block the dopant

    from reaching the silicon surface

    Surface dielectric Silicon dioxide is classified as a dielectric.

    6

  • 7/30/2019 oxidation process

    7/19

    Contd

    Device dielectric grown oxides are also used as the dielectric layer in capacitors

    formed between the silicon wafer and a surface conduction

    layer.

    Silicon

    SiO2 layer

    7

  • 7/30/2019 oxidation process

    8/19

    Thermal Oxidation Mechanisms Thermal oxide growth is a simple chemical reaction. This

    reaction takes place even at room temperature. However, an

    elevated temperature is required to achieve quality oxides in

    reasonable process times for practical use in circuits and

    devices. Oxidation temperatures are between 900 and 1200C.

    Si(solid)+ O2(gas) SiO2 (solid)

    (heat)

    Si(solid) + 2H2O(gas) SiO2(solid)+2H2(gas)

    (heat)8

  • 7/30/2019 oxidation process

    9/19

    Thermal Oxidation Methods

    There are three methods for thermal oxidation

    1- Horizontal Tube Method2- Vertical Tube Method

    3- Rapid Thermal Processing

    9

  • 7/30/2019 oxidation process

    10/19

    Horizontal tube furnace1.Outer surface

    2.Oxidation tube

    3.Columns of movable modular

    4.Muffle

    5.Movable modular's head

    6.Source zone, centre zone and

    load zone7.Proportional band controller

    10

    Fig. horizontal tube furnace

  • 7/30/2019 oxidation process

    11/19

    Section of Horizontal Tube Furnace

    1-Reaction chamber

    2- Temperature control system 3- Furnace section

    4- Source cabinet

    5- Wafer cleaning station

    6- Wafer load station 7- Process automation

    11

  • 7/30/2019 oxidation process

    12/19

    Drawback of Horizontal Tube Furnace

    Difficult to produce larger wafer diameter

    Less contamination control Less production

    Gravity tends to separate mixed gases as they flow down the

    tube

    So a new technology came to avoid drawbacks of horizontalTube Furnace i.e. the vertical furnace tube

    12

  • 7/30/2019 oxidation process

    13/19

    Vertical Tube Furnace In vertical tube configuration, the tube is held in a vertical

    position with loading taking place from the top or bottom.

    Ease of rotating the wafers in the tube, which produces a moreuniform temperature acrosssource.

    Tube materials and heating systems are the same as for

    horizontal systems.

    13

  • 7/30/2019 oxidation process

    14/19

    Contd The gas moves parallel to

    gravity minimizing the gas

    separation problem and the boat

    rotation minimizes gasturbulence.

    More packaging density means

    we placed more wafers in tube

    for oxidation process.

    14

    Fig. Vertical tube furnace

  • 7/30/2019 oxidation process

    15/19

    Rapid Thermal Process(RTP)

    RTP technology is based on the principle of radiation heating.

    RTP technology is a natural choice for the growth of thinoxides used in MOS gates

    Advantages of RTP Reduces the thermal budget required for a process.

    Minimizing the total wafer process time.

    15

  • 7/30/2019 oxidation process

    16/19

    Conclusion

    1- Uses of SiO2 layer

    2- Mechanism of SiO2 formation

    3- Methods

    16

  • 7/30/2019 oxidation process

    17/19

    References

    Peter van Zant , Microchip Fabrication,, Tata McGraw Hill ,

    2nd edition, 2012.

    17

  • 7/30/2019 oxidation process

    18/19

    Query

    ?18

  • 7/30/2019 oxidation process

    19/19

    THANK YOU19