37
Part 3: 2 Potential Next Generation Radiative Methods For Nanostructuring Surfaces Electron Beam Lithography Scanning Near Fileld Photolithography

Part 3: 2 Potential Next Generation Radiative Methods For Nanostructuring Surfaces Electron Beam Lithography Scanning Near Fileld Photolithography

  • View
    218

  • Download
    0

Embed Size (px)

Citation preview

Part 3:

2 Potential Next Generation Radiative Methods

For Nanostructuring Surfaces

Electron Beam Lithography

Scanning Near Fileld Photolithography

Part 3i:

E-Beam Lithography

Polymers and Molecular Materials

After completing PART 3i of this course you should have an understanding of, and be

able to demonstrate, the following terms, ideas and methods.

(i) The e-beam lithographic process,

(ii) Resist Material requirements,

(iii) Resolution

(iv) Etch durability

(v) Sensitivity

(vi) Resist problems (beam speading, beam penetration, pattern collapse, line

edge roughness),

(vii) Why use low molecular weight materials

(viii) Design considerationss for fullerene and triphenylene derivatives,

(ix) Overcoming the sensitivity problem (low energy methodology and

chemical amplification),

Learning Objectives

• What is a Resist?

• Resist Requirements for EBL

• Polymeric Resist

• Introduction to LMW Resists

• Fullerene and Triphenylene Resists

• Low Energy Resists

• Chemical Amplification

• Conclusions

Overview

Silicon

“Organic”

eeee

ee

eee

eeee

ee

1

2 The unirradiated “organic” is removed with an organic solvent, leaving the cross-linked insoluble network pattern.

The electron beam initiates a chemical reaction in the organic material, either

(i) leading to fragmentation to smaller molecular components, which are soluble in some solvent (positive tone resist), or

(ii) crosslinking to form an insoluble network (negative tone resist).

1

2

Serial Writing is very slow, compared to Photolithography

Spin Coated 10 -100s nm

Electron Beam Lithographic Resist

3

A chemical etchant is employed to remove the exposed silica, and in so doing also etches the irradiated organic material, result in the pattern transfer to the silicon.

3

The Organic Material Requirements For a Negative Tone Resist

· Must interact with the electron beam

· Must cross-link to form a network

· Must have a high sensitivity to the electron beam (energy efficiency)

· The network must be insoluble

· The network must have good mechanical strength

· The network must be resistant to the etchant that is used to remove

the silicon in the pattern transfer step (aspect ratio)

Polymeric ResistsHistorically resists have almost always been polymeric1

PMMA

[1] “Photoresist Materials: A Historical Perspective”, C. Grant Willson et al, SPIE, 3049, p 28

Polymers readily form smooth, amorphous films by spin coating

Poor Resolution Negative Tone

Resist~70 nm

Good EtchDurabilty Resist

6:1

SAL-601

Composite of Novolac Resin, Acid Generator

and Cross Linking Agent

Neither materials have low sensitivity towards the electron beam to make them crosslink efficiently, and neither can make a high resolution (thin) and tall (good etch durabilty) structures, and are not mechanically strong.

Good Resolution Positive Tone

Resist~10 nm

Poor EtchDurabilty Resist

1:1

COOMe

Me

n

PMMA

Resist Resolution and Etch Durability

Photolithography

Resist Sensitivity

0

50

100

1 10 100Exposure Dose (C/cm2)

Norm

alis

ed F

ilm T

hic

kness

(%

) D1 D2

Contrast: = |log10(D2/D1)|-1

Sensitivity=D50%

D50%

PMMA = 140 C/cm2 (20 keV)SAL601 = 8 C/cm2 (20 keV)

Polymer Disadvantages

Beam Spreading

Pattern Collapse

Line Edge Roughness

Beam Spreading and Penetration

electron scattering simulations

20 keV Electrons 3 keV Electrons 1 keV Electrons

PMMA

Si

500 nm

Pattern Collapse

SiO2

Developer

Line Edge Roughness

Image from www.tpd.tno.nl/smartsite910.html

Line edge roughness is affected by factors including lithographic noise, processing, and polymer molecular weight

2

4

6

8

10

2000 2005 2010 2015

Line Edge RoughnessRadius of Gyration

Line

Edg

e R

ough

ness

Year

0 2 104 4 104 6 104 8 104 1 105

Line Edge RoughnessRadius of Gyration

Molecular Weight (g/mol)

4

3

Line Edge Roughness

Negative Tone

Crosslinking Chain Scission

Positive Tone

Avoiding Polymer Problems

Several of the problems with polymers seem to stem from the size of the molecules and low durability. Why not use smaller carbon rich molecules?

Smaller molecules tend to crystallize rapidly after spin coating, giving a rough and unusable polycrystalline film

There are some exceptions to this

Low Molecular Weight Resists

• Amorphous Molecular Materials• Calixarenes

• Catechols

• Fullerenes and its Derivatives

• Molecular Resists/Molecular Glasses

• Oriented materials (Liquid Crystals)• Triphenylene Derivatives

Calixarenes

• Cyclic oligomer around 1 nm in diameter

• Negative tone electron beam resist6

• A chemically amplified epoxidised derivative has been demonstrated7

OR2 R2O

R2O

R2OOR2

OR2

R1 R1

R1

R1

R1

R1

J-I. Fujita et al, Jpn. J. Appl. Phys., 36, 7769 (1997); H. Sailer, et al, Microelec. Eng., 73 - 74, 228 (2004)

Catechols• Cyclic oligmer around 1

nm in diameter with 3 aromatic rings per molecule

• Chemically amplified positive tone electron beam resist8

• Various functional groups allow the solvent to be altered

N. Kihara, et al, J. Photopolym. Sci. Technol., 11, 553 (1998)

RO

RO

RO OR

OR

OR

Fullerenes & Derivatives

• Aromatic cage molecule around 0.7 nm in diameter 60 carbons per molecule

• Negative tone electron beam resist9

• Various functional groups allow the sensitivity and solubility to be altered

T. Tada, et al, Jpn. J. Appl. Phys., 35, L63 (1996)

R

R

Molecular Glasses

• Non-planar (propeller shaped) molecule around 1 to 2 nm in diameter

• Negative or positive tone electron beam resist9

• Chemical amplification has been demonstrated10

M. Yoshiiwa, et al, Appl. Phys. Lett., 69, 2605 (1996); T. Kadota, et al, Chem. Lett., 33, 706 (2004)

OR

RO OR

N

NO O

N

O

O

N

O

O

Triphenylene Derivatives

• Liquid crystalline molecule around 1 to 2 nm in diameter

• Negative or positive tone electron beam resist10

• Various functional groups allow the liquid crystal nature and sensitivity to be altered

A.P.G. Robinson, et al,J. Phys. D, 32, L75 (1999)

RO OR

RO

RO OR

OR

LMW Resist PropertiesResist Sensitivity

(µC/cm2)

Resolution

(nm)

Etch Durability Casting Solvent / Developer

Calixarene > 700 < 10 Moderate Usually chlorinated/Xylene

Calixarene [CA] 10 40 Moderate MCB/MIBK

Catechol [CA] 10 90 Good Methoxymethyl propionate / Aqueous Base

Molecular Glasses

3000 70/150 (+ve/-ve)

- THF/TMAH:IPA or

2-methoxyethyl acetate

MG [CA] 2 25 - THF/TMAH:IPA

Fullerenes & Triphenylenes

We have investigated two families of low molecular weight resists - fullerene derivatives, and triphenylene derivatives.

• Original results for fullerenes and triphenylene derivatives

• Low energy electron beam exposures of fullerene derivatives

• Chemical Amplification of fullerene and triphenylene derivatives

Large flat -surface

Ordering

Introduced strained cyclopropane ring

Crosslinking increasedX

Y

OOO

X

Y

O

n n

ORRO

RO

RO OR

OR

Molecular Design Considerations

High carbon content

Etch Durability?

Large -surface

Enhanced sensitivity?

14 nm

Scanning Electron Micrographs of Resist Patterns (20keV Beam)

Sensitivity ~ 1000 µC/cm2

ORRO

RO

RO OR

OR

100 nm

35 nm

20 nm

Scanning Electron Micrographs

‘A Triphenylene Derivative as a Novel Negative/Positive Tone Resist of 10 nm Resolution A.P.G. Robinson, R.E. Palmer, T. Tada, T. Kanayama, M.T. Allen, J.A. Preece, and K.D.M. Harris, Microelectronic Engineering, 2000, 53, 425-428.

‘Multi-adduct Derivatives of C60 for Electron Beam Nano-Resists’ T. Tada, K. Uekusu, T. Kanayama, T, Nakayama, R. Chapman, W.Y. Cheung, L. Eden, I. Hussain, M. Jennings, J. Perkins, M. Philips, J.A. Preece, E.J. Shelley, Microelectronic Engineering, 2002, 61, 737-743.

2.5 nm

X

Y

OOO

X

Y

O

n n

ORRO

RO

RO OR

OR

PMMA

Resolution

Etch Ratio

20 nm

6

14 nm 20 nm (10 nm)

6 <1 (<1)

Resolution equals or surpassed PMMAEtch ratio much better than SAL 601Sensitivity much better than previous medium molecular weight materials

Sensitivities of around 1000 C/cm2 at 20-30 keVPMMA a factor of ~10 lower

Comparison

The Sensitivity Problem

The resolutions of both fullerene and triphenylene derivatives are comparable with other LMW materials, and the etch durabilities are extremely high.

However, like most LMW resists the best sensitivities (fullerene - 370 µC/cm2; triphenylene - 880 µC/cm2) are still much lower that polymer based materials.

Possible Solutions

Low EnergyElectrons

ChemicalAmplification

Low Energy Exposure

Low energy electrons deposit more of their energy in the resist and less in the substrate. This leads to an increase in sensitivity.

Image after D.F. Kyser et al, J. Vac. Sci. Technol, 12, 1305, (1975)

20 keV MF02-01A 473 µC/cm2 MF03-01 970 µC/cm2

20 keV 1 keVMF02-01A 473 µC/cm2 21 µC/cm2

MF03-01 970 µC/cm2 65 µC/cm2

SAL 601 ~10 C/cm2 (20 keV)

Chemically Amplified Triphenylenes

An alternative two component

crosslinking system, based on

pendant epoxy groups and

using the photoinitiator UVI-

6976 (Triarylsulfonium

hexafluoroantimonate salts)

was developed.

O O

O

O

C5H11

C5H11

C5H11

O

O

O

O

O

Fine Patterning

The pure epoxide has a sensitivity of 600 µC/cm2, which improves to 15 µC/cm2 when the photoinitiator is added (Ratio of derivative to PI - 2:1).

i.e. 45 fold increase in sensitivity. How….?

C5/Epoxy:C5/C0:PI (14:4:9) Film

Line width = 44 nmLine dose = 0.8 nC/cm

PEB 100 °C / 120 sDevelopment in MCBfor 20 s

S S

O O

O

O

C5H11

C5H11

C5H11

O

O

O

O

O

O O

O

O

C5H11

C5H11

C5H11

O

O

O

O

O

O

O O

O

C5H11

C5H11

C5H11

O

O

O

O

O

O O

O

O

C5H11

C5H11

C5H11

O

O

O

O

O

O

O O

O

C5H11

C5H11

C5H11

O

O

O

O

O

‘Photo’-Acid Generator

Further

Cross-Linking

ConclusionsIt is likely that the issue of polymer size will have to be addressed within the next 5 years, based on ITRS line edge roughness requirements.

Several low molecular weight alternatives are approaching viability in terms of sensitivity, but at the cost of resolution, which must instead be maintained.

Fullerene derivatives, with their extremely high etch durability are a good candidate for low energy applications. Sensitivities of 20 µC/cm2 and 30 nm resolution have been demonstrated.

Epoxide functionalised chemically amplified triphenylenes have good sensitivities (15 µC/cm2), and promising resolutions (45 nm).

ThanksDr Alex RobinsonDr H. Mohd ZaidFran Gibbons

Nanoscale Physics Research LaboratoryUniversity of Birmingham

www.nprl.bham.ac.uk

For use of some of their slides

Selected E-Beam Papers