11
222 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 63, NO. 2, FEBRUARY 2016 Silicon-Proven, Per-Cell Retention Time Distribution Model for Gain-Cell Based eDRAMs Noa Edri, Pascal Meinerzhagen, Member, IEEE, Adam Teman, Member, IEEE, Andreas Burg, Member, IEEE, and Alexander Fish, Member, IEEE Abstract—Gain-cell embedded DRAM (GC-eDRAM) is an in- teresting alternative to SRAM for reasons such as high density, low bitcell leakage, logic compatibility, and suitability for 2-port mem- ories. The major drawbacks of GC-eDRAMs are their limited data retention times (RTs) and the large spread of RT across an array, which degrade energy-efficiency due to refresh cycles. While the array refresh rate can be determined according to circuit simula- tion or post-manufacturing calibration, there is a lack of analytical and statistical RT models for GC-eDRAM that could unveil the limiters and circuit parameters that lead to the large observed RT spreads. In this work, we derive the first comprehensive analytical model for the statistical distribution of the per-cell retention time of 2T-bitcell GC-eDRAMs, which is found to follow a log-normal distribution. The accuracy of the proposed retention time model is verified by extensive Monte Carlo and worst case distance circuit simulations and silicon measurements of an 0.18 μm test chip. Furthermore, a sensitivity analysis unveils the circuit parameters that have the highest impact on the RT spread. Interestingly, the variability of the threshold voltage of the write access transistor has a much higher impact on the RT spread than the variability of any other circuit parameter, including the storage node capacitor. This holds true under process scaling, for nodes as advanced as 28 nm, as shown through simulation. The insights gained from the retention time model help circuit designers achieve better GC-eDRAMs with longer RTs and sharper RT distributions. In addition, the herein presented model can be used as a basis to study the reliability/energy trade-off for GC-eDRAM usage in fault-tolerant VLSI systems. Index Terms—Embedded DRAM, gain cells, integrated circuit modeling, leakage currents, model validation, MOS integrated circuits, parasitic capacitance, retention time, semiconductor memory, sensitivity analysis, statistical analysis. I. I NTRODUCTION G AIN-CELL embedded DRAM (GC-eDRAM) is an in- teresting, high-density alternative to SRAM and conven- tional 1T-1C eDRAM for a large range of VLSI system-on-chip Manuscript received August 10, 2015; revised November 11, 2015; accepted December 8, 2015. Date of current version March 16, 2016. This work was supported by the HiPer Consortium under the Magnet program of the office of the chief scientist in the Israeli Ministry of Economy. This paper was recommended by Associate Editor B.-D. Liu. N. Edri, P. Meinerzhagen, A. Fish are with the Faculty of Engineering, Bar- Ilan University, Ramat Gan 5290002, Israel (e-mail: [email protected]; pascal. [email protected]; alexander.fi[email protected].) A. Teman is with the Faculty of Engineering, Bar-Ilan University, Ramat Gan 5290002, Israel, and also with Telecommunications Circuits Laboratory (TCL) of the Institute of Electrical Engineering EPFL EPFL, 1015 Lausanne, Switzerland (e-mail: [email protected]; adam.teman@epfl.ch.) A. Burg is with the Telecommunications Circuits Laboratory (TCL) Institute of Electrical Engineering, EPFL, 1015 Lausanne, Switzerland (e-mail: andreas. burg@epfl.ch) Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TCSI.2015.2512706 (SoC) applications, including ultra-low power systems such as biomedical implants [1], wireless communications systems (e.g., LDPC decoders [2]), and high-performance microproces- sors [3], [4]. GC-eDRAM has many assets, including small bitcell size, low bitcell leakage current, compatibility with stan- dard digital CMOS processes (logic compatibility), and inher- ent suitability for two-port memory implementations [5], [6]. However, it is also characterized by a primary drawback—the need for power-hungry refresh cycles. Several previous studies based on silicon measurements [3], [7], unveiled large spreads of the per-cell retention time (RT) across a gain-cell storage array. Unless spare columns, spare rows, or error correction codes (ECC) [8] are used, the worst cell dictates the refresh rate of the entire array [9]. Longer RTs and a sharper per-cell RT distribution are desirable for less frequent refresh and thus lower power consumption [10]. While Monte Carlo (MC) circuit simulations and silicon measurements can be used to obtain per-cell RT maps [7], [11], [12], these methods unfortunately do not unveil the factors that limit the RT and the circuit parameters, which are responsible for the large RT spreads. Furthermore, simulations and silicon measurements do not allow for early design considerations, such as trading off read failure probability for refresh power, either for fault-tolerant applications or in conjunction with spare columns/rows or ECC for error-free applications [10]. In [13], the influence of different design parameters on the RT of GC-eDRAM was examined, but did not provide any analytical model to gain deeper insights, and it did not relate to the distribution of RT, either. A model of retention time was presented in [14], but it related only to the conventional 1T-1C bitcell and not to GC-eDRAM. In addition, the model of [14] referred only to the nominal value and not to the statistical distribution of retention time. In [10], the potential of exploiting the RT distribution of GC-eDRAM for fault- tolerant applications was explored, but this was solely based on MC simulations and silicon measurements. Briefly, in the open literature, there is a lack of simple analytical models for GC- eDRAM retention time and its statistical distribution across the array. Furthermore, there are no previous studies explaining the large GC-eDRAM retention time spreads, taking into account the variability of all circuit parameters. Contributions: For the first time, this article derives an ana- lytical model for the statistical RT distribution of GC-eDRAM to provide a tool for the design of GC-eDRAM bitcells and un- derstanding the underlying trade-offs in the design parameters. The model accounts for parametric variations in devices (write and read transistors) and the storage node capacitor. The accuracy of the analytical model is verified by means of statistical MC circuit simulation, worst case distance point 1549-8328 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

Silicon-Proven, Per-Cell Retention Time Distribution Model for … · 2016-06-16 · EDRI et al.: SILICON-PROVEN, PER-CELL RETENTION TIME DISTRIBUTION MODEL FOR GAIN-CELL BASED eDRAMs

  • Upload
    others

  • View
    2

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Silicon-Proven, Per-Cell Retention Time Distribution Model for … · 2016-06-16 · EDRI et al.: SILICON-PROVEN, PER-CELL RETENTION TIME DISTRIBUTION MODEL FOR GAIN-CELL BASED eDRAMs

222 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 63, NO. 2, FEBRUARY 2016

Silicon-Proven, Per-Cell Retention Time DistributionModel for Gain-Cell Based eDRAMs

Noa Edri, Pascal Meinerzhagen, Member, IEEE, Adam Teman, Member, IEEE, Andreas Burg, Member, IEEE,and Alexander Fish, Member, IEEE

Abstract—Gain-cell embedded DRAM (GC-eDRAM) is an in-teresting alternative to SRAM for reasons such as high density, lowbitcell leakage, logic compatibility, and suitability for 2-port mem-ories. The major drawbacks of GC-eDRAMs are their limited dataretention times (RTs) and the large spread of RT across an array,which degrade energy-efficiency due to refresh cycles. While thearray refresh rate can be determined according to circuit simula-tion or post-manufacturing calibration, there is a lack of analyticaland statistical RT models for GC-eDRAM that could unveil thelimiters and circuit parameters that lead to the large observed RTspreads. In this work, we derive the first comprehensive analyticalmodel for the statistical distribution of the per-cell retention timeof 2T-bitcell GC-eDRAMs, which is found to follow a log-normaldistribution. The accuracy of the proposed retention time model isverified by extensive Monte Carlo and worst case distance circuitsimulations and silicon measurements of an 0.18 μm test chip.Furthermore, a sensitivity analysis unveils the circuit parametersthat have the highest impact on the RT spread. Interestingly, thevariability of the threshold voltage of the write access transistorhas a much higher impact on the RT spread than the variability ofany other circuit parameter, including the storage node capacitor.This holds true under process scaling, for nodes as advanced as28 nm, as shown through simulation. The insights gained fromthe retention time model help circuit designers achieve betterGC-eDRAMs with longer RTs and sharper RT distributions. Inaddition, the herein presented model can be used as a basis tostudy the reliability/energy trade-off for GC-eDRAM usage infault-tolerant VLSI systems.

Index Terms—Embedded DRAM, gain cells, integrated circuitmodeling, leakage currents, model validation, MOS integratedcircuits, parasitic capacitance, retention time, semiconductormemory, sensitivity analysis, statistical analysis.

I. INTRODUCTION

GAIN-CELL embedded DRAM (GC-eDRAM) is an in-teresting, high-density alternative to SRAM and conven-

tional 1T-1C eDRAM for a large range of VLSI system-on-chip

Manuscript received August 10, 2015; revised November 11, 2015; acceptedDecember 8, 2015. Date of current version March 16, 2016. This work wassupported by the HiPer Consortium under the Magnet program of the officeof the chief scientist in the Israeli Ministry of Economy. This paper wasrecommended by Associate Editor B.-D. Liu.

N. Edri, P. Meinerzhagen, A. Fish are with the Faculty of Engineering, Bar-Ilan University, Ramat Gan 5290002, Israel (e-mail: [email protected]; [email protected]; [email protected].)

A. Teman is with the Faculty of Engineering, Bar-Ilan University, RamatGan 5290002, Israel, and also with Telecommunications Circuits Laboratory(TCL) of the Institute of Electrical Engineering EPFL EPFL, 1015 Lausanne,Switzerland (e-mail: [email protected]; [email protected].)

A. Burg is with the Telecommunications Circuits Laboratory (TCL) Instituteof Electrical Engineering, EPFL, 1015 Lausanne, Switzerland (e-mail: [email protected])

Color versions of one or more of the figures in this paper are available onlineat http://ieeexplore.ieee.org.

Digital Object Identifier 10.1109/TCSI.2015.2512706

(SoC) applications, including ultra-low power systems suchas biomedical implants [1], wireless communications systems(e.g., LDPC decoders [2]), and high-performance microproces-sors [3], [4]. GC-eDRAM has many assets, including smallbitcell size, low bitcell leakage current, compatibility with stan-dard digital CMOS processes (logic compatibility), and inher-ent suitability for two-port memory implementations [5], [6].However, it is also characterized by a primary drawback—theneed for power-hungry refresh cycles. Several previous studiesbased on silicon measurements [3], [7], unveiled large spreadsof the per-cell retention time (RT) across a gain-cell storagearray. Unless spare columns, spare rows, or error correctioncodes (ECC) [8] are used, the worst cell dictates the refreshrate of the entire array [9]. Longer RTs and a sharper per-cellRT distribution are desirable for less frequent refresh and thuslower power consumption [10].

While Monte Carlo (MC) circuit simulations and siliconmeasurements can be used to obtain per-cell RT maps [7], [11],[12], these methods unfortunately do not unveil the factors thatlimit the RT and the circuit parameters, which are responsiblefor the large RT spreads. Furthermore, simulations and siliconmeasurements do not allow for early design considerations,such as trading off read failure probability for refresh power,either for fault-tolerant applications or in conjunction withspare columns/rows or ECC for error-free applications [10].In [13], the influence of different design parameters on theRT of GC-eDRAM was examined, but did not provide anyanalytical model to gain deeper insights, and it did not relateto the distribution of RT, either. A model of retention timewas presented in [14], but it related only to the conventional1T-1C bitcell and not to GC-eDRAM. In addition, the modelof [14] referred only to the nominal value and not to thestatistical distribution of retention time. In [10], the potentialof exploiting the RT distribution of GC-eDRAM for fault-tolerant applications was explored, but this was solely based onMC simulations and silicon measurements. Briefly, in the openliterature, there is a lack of simple analytical models for GC-eDRAM retention time and its statistical distribution across thearray. Furthermore, there are no previous studies explaining thelarge GC-eDRAM retention time spreads, taking into accountthe variability of all circuit parameters.

Contributions: For the first time, this article derives an ana-lytical model for the statistical RT distribution of GC-eDRAMto provide a tool for the design of GC-eDRAM bitcells and un-derstanding the underlying trade-offs in the design parameters.

The model accounts for parametric variations in devices(write and read transistors) and the storage node capacitor.

The accuracy of the analytical model is verified by meansof statistical MC circuit simulation, worst case distance point

1549-8328 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

Page 2: Silicon-Proven, Per-Cell Retention Time Distribution Model for … · 2016-06-16 · EDRI et al.: SILICON-PROVEN, PER-CELL RETENTION TIME DISTRIBUTION MODEL FOR GAIN-CELL BASED eDRAMs

EDRI et al.: SILICON-PROVEN, PER-CELL RETENTION TIME DISTRIBUTION MODEL FOR GAIN-CELL BASED eDRAMs 223

analysis, and through silicon measurements of an 0.18 μm testchip. Furthermore, the model is validated in an advanced 28 nmnode, showing that the proposed model holds in light of tech-nology scaling.

In addition, a detailed sensitivity analysis is presented, iden-tifying the circuit parameters with the largest impact on theper-cell RT spread. An analytical model for RT distribution isa significant contribution to the field of high-density embeddedmemory design for the following reasons:

1) For ultra-low power biomedical systems, where correctcircuit operation is of utmost importance, the model isa convenient means to set a safety guardband on therefresh rate according to the memory array size andmanufacturing yield requirements.

2) For wireless communications systems [15] or other sys-tems that are inherently resilient to a small number ofhardware defects [16] (e.g., video and image processing),the retention time model allows us to study the trade-off between read failure probability and refresh power bymeans of varying the refresh rate [10], [17].

3) For all applications (error-free and error-resilient), es-pecially if large storage capacities are required, it isimportant to identify the main cause for the large RTspread in prior-art GC-eDRAM implementations in orderto eventually narrow this distribution and achieve longerdata retention intervals and consequently lower refreshpower [4].

Outline: The remainder of this paper is organized as follows:Section II describes the basic functionality of a 2T gain-cell.Section III gives two definitions of RT and then derives an ana-lytical model for both the nominal value of RT and its statisticaldistribution. Section IV validates the RT model by means ofMC circuit simulation with further validation through siliconmeasurements of an 0.18 μm test chip presented in Section V.Section VI presents a RT sensitivity analysis, identifying themain parameter responsible for large RT spread.

The model is evaluated under process scaling in Section VII,and Section VIII builds on the analytical modeling and sensi-tivity analysis results to provide best-practice 2T GC-eDRAMguidelines for maximum RT and low spread.

Finally, Section IX concludes this article.

II. GAIN-CELL EMBEDDED DRAM

Several topologies of GC-eDRAM have been proposed,consisting of 2–4 transistors and in some cases an additionalMOSCAP or diode to increase the storage capacitance [5], [12].This paper focuses on the 2T gain cell, shown in Fig. 1, as itcomprises the basic structure that is common to all topologies:a write transistor (MW) and a storage/read transistor (MR). Inaddition, the 2T bitcell has the smallest footprint among allGC-eDRAM bitcells, making it the most interesting bitcell forimplementing high-density memories [18].

The write and read transistors of the 2T gain cell can beimplemented with either PMOS or NMOS devices, trading offleakage, access speed, and area requirements, as discussed indetail in [1]. In this article, we consider an all-PMOS version,

Fig. 1. Schematic representation of a 2T Gain Cell, including typical signalsfor read and write access.

implemented with a high-Vth I/O PMOS device as MW inorder to reduce RT limiting leakage currents, and a standard-Vth

PMOS device as MR in order to eliminate area requirementsof within-cell well separation. In this topology, the write oper-ation is performed by applying a negative underdrive voltage(−VNWL) to the write wordline (WWL) to overcome the Vth

drop when discharging the storage node (SN) during a write “0”operation. For read operations, the read bitline (RBL) is pre-discharged and the read wordline (RWL) is pulsed to the posi-tive supply (VDD). When the bitcell stores data “1,” MR will bein cutoff and RBL will remain discharged. On the other hand, ifdata “0” is stored, MR will turn on and charge RBL past a readthreshold. For clarity, these signals are illustrated in Fig. 1. It isimportant to note that for this topology, data “0” deterioratesmuch faster than data “1” [19], and is therefore consideredthroughout this article as the limiting bitcell state for calculatingRT. The refresh rate of the memory is determined by the worst-case retention time, which occurs when WBL is held at theopposite level of the stored data. This biases MW with a maxi-mum VDS, leading to maximum sub-Vth leakage, due to drain-induced barrier lowering (DIBL). While the continuous biasingof WBL at such a worst-case level has a very low probability ofoccurrence, especially when methods for reducing this situationare applied [11], it still has to be considered as the RT limiter.

Note that a positively boosted gate voltage can be appliedto WWL to reduce the sub- Vth leakage through MW [20];however, this is accompanied by additional issues, such as theneed to provide a third biasing voltage, gate leakage and stresson MW, and gate induced drain leakage (GIDL), which furthercomplicated the circuit design and the model, and therefore, inthis case, we assume that WWL is held at VDD during standbyand read cycles.

III. ANALYTICAL GC-eDRAM RETENTION TIME MODEL

A. Definition of Retention Time

While it is clearly essential to initiate a refresh operation ona GC-eDRAM array prior to data loss, the accurate definitionof RT and its methods of simulation vary across different publi-cations [5]. To clarify this, the definition of data retention time(DRT) used throughout this manuscript is provided hereafter,followed by a new metric, effective data retention time (EDRT),which is introduced to both take into account the performancerequirements of the memory array, as well as to assist in thedevelopment and proof of the analytical model.

Page 3: Silicon-Proven, Per-Cell Retention Time Distribution Model for … · 2016-06-16 · EDRI et al.: SILICON-PROVEN, PER-CELL RETENTION TIME DISTRIBUTION MODEL FOR GAIN-CELL BASED eDRAMs

224 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 63, NO. 2, FEBRUARY 2016

Data Retention Time (DRT): For the purpose of the hereinpresented model, we define DRT as the time interval aftera write, at which the voltage difference between the storeddata “1” (D1) and stored data “0” (D0) reaches a lower limitfor a sufficient sensing margin. In the considered 0.18 μmCMOS technology with VDD = 0.8 V, this limit is chosento be δVDRT = VD1 − VD0 = 0.5 V. Note that this value canbe adapted according to technology node, application, andsensitivity of sensing circuitry.

Effective Data Retention Time (EDRT): While the DRTmetric defines the maximum retention time before losing thestored data value, it does not take into account the operatingfrequency (read speed) required by the application.

This model is primarily targeted at the design of theGC-eDRAM bitcell, as the array architecture and peripheralsare very diverse and dependent on the target application; how-ever, completely neglecting the current drive of MR can lead tocontradicting conclusions, depending on how the DRT is mea-sured. Therefore, we introduce a new metric, EDRT, definedas the time interval following a write operation still enablinga correct readout for a given read access time requirement.The read access time is determined by the time it takes a cellholding a “0” to charge the RBL to a level that can be detectedby the sensing circuitry. Even though this is highly dependenton the RBL capacitance (number of rows in the array) andthe chosen sensing circuitry, the current drive of MR that isrequired to provide this access time can be extracted from agiven architecture. We use the overdrive of MR (correspondingto the deteriorated level of D0 or D1 for an NMOS MW) thatprovides this read current as the threshold voltage VEDRT tomeasure the EDRT of a given bitcell. We extracted the thresholdfrom the test array to be VEDRT = VD0 = 0.270 V to ensurecompliance with an operating frequency of 1 MHz. This valueshould be modified for any given technology, array architecture,application, and operating frequency.

While DRT is more commonly used in the open literature,EDRT is easier to model analytically since it considers onlythe charging of SN, while DRT considers SN charging anddischarging. However, EDRT will be shown to closely trackDRT, and therefore, it is an appropriate alternative to DRT forcircuit design considerations.

B. Analytical Model of Nominal EDRT

This section derives an analytical model of GC-eDRAMEDRT, taking into account all circuit parameters of the all-PMOS 2T gain cell. While these circuit parameters follow astatistical distribution, this subsection assumes nominal valuesfor all parameters to derive the nominal value of EDRT.

The proposed EDRT model calculates the time t = EDRT ittakes for the voltage on the storage capacitor VSN to chargebeyond the failure voltage Vfail. This time period is derivedfrom the fundamental integral relationship between voltage andcurrent of the storage capacitor (CSN):

VSN(EDRT) = Vfail =1

CSN

EDRT∫t0

iSN(τ)dτ + VSN(t0) (1)

TABLE IMW PARAMETERS USED FOR EDRT CALCULATION

where iSN is the leakage current (dominated, in this case, bysub-Vth leakage, Isub) which charges the storage capacitor; andVSN(t0) is the voltage on CSN at the initial time t0.

The initial value on the storage node following a writeVSN(t0) is highly dependent on the WWL voltage boost(VNWL), the write pulse transition and duration, and the cou-pling capacitance between WWL and SN (CWWL_SN), aselaborated upon extensively in [1].

To extract the worst-case EDRT, this model assumes thatimmediately following a write “0” operation (“1” for an NMOSMW), MW is cut-off with WWL = VDD, and WBL is biased toVDD (0 for an NMOS MW) to cause a worst-case deteriorationof the D0 level, primarily due to sub-Vth leakage through MW[21]. The sub-Vth leakage is modeled according to the wellknown EKV sub-Vth current equation [22]:

Isub =W

LIs0

(1− e

−VDSvt

)e

VGS−Vth−Voffn·vt (2)

where W and L are the device width and length, respectively;VDS and VGS are the drain-to-source and gate-to-source volt-ages, respectively; vt is the thermal voltage; Vth is the thresholdvoltage; Voff is the offset voltage that determines the draincurrent at VGS = 0; and n is the sub- Vth swing coefficient.Furthermore, IS0

is defined as:

Is0 = μ0

√qεsiNch

2φsvt (3)

where q is the electric charge, μ0 is the mobility at nominaltemperature Nch is the channel doping concentration, and φs isthe surface potential.

During the retention period, we assume that VDS � vt �26 mV, and consequently that the sub- Vth leakage is constant.1

Substituting (2) in (1) yields the following analytical modelof EDRT:

EDRT =[VSN(EDRT)− VSN(t0)]CSNL

WI ′s0 exp(

VGS

nvt

) exp

(Vth(L)

nvt

)

(4)

with:

I ′s0 = Is0e−Voff

nvt . (5)

All of the parameters used in (4) and (5) refer to MW. All param-eters used in this paper for EDRT calculation are summarizedin Table I.

1This holds true for the applicable range of VSN(t0) < VSN < VEDRT.

Page 4: Silicon-Proven, Per-Cell Retention Time Distribution Model for … · 2016-06-16 · EDRI et al.: SILICON-PROVEN, PER-CELL RETENTION TIME DISTRIBUTION MODEL FOR GAIN-CELL BASED eDRAMs

EDRI et al.: SILICON-PROVEN, PER-CELL RETENTION TIME DISTRIBUTION MODEL FOR GAIN-CELL BASED eDRAMs 225

C. Statistical Distribution of EDRT

Based on the analytical model for nominal EDRT (4), thissection derives the statistical distribution of EDRT, taking intoaccount the statistical distribution of primary circuit parame-ters. From the model presented in (4) and (5), it can be seen thatretention time has an exponential dependence on the Vth of MW(Vth,MW). Furthermore, from a simulation-based sensitivityanalysis, we found that Vth,MW is responsible for more than50% of the EDRT variation (see Section VI for details). Fromthese two observations, and from the fact that Vth follows aGaussian distribution arising from parametric variations, it canbe concluded that a log-normal distribution is an appropriatedescription of the statistical distribution of EDRT.

Given a normally distributed random variable X with amean of μ and standard deviation σ (X ∼ N(μ, σ2)), and afunction Y = a · ebX ( a and b are constants), then Y is log-normally distributed with coefficients (location parameter andscale parameter) given by [23]:

Y ∼ logN(bμ+ ln(a), (bσ)2

).

Therefore, based on (4), the coefficients of the log-normalEDRT distribution can be expressed as follows:

EDRT ∼[logN

(1

nvtμ(Vth)

+ ln

([VSN(EDRT)− VSN(t0)]CSNL

WI ′s0 exp(

VSG

nvt

))(

σ(Vth)

nvt

)2)]

.

(7)

A numeric evaluation of (7), extracting the mean value andthe variance of Vth,MW from an 0.18 μm design kit, accountingfor global and local variations, leads to:

EDRT[s] ∼ logN(−0.95, 0.36), (8)

IV. MODEL VALIDATION THROUGH CIRCUIT SIMULATION

This section verifies the accuracy of the analytical modelsfor nominal EDRT and its statistical distribution by comparisonwith MC circuit simulation results, worst case distanceanalysis, and silicon measurements of a test chip implementedin 0.18 μm CMOS.

A. Nominal EDRT

The analytical model for the nominal EDRT, presented in(4), is verified by means of transistor-level circuit simulationof the 2T bitcell, shown in Fig. 1. The circuit is implementedin a 0.18 μm CMOS technology, using a high- Vth I/O PMOSdevice as MW and a standard- Vth PMOS device as MR. Thesesimulations are based on nominal process parameter values,with the exception of Vth,MW, which is swept to represent ±3σglobal and local process variations. This includes assumptionsof a typical-typical (TT) process corner, a supply voltage of0.8 V, and a temperature of 27 ◦C.

Fig. 2. Modeled and simulated retention time (EDRT and DRT) versusVth,MW .

Fig. 3. EDRT as a function of the metal stack capacitor and the width andlength of MW.

Fig. 2 shows the simulated EDRT (“ ×” markers) as a func-tion of Vth,MW, as compared to the analytical EDRT model,represented by the solid black line. The model coefficients werecomputed based on (4), using the primary circuit and physicalparameters of Table I; however, the same coefficients can alsobe found by fitting an exponential curve to the simulated datapoints. Clearly, the model very closely matches the simulateddata, with the maximum modeling error at less than 6%. Thisplot confirms the exponential dependence of EDRT on Vth,MW

from (4), which results in a strong impact of Vth,MW variabilityon the spread of the EDRT.

Both methods lead to the same coefficient values, within areasonable numeric precision. Simulating as few as 10 datapoints, followed by exponential curve fitting, might often bea more convenient and straightforward approach to determinethe coefficients of the EDRT model than deriving the samecoefficients from primary circuit and physical parameters.

Fig. 2 also shows the simulated DRT, which closely followsthe EDRT. The solid gray line is an exponential fit to thesimulated DRT data points, and suggests that DRT also has anexponential dependence on Vth,MW, similar to EDRT. While wedid not explicitly and analytically model DRT, an exponentialDRT model can be easily obtained through curve fitting.

Fig. 3 shows the relationship between EDRT and the metalstack capacitor CSN, as well as W and L of MW (WMW and

Page 5: Silicon-Proven, Per-Cell Retention Time Distribution Model for … · 2016-06-16 · EDRI et al.: SILICON-PROVEN, PER-CELL RETENTION TIME DISTRIBUTION MODEL FOR GAIN-CELL BASED eDRAMs

226 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 63, NO. 2, FEBRUARY 2016

Fig. 4. Statistical EDRT distributions: Model and MC simulations.

LMW, respectively). These three parameters are varied by 15%around their nominal values (only one parameter is shifted ata time), as seen on the x-axis. The data points are extractedfrom circuit simulation, while the solid lines correspond tothe analytical model. Both the analytical EDRT model and thesimulations lead to the same conclusions:

1) EDRT is proportional to CSN and inversely proportionalto WMW. This is true as long as the influence of WMW

on CSN is negligible. Scaling CSN and WMW by the samefactor leaves the retention time unchanged.

2) EDRT increases proportionally with LMW. In addition,LMW has a stronger impact on EDRT than WMW andCSN. A detailed sensitivity analysis of these parametersis provided in Section VI.

In conclusion, the analytical EDRT model of (4) firmly tracksthe simulated data over sweeps of Vth,MW, and WMW, as wellas CSN, with a maximum modeling error of 6%.

B. Statistical EDRT Distribution

After having confirmed the accuracy of the nominal EDRTmodel, this section compares the statistical EDRT distributionmodel, given in (6) and (7), with MC circuit simulations. Recallthat the random variable EDRT was found to follow a log-normal distribution: EDRT ∼ logN(−0.95, 0.36). The MCanalysis is based on statistical process parameter distributions,provided by the foundry.

We account for global and local variations by taking10 000 EDRT samples.

Fig. 4 shows the statistical EDRT distribution obtained fromMC simulation (black dashed line), as well as the analyticallymodeled log-normal distribution. In addition, the solid blue lineshows a simple log-normal fit to the simulated distribution.Clearly, the analytical EDRT distribution model correspondswell with the simulated and fitted data, with modeling errors ofless than 4% for the mean and standard deviation of the EDRT(μEDRT and σEDRT, respectively).

The proposed model is intended to be a design model thatcompromises accuracy for simplicity to enable the bitcell de-signer to comprehend the inherent trade-offs by modifying agiven circuit parameter. The main accuracy compromise occursat the far end of the distribution, where a much more complex

model is required to accurately capture the extreme values.However, to evaluate the usefulness of the model at the extremevalues, the tail of the model was compared to extreme valueextraction, using the cadence worst case distance (WCD) high-yield estimation tool. The model showed less than a 10% devi-ation from the simulated values, demonstrating its usefulness,even for estimation of retention time for extreme cases.

V. MODEL VALIDATION THROUGH

SILICON MEASUREMENTS

This section verifies the high accuracy of the analyticalmodel and the MC simulations by comparison with silicon mea-surements of a fabricated test chip.

A. Test Chip Design

A 64 × 32 (2 kb) GC-eDRAM macro using the bitcell to-pology, shown in Fig. 1, was fabricated in a 0.18 μm CMOStechnology. The memory array was divided into four sub-arraysof 16 × 32 bits (referred to as: Nominal, NoCap, SmallCap, andLargeMW), in order to study the influence of different circuitparameters on retention time and its distribution. This allows usto verify the analytical models and MC circuit simulations for arange of bitcell configurations. The exact parameters of the four2T bitcell configurations are explained in the following:

1) Nominal: This is the baseline bitcell with a minimum-size I/O MW, minimum-size core MR, and a 3 fF CSN

(according to layout extraction) built from the availablemetal stack. The nominal bitcell sub-array is used toconfirm the random, as opposed to systematic, per-cell re-tention time distribution, which, according to simulationsand modeling, is mostly influenced by Vth,MW variability(see Section VI).

2) NoCap: The second bitcell configuration has the sameMW and MR as the nominal cell, but has no metal-stackcapacitor. Therefore, CSN consists only of the inherentMOSCAP and diffusion capacitance. This cell is targetedat isolating the variability of Vth,MW from that of CSN

in order to separately evaluate the impact of these twoparameters.

3) SmallCap: The third bitcell configuration is identicalto the nominal cell, albeit with a reduced metal stack,providing only 2 fF of storage capacitance. This sub-array is used to further study the impact of CSN, the maincircuit parameter for conventional eDRAM, on RT and itsdistribution.

4) LargeMW: This last bitcell configuration has an up-sizedMW compared to the nominal cell,

5) With a 25% increase in bothWMW andLMW. This bitcelluses the nominal 3 fF CSN. The goal of this bitcell is tostudy the impact of decreased Vth,MW variance on theper-cell RT distribution.

Fig. 5 shows the layout of the Nominal bitcell, as well as thelayout of the entire GC-eDRAM macro. The four sub-arraysare clearly visible and annotated. The macro is implementedas a two-port memory with separate read and write ports. The

Page 6: Silicon-Proven, Per-Cell Retention Time Distribution Model for … · 2016-06-16 · EDRI et al.: SILICON-PROVEN, PER-CELL RETENTION TIME DISTRIBUTION MODEL FOR GAIN-CELL BASED eDRAMs

EDRI et al.: SILICON-PROVEN, PER-CELL RETENTION TIME DISTRIBUTION MODEL FOR GAIN-CELL BASED eDRAMs 227

Fig. 5. (Left) layout of nominal bitcell, (right) complete memory macro withsub-arrays, and (center) chip photograph.

Fig. 6. Silicon measurements compared to simulations and analyticalmodeling.

read and write address decoders are implemented as two-stagedecoders, containing a 3 : 8 pre-decoder followed by a decoderusing 2-input NOR gates. The read path contains a dynamicsense inverter. To facilitate testing, a frame of flip-flops aroundthe macro holds addresses and data constant for a full clockcycle. Furthermore, the test chip contains a built-in self-test(BIST), a scan chain interface to the full test array, and directaccess to a sub-array.

B. Measurement Results

In order to perform silicon measurements, 5 packaged testchips were mounted onto a PCB via a test socket. An Agilent16822A logic analyzer with built-in pattern generator was usedto extract retention time information, by interfacing with andtriggering the BIST. The array was operated at a supply voltageof 0.8 V. The read and write ports were run off of a 1 MHzclock signal.

Fig. 6 shows the measured RT (for a read frequency of1 MHz), as well as the simulated EDRT and DRT (also fora read frequency of 1 MHz). Measured data is taken from asingle typical (TT) die, while the simulations correspond tolocal (within-die) variations in a TT corner. The figure alsoshows the log-normal fit to the measured and simulated data,with the simulated EDRT corresponding to the analytical model

Fig. 7. Data retention time map of four measured sub-arrays, including meanand variance of log-normal distribution of each sub-array.

of (7). It is visible from Fig. 6 that the measured retention timefollows a log-normal distribution and that there is a good matchbetween silicon measurements, MC simulations, and modelingresults.

The measured retention time distribution lies between thesimulated EDRT and DRT distributions. In fact, the EDRTand DRT definitions become almost equivalent for the slowconsidered read frequency (1 MHz), and describe the siliconmeasurements equally well. The silicon measurements confirmthe possibility of precisely predicting the RT spread using alog-normal distribution, with coefficients extracted from a fewsimulated or measured data points or derived from the analyti-cal model of (7).

Fig. 7 shows the measured per-cell RT for the entire 64 ×32 array. The RT of each bitcell is shown in a color code atthe physical location of each bitcell. The four sub-arrays thatare characterized by different bitcell configurations are imme-diately seen to exhibit different retention times.

For example, the NoCap sub-array appears to have the lowestretention times, centered at roughly 24 ms, while the LargeMWsub-array has the longest retention times with an average valueof around 320 ms. In all four sub-arrays, the per-cell RT clearlyappears to be distributed randomly; in other words, there is nosystematic distribution pattern for any of the sub-arrays. Thisobservation strengthens the assumption that the RT spread ismostly caused by variation of Vth,MW, which in turn is mostlycaused by random dopant fluctuations (RDF).

Fig. 8 shows the measured RT distributions of the Nominaland LargeMW sub-arrays, and their log-normal fits. Due to thelarger transistor dimensions in the LargeMW sub-array,Vth,MW

varies less, and therefore the σRT parameter of the log-normaldistribution is only 0.198, as compared to 0.254 for the Nominalsub-array. Furthermore, the μRT parameter of the distributionalso improves from −1.46 for the Nominal sub-array to −1.16for the LargeMW sub-array due to the higher Vth,MW caused

Page 7: Silicon-Proven, Per-Cell Retention Time Distribution Model for … · 2016-06-16 · EDRI et al.: SILICON-PROVEN, PER-CELL RETENTION TIME DISTRIBUTION MODEL FOR GAIN-CELL BASED eDRAMs

228 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 63, NO. 2, FEBRUARY 2016

Fig. 8. Retention time distributions of nominal and largeMW sub-arrays.

by increased LMW (short channel effect). As the mean andvariance of the log-normal distribution are a function of bothμRT and σRT, as shown in (9), there is a trade-off between themean and the variance of the distribution.

Mean = eμ+σ2

2

Variance =(eσ

2 − 1)e2μ+σ2

. (9)

As μRT increases, e.g., due to a larger CSN or longer LMW,the RT variance also increases. Therefore, in Fig. 8, it can beseen that the mean RT improved from 0.238 s for the Nominalsub-array to 0.316 s for the LargeMW sub-array, but the RTvariance, which originally was estimated to be smaller due tothe larger dimensions of the write transistor, stays almost thesame, due to the influence of μRT (0.0038 s in the Nominalcompared to 0.0040 s in the LargeMW sub-array). Furthermore,if CSN is smaller, the mean and the variance both decrease,and as a result, a sharper distribution is achieved (as observedin the measurements of the SmallCap and NoCap sub-arrays),at the cost of lower retention time. In conclusion, gain celldesign needs to consider both μRT and σRT in order to findthe best trade-off between the mean and the variance of the re-tention distribution. According to our mathematical derivations,increasing Vth,MW increases the mean RT, but unfortunately,the RT variance, as well.

In summary, the measured retention time distribution is wellapproximated by the model and simulations, and the measuredper-cell retention time is randomly distributed. Up-scaling MWimproves retention time and sharpens its distribution.

VI. SENSITIVITY ANALYSIS OF GC-eDRAMRETENTION TIME

The previous section, as well as previous publications thatreported the per-cell retention time of fabricated gain cell arrays[7], [24], show very large spreads. Unfortunately, unless redun-dancy or error correction are integrated into or built around thearray, the worst cell in terms of DRT ultimately dictates theglobal refresh rate. Therefore, it is preferable to have a sharperper-cell RT distribution and move the worst cell closer to anominal cell. In order to do this, it is crucial to understand

TABLE IIEXAMPLE OF PB-DOE MATRIX FOR 7 FACTORS

the influence of specific circuit parameters on the retentiontime spread. Accordingly, a sensitivity analysis of basic designparameters on RT is presented in this section.

A. Plackett-Burman Design of Experiment (PB-DOE)

The sensitivity analysis, presented below, was performedusing the Plackett-Burman Design of Experiment (PB-DOE)[25]. This is a powerful statistical tool to estimate the influenceof individual process parameters in multivariate systems. ThePB-DOE method has been verified as an effective methodto identify the most sensitive process parameters that causevariability in device performance [26]. This method is based onthe concept of orthogonal arrays and needs to runN simulationsto analyze N − 1 parameters [25].

Reference [27] provides guidelines for constructing aPB-DOE matrix for implementing an N -parameter sensitivityanalysis. For example, these guidelines were used to constructa 7 parameter matrix, as displayed in Table II, with P1 toP7 representing the examined process parameters, and “+”and “−” representing the maximum and minimum values ofeach process parameter, respectively. Each row in the tabledetermines the value of each one of the seven parameters foreach simulation run. Y1 to Y8 indicate the circuit responsefor each specific set of process parameters. The sensitivity ofcircuit performance to each of these parameters is the ratiobetween the sensitivity of the n-th process parameter for a givenresponse (SS(Pn)), to the total sum of all SS(Pn) due to allprocess parameters (SSt). This can be written as:

SS(Pn) = [avg(Y+)− avg(Y−)]2 (10)

SSt = SS(P1) + SS(P2) + · · ·+ SS(Pn) (11)

where avg(Y+) is the average value of all the simulation runs,in which the corresponding process parameter was high (+),and avg(Y−) is the average value of all the simulation runs, inwhich the corresponding process parameter was low (−).

B. PB-DOE Applied to GC-eDRAM RT

Several parameters that are susceptible to process variationscontribute to the wide spread of retention time. These parame-ters can be divided into three primary categories, according tothe circuit metric they affect:

1) Sub-Vth leakage (Isub) through MW: affected by Vth,gate oxide thickness (tox), W , and L of MW.

2) Drive strength of MR: affected by Vth, tox, W , andL of MR.

Page 8: Silicon-Proven, Per-Cell Retention Time Distribution Model for … · 2016-06-16 · EDRI et al.: SILICON-PROVEN, PER-CELL RETENTION TIME DISTRIBUTION MODEL FOR GAIN-CELL BASED eDRAMs

EDRI et al.: SILICON-PROVEN, PER-CELL RETENTION TIME DISTRIBUTION MODEL FOR GAIN-CELL BASED eDRAMs 229

Fig. 9. Sensitivity analysis of DRT and EDRT on circuit parameters: the y-axis shows SS(Pn)/SSt.

3) Magnitude of CSN: diffusion capacitance (CGD) of MW,gate capacitance (CGC), tox, W , and L of MR, andinterconnect capacitance, such as that achieved throughimplementing a metal stack above the SN.

To apply the PB-DOE method of sensitivity analysis of11 parameters to GC-eDRAM, ten process parameters wereanalyzed: L, W , Vth, tox and gate-drain overlap capacitancecoefficient (Cgdo) for both MW and MR. The high and lowvalues of each parameter were determined according to the3σ value accounting for global and local variation. In orderto capture the sensitivity of retention time to CSN variabilitydue to variations in the fabrication of the metal stack, thisparameter was added to the analysis as the 11-th parameter.Detailed capacitance variability reports were not available fromthe foundry, and therefore, a 10% deviation from the nominalvalue was assumed.

The sensitivity analysis results are presented in Fig. 9, clearlyshowing that the dominant factor is the threshold voltage vari-ation in the write transistor Vth,MW. In fact, according to themodel in (4), variations in this parameter have an exponentialeffect on sub- Vth current through MW, which is the primaryleakage mechanism affecting the RT in a 2T gain cell. Thesecond most influential factor on the RT variation is the tox ofMW, primarily due to its effect on the sub- Vth swing (n) ofMW, according to:

n = 1 + Cd/Cox = 1 + Cd · tox/εox (12)

where Cd is the capacitance of the depletion layer, Cox isthe gate oxide capacitance, and εox is equal to the vacuumpermittivity multiplied by the relative permittivity of silicondioxide. The sub-Vth swing determines how well the devicecan be turned off, eliminating deteriorating sub-Vth leakagecurrents through MW. This is shown in the well known EKVderived sub- Vth current (2).

As expected from (2), the dimensions of the write transistoralso significantly contribute to the leakage currents. As LMW

increases, Isub decreases, lowering the rate of change of thestored level, and thereby increasing the RT. Accordingly, wewould expect to see that WMW would have the same impact;however, Fig. 9 shows that the sensitivity to WMW is much

lower. This is due to the dual effect of WMW on RT; on theone hand, an increase in WMW increases the sub- Vth currentthrough MW, but at the same time, it also increases the size ofthe junction capacitance contributing to CSN. In addition, due tothe short channel effect (SCE), variations in LMW also changeVth,MW by as much as 20 mV within the 3σ analysis space,further contributing to the sensitivity to LMW.

Finally, W , L, and tox of MR affect the value of the storagecapacitor, and therefore, we would expect to see a greaterinfluence than that shown by the sensitivity analysis. Their lowimpact is due to the fact that the parasitic device capacitancesconstitute only 10% of CSN, which is composed primarily ofmetal stack capacitance.

To narrow down the retention time distribution and move theworst cell closer to a typical cell, it is therefore key for processengineers to improve the threshold voltage repeatability, whilevariations in metal-to-metal capacitance are of a lesser concern.As a circuit designer, it is preferable to choose a CMOS nodewith low Vth variability, and/or increase both LMW and WMW

for a higher repeatability of Vth,MW to achieve less retentiontime variability.

C. Impact of Process Corner

While the previous sections demonstrated the impact of in-dividual circuit parameters on RT, this section shortly presentsthe impact of the global process corner on the retention timedistribution. To this end, MC circuit simulations, accounting forwithin-die parametric variations in the TT, slow NMOS-slowPMOS (SS), and fast NMOS-fast PMOS (FF) process cornerswere performed. Fig. 10 shows the simulated EDRT distribu-tions in the TT, SS, and FF corners. Compared to a TT die,the mean EDRT decreases for a FF die and increases for a SSdie. This finding is explained by stronger and weaker leakagecurrent through MW in the FF and SS corners, respectively,compared to the TT corner. As expected, the EDRT variationis much lower in the FF corner than in the TT corner, andmuch worse in the SS corner. In fact, Vth,MW and consequentlyμEDRT change across process corners: in the FF corner, asmaller μEDRT leads to a smaller RT mean and less RT variancecompared to the SS corner, according to (9).

Page 9: Silicon-Proven, Per-Cell Retention Time Distribution Model for … · 2016-06-16 · EDRI et al.: SILICON-PROVEN, PER-CELL RETENTION TIME DISTRIBUTION MODEL FOR GAIN-CELL BASED eDRAMs

230 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 63, NO. 2, FEBRUARY 2016

Fig. 10. The influence of process corners on EDRT.

Fig. 11. Statistical EDRT distribution: Model and MC simulation in 28 nm.

In conclusion, the process corner has a strong impact on theretention time distribution (both the mean and variance), withmean values ranging from 0.1 s to 0.485 s, and retention timespreads getting narrower (better) and broader (worse) in the FFand SS corners, respectively, compared to the TT corner.

VII. ADVANCED TECHNOLOGY

The EDRT model, proposed in Section III, was developedand silicon proven for a mature 0.18 μm, intended at theimplementation of ultra-low power biomedical applications.However, the concepts shown in the proposed model are genericand applicable under technology scaling. To demonstrate this,the GC-eDRAM circuit of Fig. 1 was implemented in a state-of-the-art 28 nm CMOS process technology, using a high-Vth

MW and a standard- Vth MR from the technology. In additionto the simulations performed to extract the model parametersof (7), extensive leakage simulations were carried out, showingthat for this technology, the sub- Vth leakage through MW isstill the dominant factor that limits the retention time of a 2TGC-eDRAM bitcell, constituting over 97% of the leakage intothe SN.

To evaluate the matching of the proposed model to thestatistical distribution of EDRT in the chosen 28 nm technology,10 000 MC bitcell simulations were carried out. The resultingdistribution is shown in Fig. 11. This figure superimposes theclose match of the proposed model to the distribution, showing

that the model still provides a good estimation of the expecteddistribution, and that the exponential dependence of retentiontime on Vth,MW still holds. Furthermore, WCD high-yieldanalysis was performed on the extreme values of the model, anda matching within 10% was found, which is entirely acceptablefor the purposes of the proposed model.

VIII. BEST-PRACTICE 2T GAIN-CELL DESIGN

This section describes the best-practice 2T gain-cell bit-cell design based on the insights gained from the modeling,simulations, silicon measurements, and sensitivity analysis,presented above. These insights can also be implemented inmore advanced technologies as long as Isub is the main leakagemechanism. This is indeed often the case, especially when itcomes to low operating voltages [28]. In cases where gate leak-age is more significant, it can be added to the model. The fol-lowing best-practice design guidelines maximize the retentiontime and narrow its statistical distribution.

The mean value of the log-normal EDRT distribution is equalto eμ+(σ2/2). As apparent from (4) and Fig. 2, increasing theabsolute value of Vth,MW increases the nominal EDRT value, aswell as its (μEDRT) value. To this end, if several transistor fam-ilies and/or Vth options are available, it is preferable to choosethe device with the highest absolute Vth value. For example,in the herein considered process, MW was implemented as aI/O device, which has a higher absolute Vth than a core device.Furthermore, as concluded in Section VI, Vth,MW variation isthe single most influential circuit parameter responsible for theretention time spread. Therefore, it is preferable to use a CMOSnode or a device with low Vth variability, and/or increase theMW device dimensions.

Next, according to the model, μEDRT can be further in-creased by increasing CSN, for example by using more metallayers. Decreasing WMW improves μEDRT due to lower Isubthrough MW, but increases the standard deviation of the EDRTdistribution (σEDRT) due to the fact that Vth variation increasesas W decreases. Increasing LMW improves both μEDRT andσEDRT, due to lower sub-Vth leakage and larger channel area(lower Vth variation), respectively. Furthermore, it increasesVth,MW (through SCE). Therefore, increasing LMW has a posi-tive impact on both μEDRT and σEDRT, and should be preferredover decreasing WMW for improved retention time.

The sole drawback of an increased LMW is the decreasedwrite speed. For most embedded memory applications, highwrite speed is less critical than high read speed. However, ifhigh write speed is required, increasing WMW by the samefactor as LMW is the best option to optimize the retention timeunder a given speed constraint.

As discussed in Section VI, the global process corner has astrong impact on both μEDRT and σEDRT. Therefore, on-chipprocess monitors allow to use lower refresh rates on SS diesthan on TT and FF dies. Given the large retention time range,binning with more than 3 bins would be meaningful, as well.

Finally, since EDRT depends on the read frequency, it doesnot only depend on the bitcell parameters, but also on thereadout circuits. In general, a circuit resolving a smaller voltagedifference in a shorter time leads to longer EDRT.

Page 10: Silicon-Proven, Per-Cell Retention Time Distribution Model for … · 2016-06-16 · EDRI et al.: SILICON-PROVEN, PER-CELL RETENTION TIME DISTRIBUTION MODEL FOR GAIN-CELL BASED eDRAMs

EDRI et al.: SILICON-PROVEN, PER-CELL RETENTION TIME DISTRIBUTION MODEL FOR GAIN-CELL BASED eDRAMs 231

IX. CONCLUSION

This article introduced the first analytical model for thestatistical per-cell retention time distribution of Gain Cell em-bedded DRAM. While all circuit parameters follow a Gaussiandistribution, it was shown that the per-cell retention time fol-lows a log-normal distribution. The simple analytical retentiontime distribution model is highly precise when compared toMonte Carlo circuit simulations and silicon measurement re-sults; modeling errors are less than 6%.

The herein presented sensitivity analysis unveiled that thethreshold voltage of the write access transistor is the sole mostdominant parameter whose uncertainty leads to large per-cellretention time spread. Variability in all other circuit parameters,including the storage node capacitor (built from the metal stackavailable in digital CMOS technologies), is responsible for onlya small percentage of the total retention time variability.

While the coefficients of the analytical models for the nomi-nal value of the effective retention time and for its statistical dis-tribution can be derived from primary bitcell circuit parameters,it is also possible to extract the coefficient from curve fittingbased on a small number of MC runs or measured bitcells.The coefficients extracted through this method were shownto precisely match the original coefficients of the analyticalmodel. In conclusion, fitting a log-normal distribution to asmall set of simulated or measured retention time data is aconvenient shortcut to obtain a precise model, closely trackinga more fundamental analytical model derived from primarycircuit parameters.

The presented analytical model is useful to estimate theworst-case retention time of large memory arrays in a shorttime, whereas the collection of a sufficient number of MCsamples is time-consuming.

The model was proven through silicon measurements ina mature process node, and shown to hold up in advancedprocess nodes through extensive MC simulation and worst casedistance analysis.

REFERENCES

[1] P. Meinerzhagen, A. Teman, R. Giterman, A. Burg, and A. Fish,“Exploration of sub-VT and near-VT 2T gain-cell memories for ultralowpower applications under technology scaling,” J. Low Power Electron.Appl., vol. 3, no. 2, pp. 54–72, 2013.

[2] Y. Park et al., “A 1.6 mm2 38-mW 1.5 Gb/s LDPC decoder enabledby refresh-free embedded DRAM,” in Proc. IEEE Symp. VLSIC, 2012,pp. 114–115.

[3] K. C. Chun et al., “A 2T1C embedded DRAM macro with no boostedsupplies featuring a 7T SRAM based repair and a cell storage monitor,”IEEE J. Solid-State Circuits, vol. 47, no. 10, pp. 2517–2526, 2012.

[4] M.-T. Chang, P. Rosenfeld, S.-L. Lu, and B. Jacob, “Technologycomparison for large last-level caches (L3Cs): Low-leakage SRAM, lowwrite-energy STT-RAM, refresh-optimized eDRAM,” in Proc. IEEE Int.Symp. High Perform. Comput. Archit. (HPCA), 2013, pp. 143–154.

[5] A. Teman, P. Meinerzhagen, A. Burg, and A. Fish, “Review and clas-sification of gain cell eDRAM implementations,” in Proc. IEEE Electr.Electron. Eng. Israel (IEEEI), 2012, pp. 1–5.

[6] Y.-F. Xie, K. Cheng, and Y.-Y. Lin, “A logic 2t gain cell edram withenhanced retention and fast write scheme,” in Proc. IEEE 11th Int. Conf.Solid-State Integr. Circuit Technol. (ICSICT), pp. 1–3.

[7] P. Meinerzhagen, A. Teman, A. Fish, and A. Burg, “Impactofbody biasingon the retention time of gain-cell memories,” J. Eng., vol. 1, no. 1, pp. 1–3,2013.

[8] C. Wilkerson, A. R. Alameldeen, Z. Chishti, W. Wu, D. Somasekhar,and S.-L. Lu, “Reducing cache power with low-cost, multi-bit error-correcting codes,” ACM SIGARCH Comput. Archit. News, vol. 38, no. 3,pp. 83–93, 2010.

[9] K. C. Chun, P. Jain, J. H. Lee, and C. H. Kim, “A 3t gain cell embeddeddram utilizing preferential boosting for high density and low power ondiecaches,” IEEE J. Solid-State Circuits, vol. 46, no. 6, pp. 1495–1505,2011.

[10] A. Teman et al., “Energy versus data integrity trade-offs in embeddedhigh-density logic compatible dynamic memories,” in Proc. DATE, 2015,pp. 489–494.

[11] A. Teman, P. Meinerzhagen, R. Giterman, A. Fish, and A. Burg,“Replica technique for adaptive refresh timing of gain-cell-embeddeddram,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 61, no. 4,pp. 259–263, 2014.

[12] R. Giterman, A. Teman, P. Meinerzhagen, L. Atias, A. Burg, andA. Fish, “Single-supply 3t gain-cell for low-voltage low-power applica-tions,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 24, no. 1,pp. 358–362, Jan. 2016.

[13] A. T. Do, H. Yi, K. S. Yeo, and T. Kim, “Retention time characterizationand optimization of logic-compatible embedded dram cells,” in Proc. 4thASQED, 2012, pp. 29–34.

[14] S. Ghosh, “Modeling of retention time for high-speed embedded dynamicrandom access memories,” IEEE Trans. Circuits Syst. I, Reg. Papers,vol. 61, no. 9, pp. 2596–2604, Sep. 2014.

[15] G. Karakonstantis, C. Roth, C. Benkeser, and A. Burg, “On theexploitation of the inherent error resilience of wireless systems underunreliable silicon,” in Proc. 49th Annu. ACM Design Autom. Conf., 2012,pp. 510–515.

[16] X. Zhang, S. Leomant, K. L. Lau, and A. Bermak, “A compact digitalpixel sensor (dps) using 2t-dram,” J. Low Power Electron. Appl., vol. 1,no. 1, pp. 77–96, 2011.

[17] A. Mokhov, M. Rykunov, D. Sokolov, and A. Yakovlev, “De-sign of processors with reconfigurable microarchitecture,” J. Low PowerElectron. Appl., vol. 4, no. 1, pp. 26–43, 2014.

[18] D. Somasekhar et al., “2 GHz 2 Mb 2T gain cell memory macro with128 GBytes/sec bandwidth in a 65 nm logic process technology,” IEEE J.Solid-State Circuits, vol. 44, no. 1, pp. 174–185, 2009.

[19] P. Meinerzhagen, A. Teman, A. Mordakhay, A. Burg, and A. Fish, “Asub-v t 2t gain-cell memory for biomedical applications,” in Proc. 2012IEEE Subthreshold Microelectron. Conf. (SubVT), pp. 1–3.

[20] W. Zhang et al., “Variation aware performance analysis of gain cell em-bedded DRAMs,” in Proc. ACM/IEEE ISLPED, 2010, pp. 19–24.

[21] E. N. Shauly, “Cmos leakage and power reduction in transistors and cir-cuits: Process and layout considerations,” J. Low Power Electron. Appl.,vol. 2, no. 1, pp. 1–29, 2012.

[22] Y. Cheng, M. Chan, K. Hui, M.-C. Jeng, Z. Liu, J. Huang, K. Chen,J. Chen, R. Tu, and P. K. Ko, Bsim3v3 Manual. Berkeley, CA, USA:Univ. California, 1996.

[23] D. E. Burmaster and D. A. Hull, “Using lognormal distributions andlognormal probability plots in probabilistic risk assessments,” HumanEcological Risk Assessment, vol. 3, no. 2, pp. 235–255, 1997.

[24] K. Chun et al., “A 667 MHz logic-compatible embedded DRAM featuringan asymmetric 2T gain cell for high speed on-die caches,” IEEE J. Solid-State Circuits, vol. 47, no. 2, pp. 547–559, Feb. 2012.

[25] S. S. Mande, A. N. Chandorkar, and H. Iwai, “Computationally efficientmethodology for statistical characterization and yield estimation due tointer-and intra-die process variations,” in Proc. 2013 5th IEEE Asia Symp.Qual. Electron. Design (ASQED), 2013, pp. 287–294.

[26] S. Mande, A. N. Chandorkar, C. Hsaio, K. Huang, Y.-M. Sheu, and S. Liu,“A novel approach to link process parameters to bsim model parameters,”IEEE Trans. Semicond. Manuf., vol. 22, no. 4, pp. 544–551, 2009.

[27] D. K. Lin and N. R. Draper, “Generating alias relationships for two-levelplackett and burman designs,” Comput. Stat. Data Anal., vol. 15, no. 2,pp. 147–157, 1993.

[28] P. F. Butzen and R. P. Ribas, “Leakage current in sub-micrometer cmosgates,” Universidade Federal do Rio Grande do Sul, pp. 1–28, 2006.

Noa Edri received the B.Sc. degree in electrical andcomputer engineering from Ben Gurion University,Israel, in 2012. She is currently a graduate studentat Bar-Ilan University, Israel, under the guidance ofProf. Alex Fish. Her graduate thesis focuses on em-bedded DRAM optimization for low power and de-veloping system methodology for low data retentionvoltage in SRAM. In 2014, she joined the EmergingNanoscaled Integrated Circuits and Systems (EnICS)Labs as a design verification SoC engineer.

Page 11: Silicon-Proven, Per-Cell Retention Time Distribution Model for … · 2016-06-16 · EDRI et al.: SILICON-PROVEN, PER-CELL RETENTION TIME DISTRIBUTION MODEL FOR GAIN-CELL BASED eDRAMs

232 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 63, NO. 2, FEBRUARY 2016

Pascal Meinerzhagen (M’12) received the B.Sc.,M.Sc., and Ph.D., degrees, all in electrical engineer-ing, from EPFL, Lausanne, Switzerland, in 2006,2008, and 2014, respectively. He is a Senior ResearchScientist at Intel Labs, Intel Corporation, Hillsboro,OR, USA, and a Visiting Lecturer at the Bar-IlanUniversity, Israel. In 2014, he was a PostdoctoralFellow and a Lecturer at Bar-Ilan University, Ramat-Gan, Israel. He also received a joint M.Sc. degreein micro- and nanotechnologies for integrated sys-tems from Grenoble INP, Politecnico di Torino, and

EPFL, in 2008. His current research interests are broad, ranging from energy-efficient and error-resilient circuits and systems in high-performance FinFETCMOS technologies, to power delivery and power management techniques,to conventional and emerging memory circuits, to ultra-low power VLSI. Hehas authored/coauthored 2 invited book chapters, 27 peer-reviewed journalarticles, and international conference papers, and holds 4 pending patents.Dr. Meinerzhagen is an Associate Editor for the Microelectronics Journal, and areviewer for 16 international journals and conferences, including IEEE TRANS-ACTIONS ON CIRCUITS AND SYSTEMS—PART I: REGULAR PAPERS andTRANSACTIONS ON CIRCUITS AND SYSTEMS—PART II: EXPRESS BRIEFS,IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS ANDSYSTEMS, and the IEEE Symposia on VLSI Technology and Circuits. He hasreceived an Intel Ph.D. fellowship, an IBM Research Award nomination, andtwo best paper nominations.

Adam Teman (M’13) received the B.Sc., M.Sc.,and Ph.D. degrees in electrical engineering fromBen-Gurion University (BGU), Be’er Sheva, Israelin 2006, 2011, and 2014, respectively. He worked asa Design Engineer at Marvell Semiconductors from2006 to 2007, with an emphasis on physical im-plementation. Dr. Teman’s research interests includelow-voltage digital design, energy efficient SRAM,NVM, and eDRAM memory arrays, low powerCMOS image sensors, low power design techniquesfor digital and analog VLSI chips, significance-

driven approximate computing, and process tolerant design techniques. He hasauthored 36 scientific papers and 3 patent applications, and is an associate editorat the Microelectronics Journal. In 2010–2012, Dr. Teman was honored withthe Electrical Engineering Department’s Teaching Excellence recognition atBGU, and in 2011, he was awarded with BGU’s Outstanding Project award.Dr. Teman received the Yizhak Ben-Yaakov HaCohen Prize in 2010, the BGURector’s Prize for Outstanding Academic Achievement in 2012, the Wolf Foun-dation Scholarship for excellence of 2012 and the Intel Prize for Ph.D. studentsin 2013. His doctoral studies were conducted under a Kreitman Foundation Fel-lowship. Dr. Teman was a post-doctoral researcher at the TelecommunicationsCircuits Lab (TCL) at the École Polytechnique Fédérale de Lausanne (EPFL),Switzerland under a Swiss Government Excellence Scholarship from 2014to 2015. In October 2015, Dr. Teman joined the faculty of engineering atBar-Ilan University, Ramat Gan, Israel, in 2015 as a tenure track researcherin the department of electrical engineering.

Andreas Burg (S’97–M’05) was born in Munich,Germany, in 1975. He received the Dipl.-Ing. de-gree from the Swiss Federal Institute of Technology(ETH) Zurich, Zurich, Switzerland, in 2000, andthe Dr.sc.techn. degree from the Integrated SystemsLaboratory of ETH Zurich, in 2006. In 1998, heworked at Siemens Semiconductors, San Jose, CA,USA. During his doctoral studies, he worked atBell Labs Wireless Research for a total of one year.From 2006 to 2007, he held positions as postdoctoralresearcher at the Integrated Systems Laboratory and

at the Communication Theory Group of the ETH Zurich. In 2007 he cofoundedCelestrius, an ETH-spinoff in the field of MIMO wireless communication,where he was responsible for the ASIC development as Director for VLSI. InJanuary 2009, he joined ETH Zurich as SNF Assistant Professor and as headof the Signal Processing Circuits and Systems group at the Integrated SystemsLaboratory. Since January 2011, he has been a Tenure Track Assistant Professorat the Ecole Polytechnique Federale de Lausanne (EPFL) where he is leadingthe Telecommunications Circuits Laboratory.

Alexander Fish (M’06) received the B.Sc. degreein electrical engineering from the Technion, IsraelInstitute of Technology, Haifa, Israel, in 1999, andthe M.Sc. and Ph.D. (summa cum laude) degrees, atBen-Gurion University, Israel, in 2002 and 2006,respectively. He was a Postdoctoral Fellow in theATIPS laboratory at the University of Calgary,Canada, from 2006–2008. In 2008 he joinedBen-Gurion University as a Faculty Member in theElectrical and Computer Engineering Department.There he founded the Low Power Circuits and Sys-

tems (LPC&S) laboratory, specializing in low power circuits and systems. InJuly 2011 he was appointed as a head of the VLSI Systems Center at BGU.In October 2012 Prof. Fish joined the Faculty of Engineering of Bar-IlanUniversity, Ramat Gan, Israel as an Associate Professor and the head of thenanoelectronics track. Prof. Fish also leads the Emerging Nanoscaled IntegratedCircuits and Systems (EnICS) Labs. Prof. Fish’s research interests include thedevelopment of secured hardware, ultra low power embedded memory arrays,CMOS image sensors, and high speed and energy efficient design techniques.He has authored over 100 scientific papers in journals and conferences, includ-ing IEEE JOURNAL OF SOLID STATE CIRCUITS, IEEE TRANSACTIONS ONELECTRON DEVICES, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS,and many others. He also submitted 22 patent applications. Prof. Fish haspublished two book chapters. He was a co-author of papers that won the BestPaper Finalist awards at IEEE ISCAS and ICECS conferences. Prof. Fish servesas the Editor in Chief for the MDPI Journal of Low Power Electronics andApplications (JLPEA) and as an Associate Editor for the IEEE Sensors Journal,IEEE Access, Elseiver Microelectronics and Integration, the VLSI Journal. Healso served as a chair of different tracks of various IEEE conferences. Hewas a co-organizer of many special sessions at IEEE conferences, includingIEEE ISCAS, IEEE Sensors and IEEEI conferences. Prof. Fish is a member ofSensory, VLSI Systems and Applications and Bio-medical Systems TechnicalCommittees of IEEE Circuits and Systems Society.