Upload
trinhcong
View
238
Download
3
Embed Size (px)
Citation preview
LG Electronics / System IC Business Team
SoC Design Flowfrom DFT Engineers’ angle
Kang, Yong-Seok
DIS Gr.
LG ElectronicsLG Electronics
Agenda
General SoC Design Flow and GuideDFT & Design FlowDFT FlowConclusion
LG ElectronicsLG Electronics
SoC Design Flow
MRD
ArchitectureSpec.
Arch.Validation
Done?
DesignSpec.
VerificationPlan
RTLDesign
RTL RuleClean?
VerificationEnvironments A
B
Marketing Requirements Doc.
OptionalPseudo code/ESL
Product featuresArch. at a higher level
FSM, FIFO, Clock, Reset, Interface
descriptions
Verilog/VHDLSyntax, Semantic,
synthesis, P&R, DFT, LEC, Simulation, CDC
Verification IP, Transactors,
scoreboards, checkers,Formal, Simulator
Features that will be verified, testcases
descriptions
Y
N
Y
CN
Y
SoC design flow (Cont.)
LG ElectronicsLG Electronics
Synthesis
DesignVerificationA
B
CoverageMetrics
VerificationDone?
Floorplan &Layout
Parasitic +routed netlist
TimingAnalysis
DRC/DFMTiming OK?
Area + PowerPin reports
PackageSelection Ball map
C Tapeout
N Y
Y
N
Synthesis SDC
STA SDC
Really code frozen
LG ElectronicsLG Electronics
What is RTL ?
Register Transfer Level (RTL) description is a way of describingthe operation of a synchronous digital circuitSynchronous circuit
Register : Synchronize the circuit’s operationCombinational logic : Performs all the logical functions
RTL codingDeclares the registersDescribes the combination logic by using constructs such as if-then-else and arithmetic operations Focuses on describing the flow of signals between registers
All outputs of module/entity should be registered!
LG ElectronicsLG Electronics
Clock
ClockingOne of the great innovations which enabled the semiconductor industry to progress to where it is todayQuantizes time, enabling Trs to be abstracted to sequential state machines and from state machines into a simple and intuitive programming paradigm for chip design, RTLFundamental assumption : Sequential execution
All parts of a state machine stay “in-step” with respectto each otherFlip-flop always makes a forward step fromstate n to state n+1
Setup conctraints
No flip-flop ever makes more thanone forward step from state nto state n+2 on a single clock tick
Hold constraints
LG ElectronicsLG Electronics
Clock Design
First rule : “ Simple is Beautiful “
LG ElectronicsLG Electronics
Clock Design (Cont.)
Second rule : “ Simple is Beautiful “
LG ElectronicsLG Electronics
Clock Design (Cont.)
Third rule : “ Simple is Beautiful “
LG ElectronicsLG Electronics
Clock Design ( Cont. )
Do not make masked clocksDo not use phase aligned clocksDo not use negative edge of source clock to make generated-clocksDo not use negative active clocksDo not use deep ripple-dividers in case of high speed designs
All clocks should be generated in the separated clock generation module on the top hierarchy levelIn case of multiple clock sources, clock domain crossing should be checkedDo not use manually gated clocks
LG ElectronicsLG Electronics
Clock domain crossing issues
Meta-stabilityData from one clock domain may violate setup/hold time in the other clock domain
Data lossData from one clock domain may not hold long enough to be captured by the other clock domain
Data correlationA group of conversing synchronized control signals are not in sync at a particular clock cycle
Design intentMore complex synchronization scheme (FIFO, handshake, C-element and etc.) may not behave as your spec.
LG ElectronicsLG Electronics
Metastability
General scientific concept which describes states of delicate equilibrium
A system is in a metastable state when it is in equilibrium(notchanging with time) but is susceptible to fall into lower-energy states with only slightly interaction
Metastability in electronic circuitsMetastability cannot be avoided, but the occurrences can be predicted by using the mean time between failures(MTBF) formulaDesigners can increase the MTBF using various synchronization schemes
LG ElectronicsLG Electronics
Clock Gating
Do not use manually gated clocks => Use enable signal
CG cell for clock gatingGlitch freeNo DFT problemRTL designers can considerfree running clock
Clock
Enablegclk
gclkEnable
Clock
always@( posedge clk or negedge rst_n)
begin
If (!rst_n)
q<=1’b0;
else
if ( enable )
q <=d;
end
Integrated clock gating cell w/o DFT
CLK
Latch
EN GCLK
Scan EnableTest Mode
TSMC CG Cell
LG ElectronicsLG Electronics
Reset
Synchronous reset Asynchronous reset
LG ElectronicsLG Electronics
Synchronous Reset Design
Around 80+% of the gathered articles focused on synchronous reset issues in collection of ESNUG and SOLVE-IT articlesAdvantages
Smaller flip-flops, but the combinational logic gate count grows, so the overall gate count savings may not be that significantThe clock works as a filter for small reset glitches, however, if these glitches occur near the active clock edge, the flop could go metastableIn some designs, the reset must be generated by a set of internal conditions. A synchronous reset is recommended for these types of designs because it will filter the logic equation glitches between clocks
DisadvantageNeed a pulse stretcher to guarantee a reset pulse width wide enough to ensure reset is present during an active edge of the clockDuring simulation, depending on how the reset is generated or how the reset is applied to a functional block, the reset can be masked by X’sTiming closure problem exists if the reset is generated by combinational logic in the ASIC or if the reset must traverse many levels of local combinational logicIf a gated clock is used to save power, the clock may be disabled coincident with the assertion of reset
LG ElectronicsLG Electronics
Asynchronous Reset Design
AdvantagesData path is guaranteed to be cleanCircuit can be reset with or without a clock presentSynthesis interface tends to be automatic
DisadvantageFor DFT, asynchronous reset should be controllableThe reset tree must be timed for both synchronous and asynchronous resets to ensure that the release of the reset can occur within one clock periodAsynchronous reset can have, depending on its source, spurious resets due to noise or glitches on the board or system resetAttention must be paid to the release of the reset so as to prevent the chip from going into a metastable unknown state when reset is released.
LG ElectronicsLG Electronics
Reset Design Guide
Guideline IEvery ASIC using an asynchronous reset should include a reset synchronizer circuit!!!
Guideline IIIn general, change the testbench reset signal on the inactive clock edge using blocking assignments.
LG ElectronicsLG Electronics
Reset Design Guide ( Cont. )
Reset-glitch filtering
Multi-clock reset issues
LG ElectronicsLG Electronics
Function verification
Verification methodology
LG ElectronicsLG Electronics
RTL Rule Check Sign-Off Flow
LG ElectronicsLG Electronics
Logic Synthesis
Do you remember “Boolean algebra”, “Karnaugh maps”, “Quine-McCluskey algorithm”, “Espresso heuristic”, and “BDD” ? Logic synthesis
Optimizes the Boolean equations generated by RTL synthesizersMaps them to technology specific gate-level implementations utilizing detailed functional and timing information from technology libraries.
Operations in the logic synthesis processMultilevel minimization, factorization, and equation flatteningArea, power, and timing metrics are optimized in some mannerResource sharing, arithmetic function architecting, topological approaches, congestion consideration…
LG ElectronicsLG Electronics
RTL Synthesizer
Synopsys DC flow
Timing is everything !!!The weight on timing constraints of the synthesis cost function is most critical
LG ElectronicsLG Electronics
Design Constraints
SDC (Synopsys Design Constraints)A common language between design processesSpecify the design intent, including the timing, power, and areaconstraints for a design
SDC CommandsOperating conditionsWire load modelsSystem interfaceDesign rule constraintsTiming constraintsTiming exceptionsArea constraintsMulti-voltage and power optimization constraintsLogic assignmentsSee “Using the Synopsys® Design Constraints Format, Application Note”
LG ElectronicsLG Electronics
Gate Level Simulation
There are 3 kinds of homo sapiens on the earthGate level simulation is not requiredGate level simulation is required only in a zero-delay and ideal clock modeFully back annotated simulation is a mandatory design flow
Usefulness of gate level simulationSince scan and other test structures are added during and after synthesis, they are not checked by the RTL simulations and therefore need to be verified by gate level simulationStatic timing analysis tools do not check asynchronous interfaces, so gate level simulation is required to look at the timing of these interfacesCareless wildcards in the static timing constraints set false path or multi-cycle path constraints where they don’t belongDesign changes, typos, or misunderstanding of the design can lead to incorrect false paths or multicycle paths in the static timing constraintsUsing “create_clock” instead of “create_generated_clock” leads to incorrect static timing between clock domainsGate level simulation can be used to collect switching factor data for power estimationX’s in RTL simulation can be optimistic or pessimistic. The best way to verify that the design does not have any unintended dependence on initial conditions is to run gate level simulationIt’s a nice “warm fuzzy” that the design has been implemented correctly
LG ElectronicsLG Electronics
Gate Level Simulation ( Cont. )
Sources of trouble in gate level simulationIssues caused by Library Models
Pointing to Library ModelsSpecify Blocks#1 DelaysPessimistic X propagation
Issues caused by DesignRegisters that don’t get resetClock dividers
Issues caused by SynthesisSynchronous reset back further into the middle of the logic cloudIncomplete Logic Optimization Interferes With ResetFeedback problems
LG ElectronicsLG Electronics
Gate Level Simulation ( Cont. )
Sources of trouble in gate level simulation ( Cont. )Issues when running SDF based gate level simulation
Effects of timing failures : error messages can being caused by a false timing violationExpected Timing Violations
Synchronizers for clock domain crossingsMulti-cycle paths
GuidelineWhile some of the problems can be avoided through proper influence on your library vendor’s models or proper design guidelines and planning, other problems will simply need to be addressed as they are encounteredBy knowing the areas where these problems can occur, we hope that you are able to more quickly find appropriate solutions
LG ElectronicsLG Electronics
Auto Placement & Route
FloorplanningNot practical nowJupiter ICCVirtual prototyping
LG ElectronicsLG Electronics
Auto Placement & Route (Cont.)
Tedious manualefforts reduction
Timing correlationat each step(parasitics/xtalk/power/ocv)
Zroute : QoR, Speed, DFM
LG ElectronicsLG Electronics
Importance of CTS
Ideal clock model vs. propagated clock model
An ideal clock model of timing simplifies the propagated clocks model of timing by assuming that the launch and capture clock paths have the same delayClock based design is itself often referred to as “synchronous design” even though there is nothing fundamentally synchronous about clock based design itself!
Role of CTSChip design begins in a ideal clock world but ends in a propagated clock worldsTransition between these two worlds
Balanced Clocks Design Flow
LG ElectronicsLG Electronics
Clocks Tree under 65nm
LG ElectronicsLG Electronics
Low power design
Power dissipation
LG ElectronicsLG Electronics
Low power design ( Cont. )
Power management spectrum
LG ElectronicsLG Electronics
Low Power Methodology Comparison
Low powerDesignmethods
Multi-VtLong
channel
Source/Backbias
Fine grainfooter
Coarse grain footer
DynamicVolt/Freq
Scaling(DVFS)
AVS
Multi-Vtlibrary
AVS IP,Level
Shifter
Dynamic & active
leakage
Dyn pwrReduction
(30%VDD)50%
(7%VDD)10~15%
10~20%
2%
no
RetentionExtra PG
yes
Activeleakage
2~10xbase
0%
no
yes
no
Cell with footer, retention
FF
Standbyleakage
10x
Area Overhead ~0% 2% 5%~15% 4%~6% 2%~3%+DNW 2%~5%
PerformancePenalty
no no 2%~4% 2%~4% 2%~4% no
Data Retention yes yes
yes (HVT),retention FF
Retention FFExtra PG
Retention FFExtra PG
yesVCCmin
VoltageRegulatorneeded
no yes no no
Tapless library, ta
p cell
no
Activeleakage
IEM,Single heigh
tlevel shifter
yes
Dynamic & activeLeakage
StandbyLeakage
60x ~ 120x 3x2x~10x
Coarse grainheader
TSMC lowpower library & IP
Long channel
library
Header/footer switch,mother-daughter switch,
switch for always_on rows, retention FF, isolation cell
PowerReduction
Activeleakage
Standbyleakage
LeakageReduction
7%~15% 30x ~ 60x
LG ElectronicsLG Electronics
Agenda
General SoC Design Flow and GuideDFT & Design FlowDFT FlowConclusion
LG ElectronicsLG Electronics
DFT Strategy : Design specification step
Digital coreScan
Fault modelsHierarchical approachesCompressionMulti-modePower/Test timeTest clock
MBISTRTL vs netlist level insertion# of controllerPowerTAP or TAPlessTest clock
IODigital IO boundary scanAnalog IOHigh speed IOSERDES Tx/Rx
Ad-hocIsolation testBISTMulti-site testParallel test
ATETest optionsMemory capacityAvailable signaling speedVector formatBoard design
System level testGo/no-go testHW/SW preparation
LG ElectronicsLG Electronics
DFT Rule Check : RTL design step
Clock rulesDo not switch on both edges of a clockDo not use data as clocksNo "ANDing" of generated clocksNo logic in common with clock and data
Asynchronous rulesActive phase of all set and reset pins buffer connected to the same root level pin must be the same levelDo not use flip-flops with both asynchronous set & resetSet and reset lines on the same flip-flop should not be simultaneously active
Latch rulesDo not use latches unless transparent during power ground modeNo combinational loops from transparent latchesNo synchronous latches
Tri-state rulesDo not infer tristatecomponentsTristatebuses should have a pull-up or pull-down connectionTristatebus enables must be fully decoded so that exactly one driver is active at any time
LG ElectronicsLG Electronics
Scan clocking
Stuck-at
At-speed
LG ElectronicsLG Electronics
Multiple scan clock
• Advantages- Compact test patterns- Short ATPG run time
• Disadvantages- Careful clock analysis- CTS for test mode- No flexibility
• Advantages- Safest approach- Flexibility
• Disadvantages- More sharing pins- Large patterns- Huge ATPG run time
to reduce pattern size
LG ElectronicsLG Electronics
Clock Domain Crossing
Scan shift modeLock-up latch/Skew clock
Capture modeClock domain Analysis : False path, Multi-cycle path, CDCCapture by clock/Capture by clock group/Fine CTS for test clocks
holdshift capture reshift shift
1ns
1. RTZ
2. RTZ
3. RTZ
4. RTZ
5. RTZ
6. RTZ
7.DN RZ
DEFAULT_TS DEFAULT_TS HOLD_TS HOLD_TS LAUNCH_TS CAPTURE_TS LAUNCH_TS CAPTURE_TS LAUNCH_TS CAPTURE_TS LAUNCH_TS CAPTURE_TS LAUNCH_TS CAPTURE_TS LAUNCH_TS CAPTURE_TS DEFAULT_TS DEFAULT_TS DEFAULT_TS
LG ElectronicsLG Electronics
Design constraints : Synthesis/P&R/STA
At least 3 design constraintsare required
Scan shift/Scan captureMBIST
Clock definitionTest clock and function clockFalse path, multi-cycle pathOn chip clockingTest modes
Hold timing violationHuge area overhead
MCMM is mandatory
Iterate, one mode/corner at a time, hoping next run doesn’t break previous
Synthesis/P&R
mode1.sdc
Synthesis/P&R
mode2.sdc
Synthesis/P&R
mode3.sdc
Manually merge constraints and manage overlaps, conflicts, etc
mode1.sdc
mode2.sdc
mode3.sdc
merge.sdc?
LG ElectronicsLG Electronics
Agenda
General SoC Design Flow and GuideDFT & Design FlowDFT FlowConclusion
LG ElectronicsLG Electronics
DFT Flow in Real Fields
Source from TSMC
LG ElectronicsLG Electronics
Scan Compression
Source from MentorGraphics
LG ElectronicsLG Electronics
Physically Aware ATPG
Slack-aware tests : Small delay defectsATPG selects observation path with lowest slack
Layout-aware testsBridge defects, single via defects, crosstalk defects
Source from Magma
LG ElectronicsLG Electronics
Low Power Test
Problem : ScanTypical ATPG will target as many faults as possibleMay cause a large number of flip-flops (FF) togglingMay exceed the design power intent or limitsMay cause unintended ATE failures, or worse, product damage
Problem : MBISTTypical MBIST will target as many memories as possible
SolutionClock gating (ATPG and DFT)Low toggling (ATPG or DFT)Trade-off between test time and power consumption (MBIST )Dynamic power analysis for test modes
LG ElectronicsLG Electronics