36
 k     u    d     a     y   k     u    m    a   r   4     5     0      @      g   m    a    i    l    .  c    o   m   

Start Up with Xilinx.ppt

  • Upload
    pavani

  • View
    5

  • Download
    0

Embed Size (px)

Citation preview

  • [email protected]

  • To start the Xilinx ISE Project Manager, simply open the Xilinx folder and then double click theXilinx ISE 9.2iicon as illustrated below.

  • NEXTYou will find a window Tip of the DayClick on OK button

  • This will present you with the following windows:

  • For this lab, we wish to create a new project, so selectFile -> New Project. This will bring up the following window:

  • And then click on Next Give the Project Name

  • Set the parameters as the following.Then click Next on the coming dialog boxes and finally click Finish.

  • Click on Next

  • Click on Next

  • Click on Finish

  • Once, you click theFinishbutton, the following ISE Project Manager Window will appear:Select Behavioral Simulation

  • First Right Click on the deviceNext Select New Source

  • Give file nameClick on Next Select VHDL Module

  • And then click on Next First give Input ,Output ports

  • Click on finish

  • First write the codeNext SAVE

  • For Test Bench WaveformFirst Right Click on the filenameNext Select New Source

  • then click NEXT on the coming dialog boxes and finally click Finish.Give the file name for test bench andSelect Test Bench Waveform

  • Click on Next

  • Click on Finish

  • Disable GSR OptionNext Click on Finish

  • Set the input values and then SAVE ,you will find this test bench file

  • NEXT for SimulationClick on Xilinx ISE Simulator-Simulate Behavioral Model

  • For Synthesis Select this option

  • For RTL Schematic Select this optionBy Double clicking on this we get the internal circuit

  • This is internal circuit

  • For Synthesis Report Select this option

  • For Design Summary Select this option

  • Programming the FPGAAfter pin assignment, we generate configuration data to program the FPGA.InSourceswindow, select the main file of your project (count_mem_verilog.v or count_mem_vhdl.vhd) and then inProcesseswindow, right click onGenerate Programming Fileand selectStartup Options.SetFPGA Start-UpClocktoJTAG Clock.ClickOK.http://www.ece.uvic.ca/~ceng450l/lab/lab1p1.html

  • Turn on the board.Double click onConfigure Device (iMPACT)inProcesseswindow.

  • ClickFinish.Choosecount_mem.bit, clickOpen.Right click onXilinxicon, selectProgram, clickOK.

  • If the FPGA is programmed correctly you should see the following window.

  • Test your design

    The clock signal is a 3-Hz, 0-3.3 V square wave generated by function generator. Check the voltage level of clock signal on the oscilloscope to make sure that the clock pulse is correct. Wrong clock signal may damage the board.Resetandensignals are connected to BTN1 and SW1, respectively.Only for VHDL Users

    Some VHDL statements are not synthesizable. This subset of VHDL can not be converted to hardware and is used only in behavioral simulation. Here is a non-synthesizable version of counter: "Counter.vhd". Download it and run the behavioral simulation. Then, try to synthesis the Count_Mem_VHDL again with the new counter. Explain the error reported by ISE.

  • [email protected]