7
Abstract In this project we are going to DESIGN AND IMPLEMENT DIGITAL CLOCK. In this project we are designing and implementing the digital clock with discrete components using counters, timers, decoders and gates. We take display on the seven- segment. Introduction: A digital clock is a type of clock that displays the time digitally. Digital clocks typically use the 50 or 60 hertz oscillation of AC power or a 32,768 hertz crystal oscillator as in a quartz clock to keep time. Most digital clocks display the hour of the day in 24 hour format or more commonly used hour sequence is 12 hour format (with some indication of AM or PM). In our project we use an oscillator to produce the clock and we display the clock in 24 hours format. We also introduce the time setting option to this clock for this we have two buttons one for the minutes and the other for the hours. To represent the time, most digital clocks use a seven-segment LED, VFD, or LCD display for each of four digits. In our project we display the time on the seven-segment. Circuit Description & Operation: The clock cycle in our circuit is generated through Timer 555. It is wired as an astable multivibrator to generate clock signal for the 4-bit counter 74160. The time duration of IC1 can be adjusted by varying the value of resistor R1, resistor R2, or capacitor C2 of the clock circuit. The ‘on’ time duration T is given by the following relationship: T = 0.695C2(R1+R2) We generate the clock cycle of 10Hz so for this we choose the value of 10K ohm for both resistors and the capacitor valued 4.7uF

TTL Digital Clock__

  • Upload
    yampire

  • View
    1.539

  • Download
    8

Embed Size (px)

DESCRIPTION

design and implementation of Digital Clock using Discrete components

Citation preview

Page 1: TTL Digital Clock__

Abstract

In this project we are going to DESIGN AND IMPLEMENT DIGITAL CLOCK. In this project we are designing and implementing the digital clock with discrete components using counters, timers, decoders and gates. We take display on the seven-segment.

Introduction:A digital clock is a type of clock that displays the time digitally. Digital clocks typically use the 50 or 60 hertz oscillation of AC power or a 32,768 hertz crystal oscillator as in a quartz clock to keep time. Most digital clocks display the hour of the day in 24 hour format or more commonly used hour sequence is 12 hour format (with some indication of AM or PM). In our project we use an oscillator to produce the clock and we display the clock in 24 hours format. We also introduce the time setting option to this clock for this we have two buttons one for the minutes and the other for the hours. To represent the time, most digital clocks use a seven-segment LED, VFD, or LCD display for each of four digits. In our project we display the time on the seven-segment.

Circuit Description & Operation:The clock cycle in our circuit is generated through Timer 555. It is wired as an astable multivibrator to generate clock signal for the 4-bit counter 74160. The time duration of IC1 can be adjusted by varying the value of resistor R1, resistor R2, or capacitor C2 of the clock circuit. The ‘on’ time duration T is given by the following relationship:

T = 0.695C2(R1+R2)

We generate the clock cycle of 10Hz so for this we choose the value of 10K ohm for both resistors and the capacitor valued 4.7uFAfter applying the clock cycle to the synchronous four bit counter 74LM160, the counter counts the cycle and gives the output the another synchronous four bit counter. As the counters are decade counter so these counters counts up to ten and then give signal to the other counter in this way the minutes are generated and for resetting the minutes we have applied the three input NAND gates. For example when the minutes first display reaches to the 9 value it resets the decade counter and when the minute’s first display goes to value 7 it resets the decade counter, actually we doesn’t see the value 7 because as it reaches the value 7 it instantly reseted and we can’t see it on display. Similarly for the hour’s second display the counter is reseted after the value 9 first time and after 4 second time, whereas the hour’s first display is reseted after the value 2.

Components Description:

LM555:

The LM555 is a highly stable device for generating accurate time delays or oscillation. Additional terminals are provided for triggering or resetting if desired.

Page 2: TTL Digital Clock__

In the time delay mode of operation, the time is precisely controlled by one external resistor and capacitor. For astable operation as an oscillator, the free

running frequency and duty cycle are accurately controlled with two external resistors and one capacitor. The circuit may be triggered and reset on falling waveforms, and the output circuit can source or sink up to 200mA or drive TTL circuits.

74160 synchronous counter:

74160 synchronous counters so their outputs change precisely together on each clock pulse. This is helpful if you need to connect their outputs to logic gates because it avoids the glitches which occur with ripple counters. For normal operation (counting) the reset, preset, count enable and carry in inputs should all be high. When count enable is low the clock input is ignored and counting stops.

7410: triple input NAND gate

7420: quad input NAND

7447: BCD to seven segment Decoder

74LM47 is an IC used to covert BCD to the Seven segment. The ic diagram is given below inputs to this are applied at pins 1,2,6 and 7. The output pins are

Page 3: TTL Digital Clock__

9,10,11,12,13,14 and 15. These output pins are connected to the seven segment.

The function table for the IC is given below:

Page 4: TTL Digital Clock__

Common Anode seven segment

Hardware Circuitry:The hardware circuit of this project is very complex and we find many problems in assembling the circuit physically as it seems the network of hundreds of wires just on three breadboards and just one wrong connection can ruin entire circuitry. In our experience we set up the circuitry 5 times moreover we have buy two breadboards in addition to our one breadboard but finally we got it working, here are the some pics of our hardware.

Page 5: TTL Digital Clock__

Software Simulation:The circuit is also simulated on the Proteus; circuit diagram of the digital clock is on next page

To download the circuit diagram click the link given below the Project Report

For more visit www.booknstuff.com