UNIT-1 2nd part

Embed Size (px)

DESCRIPTION

Instruction Codes

Citation preview

  • Govind Murari UpadhyayIINTM, Janakpuri* Computer ArchitectureBasic Computer Organization and Design UNIT-1

    Govind Murari UpadhyayIINTM, Janakpuri

  • *5-1 Instruction CodesA process is controlled by a programA program is a set of instructions that specify the operations, data, and the control sequenceAn instruction is stored in binary code that specifies a sequence of microoperationsInstruction codes together with data are stored in memory (Stored Program Concept)

  • *5-1 Instruction Codes cont.A computer instruction is a binary code that specifies a sequence of microoperations the computer. Each computer has its unique instruction setInstruction codes and data are stored in memoryThe computer reads each instruction from memory and places it in a control registerThe control unit interprets the binary code of the instruction and proceeds to execute it by issuing a sequence of micro-operations

  • *5-1 Instruction Codes cont.An Instruction code is a group of bits that instructs the computer to perform a specific operation (sequence of microoperations). It is divided into parts (basic part is the operation part)The operation code of an instruction is a group of bits that defines certain operations such as add, subtract, shift, and complement

  • *5-1 Instruction Codes cont.The number of bits required for the operation code depends on the total number of operations available in the computer2n (or little less) distinct operations n bit operation code

  • *5-1 Instruction Codes cont.110010??????????Op codeControl UnitRead instruction from memoryIts an ADD operationMemory

  • *5-1 Instruction Codes cont.An operation must be performed on some data stored in processor registers or in memoryAn instruction code must therefore specify not only the operation, but also the location of the operands (in registers or in the memory), and where the result will be stored (registers/memory)

  • *5-1 Instruction Codes cont.Memory words can be specified in instruction codes by their addressProcessor registers can be specified by assigning to the instruction another binary code of k bits that specifies one of 2k registersEach computer has its own particular instruction code formatInstruction code formats are conceived by computer designers who specify the architecture of the computer

  • *5-1 Instruction Codes cont.Stored Program OrganizationAn instruction code is usually divided into operation code, operand address, addressing mode, etc.The simplest way to organize a computer is to have one processor register (accumulator AC) and an instruction code format with two parts (op code, address)

  • *5-1 Instruction CodesStored Program Organization cont.OpcodeAddressInstruction FormatBinary OperandOperands (data)Processor register (Accumulator AC)

    Memory 4096x16 1512110150Instructions (program)1500 15

  • There are three Addressing Modes used for address portion of the instruction code:2. Address is selected in memory and its Data placed on the bus to be loaded into the Data Register to be used for requested instructionsOccurs When the Operand Part Contains the Address of Needed Data.1. Address part of IR is placed on the bus and loaded back into the ARDirect Adress

  • Direct address

  • Indirect address3. New Address is selected in memory and placed on the bus to be loaded into the DR to use later2. Address is selected in memory and placed on the bus to be loaded Back into the AROccurs When the Operand Contains the Address of the Address of Needed Data.1. Address part of IR is placed on the bus and loaded back into the AR

  • Indirect address

  • Effective address: Effective address: Address where an operand is physically located

    Effective address: 457 Effective address: 1350

  • Direct and Indirect addressing exampleAddressing Mode

  • *5-2 Computer RegistersComputer instructions are normally stored in consecutive memory locations and executed sequentially one at a timeThe control reads an instruction from a specific address in memory and executes it, and so onThis type of sequencing needs a counter to calculate the address of the next instruction after execution of the current instruction is completed

  • *5-2 Computer Registers cont.It is also necessary to provide a register in the control unit for storing the instruction code after it is read from memoryThe computer needs processor registers for manipulating data and a register for holding a memory address

  • Accumulator(AC) : takes input from ALUThe ALU takes input from DR, AC and INPR : ADD DR to AC, AND DR to AC Note) Input register is not connected to the bus.The input register is connected only to the ALU

    Computer Registers

  • 5-2 Computer RegistersData Register(DR) : hold the operand(Data) read from memory

    Accumulator Register(AC) : general purpose processing register

    Instruction Register(IR) : hold the instruction read from memory

    Temporary Register(TR) : hold a temporary data during processing

    Address Register(AR) : hold a memory address, 12 bit width

  • 5-2 Computer RegistersProgram Counter(PC) : hold the address of the next instruction to be read from memory after the current instruction is executedInstruction words are read and executed in sequence unless a branch instruction is encounteredA branch instruction calls for a transfer to a nonconsecutive instruction in the programThe address part of a branch instruction is transferred to PC to become the address of the next instructionTo read instruction, memory read cycle is initiated, and PC is incremented by one(next instruction fetch)

  • 5-2 Computer RegistersInput Register(INPR) : receive an 8-bit character from an input deviceOutput Register(OUTR) : hold an 8-bit character for an output device

  • *List of BC RegistersDR 16 Data Register Holds memory operandAR 12 Address Register Holds address for memoryAC 16 Accumulator Processor registerIR 16 Instruction Register Holds instruction codePC 12 Program Counter Holds address of instructionTR 16 Temporary Register Holds temporary dataINPR 8 Input Register Holds input characterOUTR 8 Output Register Holds output character

    Registers in the Basic Computer110PC150IR150TR70OUTR150DR150AC110ARINPR07Memory

    4096 x 16

  • *S2S1S0BusMemory unit

    4096 x 16LD INR CLRAddressReadWriteARLD INR CLRPCLD INR CLRDRLD INR CLRACAdderandlogic

    EINPRIRLDLD INR CLRTROUTRLDClock16-bit common bus7123456Computer Registers Common Bus System

  • *5-2 Computer RegistersCommon Bus System cont.S2S1S0: Selects the register/memory that would use the busLD (load): When enabled, the particular register receives the data from the bus during the next clock pulse transitionE (extended AC bit): flip-flop holds the carryDR, AC, IR, and TR: have 16 bits eachAR and PC: have 12 bits each since they hold a memory address

  • *5-2 Computer RegistersCommon Bus System cont.When the contents of AR or PC are applied to the 16-bit common bus, the four most significant bits are set to zerosWhen AR or PC receives information from the bus, only the 12 least significant bits are transferred into the registerINPR and OUTR: communicate with the eight least significant bits in the bus

  • *5-2 Computer RegistersCommon Bus System cont.INPR: Receives a character from the input device (keyboard,etc) which is then transferred to ACOUTR: Receives a character from AC and delivers it to an output device (say a Monitor)Five registers have three control inputs: LD (load), INR (increment), and CLR (clear)Register are binary counter with parallel load and synchronous clear

  • *5-2 Computer RegistersMemory AddressThe input data and output data of the memory are connected to the common busBut the memory address is connected to ARTherefore, AR must always be used to specify a memory addressBy using a single register for the address, we eliminate the need for an address bus that would have been needed otherwise

  • *5-2 Computer RegistersMemory Address cont.Register Memory: Write operation Memory Register: Read operation (note that AC cannot directly read from memory!!)Note that the content of any register can be applied onto the bus and an operation can be performed in the adder and logic circuit during the same clock cycle

  • *5-2 Computer RegistersMemory Address cont.The transition at the end of the cycle transfers the content of the bus into the destination register, and the output of the adder and logic circuit into the ACFor example, the two microoperationsDRAC and ACDR (Exchange) can be executed at the same timeThis is done by:

  • *5-2 Computer RegistersMemory Address cont.1- place the contents of AC on the bus (S2S1S0=100)2- enabling the LD (load) input of DR3- Transferring the contents of the DR through the adder and logic circuit into AC4- enabling the LD (load) input of ACAll during the same clock cycleThe two transfers occur upon the arrival of the clock pulse transition at the end of the clock cycle

  • *Memory-Reference Instructions (OP-code = 000 ~ 110)

    5-3 Computer InstructionsBasic Computer Instruction code format15 1412 110IOpcodeAddressRegister-Reference Instructions (OP-code = 111, I = 0)

    Input-Output Instructions(OP-code =111, I = 1)15 12 110Register operation0 1 1 115 12 110I/O operation1 1 1 1The basic computer has three instruction formats.The operation code part of the instruction contain three bits and the remaining 13 bits depends on the operation code encountered.

  • *BASIC COMPUTER INSTRUCTIONS Hex CodeSymbol I = 0 I = 1 DescriptionAND 0xxx 8xxx AND memory word to ACADD 1xxx 9xxx Add memory word to ACLDA 2xxx Axxx Load AC from memorySTA 3xxx Bxxx Store content of AC into memoryBUN 4xxx Cxxx Branch unconditionallyBSA 5xxx Dxxx Branch and save return addressISZ 6xxx Exxx Increment and skip if zero

    CLA 7800 Clear ACCLE 7400 Clear ECMA 7200 Complement ACCME 7100 Complement ECIR 7080 Circulate right AC and ECIL 7040 Circulate left AC and EINC 7020 Increment ACSPA 7010 Skip next instr. if AC is positiveSNA 7008 Skip next instr. if AC is negativeSZA 7004 Skip next instr. if AC is zeroSZE 7002 Skip next instr. if E is zeroHLT 7001 Halt computer

    INP F800 Input character to ACOUT F400 Output character from ACSKI F200 Skip on input flagSKO F100 Skip on output flagION F080 Interrupt onIOF F040 Interrupt off

  • *5-3 Computer Instructions Instruction Set CompletenessThe set of instructions are said to be complete if the computer includes a sufficient number of instructions in each of the following categories: Functional Instructions - Arithmetic, logic, and shift instructions - ADD, CMA, INC, CIR, CIL, AND, CLATransfer Instructions - Data transfers between the main memory and the processor registers - LDA, STAControl Instructions - Program sequencing and control - BUN, BSA, ISZInput/Output Instructions - Input and output - INP, OUT

  • *5-4 Timing & ControlThe timing for all registers in the basic computer is controlled by a master clock generatorThe clock pulses are applied to all flip-flops and registers in the system, including the flip-flops and registers in the control unitThe clock pulses do not change the state of a register unless the register is enabled by a control signal (i.e., Load)

  • *5-4 Timing & Control cont.The control signals are generated in the control unit and provide control inputs for the multiplexers in the common bus, control inputs in processor registers, and microoperations for the accumulatorThere are two major types of control organization:Hardwired controlMicroprogrammed control

  • *5-4 Timing & Control cont.In the hardwired organization, the control logic is implemented with gates, flip-flops, decoders, and other digital circuits.In the microprogrammed organization, the control information is stored in a control memory (if the design is modified, the microprogram in control memory has to be updated)D3T4: SC0

  • *IThe Control Unit for the basic computer Hardwired Control Organization Instruction register (IR)1514 13 1211 - 03 x 8

    decoder 7 6 5 4 3 2 1 0Control

    logic

    gatesD015 14 . . . . 2 1 04 x 16

    Sequence decoder4-bit

    sequence

    counter

    (SC)Increment (INR)Clear (CLR)ClockOther inputsControloutputs

    DTT7150

  • *- Generated by 4-bit sequence counter and 4x16 decoder- The SC can be incremented or cleared.

    - Example: T0, T1, T2, T3, T4, T0, T1, . . . Assume: At time T4, SC is cleared to 0 if decoder output D3 is active.D3T4: SC 0

  • *5-4 Timing & Control cont.A memory read or write cycle will be initiated with the rising edge of a timing signalAssume: memory cycle time < clock cycle time!So, a memory read or write cycle initiated by a timing signal will be completed by the time the next clock goes through its positive edgeThe clock transition will then be used to load the memory word into a registerThe memory cycle time is usually longer than the processor clock cycle wait cycles

  • *5-4 Timing & Control cont.T0: ARPCTransfers the content of PC into AR if timing signal T0 is activeT0 is active during an entire clock cycle intervalDuring this time, the content of PC is placed onto the bus (with S2S1S0=010) and the LD (load) input of AR is enabledThe actual transfer does not occur until the end of the clock cycle when the clock goes through a positive transitionThis same positive clock transition increments the sequence counter SC from 0000 to 0001The next clock cycle has T1 active and T0 inactive

  • *5-5 Instruction CycleA program is a sequence of instructions stored in memoryThe program is executed in the computer by going through a cycle for each instruction (in most cases)Each instruction in turn is subdivided into a sequence of sub-cycles or phases

  • *5-5 Instruction Cycle cont.Instruction Cycle Phases:1- Fetch an instruction from memory2- Decode the instruction3- Read the effective address from memory if the instruction has an indirect address4- Execute the instructionThis cycle repeats indefinitely unless a HALT instruction is encountered

  • *5-5 Instruction Cycle Fetch and DecodeInitially, the Program Counter (PC) is loaded with the address of the first instruction in the programThe sequence counter SC is cleared to 0, providing a decoded timing signal T0After each clock pulse, SC is incremented by one, so that the timing signals go through a sequence T0, T1, T2, and so on.

  • *5-5 Instruction Cycle Fetch and Decode cont.T0: ARPC(this is essential!!)The address of the instruction is moved to AR.

    T1: IRM[AR], PCPC+1The instruction is fetched from the memory to IR , and the PC is incremented.

    T2: D0,, D7Decode IR(12-14), ARIR(0-11), IIR(15)

  • *Fig: Register Transfers for Fetch PhaseT0: AR PC (S2S1S0=010, T0=1)T1: IR M [AR], PC PC + 1 (S2S1S0=111, T1=1)T2: D0, . . . , D7 Decode IR(12-14), AR IR(0-11), I IR(15)S2S1S0Bus7Memory

    unitAddressReadARLDPCINRIRLDClock125Common busT1T0

  • *= 0 (direct)D'7IT3:AR M[AR]D'7I'T3:NothingD7I'T3:Execute a register-reference instr.D7IT3:Execute an input-output instr.StartSC 0ARPCT0IRM[AR],PCPC + 1T1ARIR(0-11),IIR(15)Decode Opcode in IR(12-14),T2D7= 0 (Memory-reference)(Register or I/O) = 1IIExecute

    register-reference

    instruction

    SC0Execute

    input-output

    instruction

    SC0M[AR]ARNothing= 0 (register)(I/O) = 1(indirect) = 1T3T3T3T3Execute

    memory-reference

    instructionSC0T4DETERMINE THE TYPE OF INSTRUCTIONFig: Flowchart of Instruction Cycle

  • *REGISTER REFERENCE INSTRUCTIONSr = D7 I T3 => Register Reference InstructionBi = IR(i) , i=0,1,2,...,11, the ith bit of IR.- D7 = 1, I = 0- Register Ref. Instr. is specified in B0 ~ B11 of IR- Execution starts with timing signal T3

    Register Reference Instructions are identified whenr:SC 0CLArB11:AC 0CLErB10:E 0CMArB9:AC ACCMErB8:E ECIRrB7:AC shr AC, AC(15) E, E AC(0)CILrB6:AC shl AC, AC(0) E, E AC(15)INCrB5:AC AC + 1SPArB4:if (AC(15) = 0) then (PC PC+1)SNArB3:if (AC(15) = 1) then (PC PC+1)SZArB2:if (AC = 0) then (PC PC+1)SZErB1:if (E = 0) then (PC PC+1)HLTrB0:S 0 (S is a start-stop flip-flop)

  • *AND to ACD0T4:DR M[AR]Read operandD0T5:AC AC DR, SC 0AND with ACADD to ACD1T4:DR M[AR]Read operandD1T5:AC AC + DR, E Cout, SC 0Add to AC and store carry in E

    - The effective address of the instruction is in AR and was placed there during timing signal T2 when I = 0, or during timing signal T3 when I = 1- Memory cycle is assumed to be short enough to be completed in a CPU cycle- The execution of MR Instruction starts with T4SymbolOperationDecoderSymbolic DescriptionAND D0 AC AC M[AR]ADD D1 AC AC + M[AR], E CoutLDA D2 AC M[AR]STA D3 M[AR] ACBUN D4 PC ARBSA D5 M[AR] PC, PC AR + 1ISZ D6 M[AR] M[AR] + 1, if M[AR] + 1 = 0 then PC PC+15.6 MEMORY REFERENCE INSTRUCTIONS

  • *MEMORY REFERENCE INSTRUCTIONScont. Memory, PC after execution210BSA135Next instructionSubroutine20Return address: PC = 21AR = 1351361BUN135 Memory, PC, AR at time T40BSA135Next instructionSubroutine2021135PC = 1361BUN135MemoryMemoryLDA: Load to ACD2T4:DR M[AR]D2T5:AC DR, SC 0STA: Store ACD3T4:M[AR] AC, SC 0BUN: Branch UnconditionallyD4T4:PC AR, SC 0BSA: Branch and Save Return AddressM[AR] PC, PC AR + 1

  • *BSA: executed in a sequence of two micro-operations:D5T4:M[AR] PC, AR AR + 1D5T5:PC AR, SC 0

    ISZ: Increment and Skip-if-ZeroD6T4:DR M[AR]D6T5:DR DR + 1D6T6:M[AR] DR, if (DR = 0) then (PC PC + 1), SC 0

    Memory Reference Instructionscont.

  • *Memory-reference instructionDR M[AR]DR M[AR]DR M[AR]M[AR] AC

    SC 0ANDADDLDASTAAC AC DR

    SC

  • *5-7 Input-Output and InterruptInstructions and data stored in memory must come from some input deviceComputational results must be transmitted to the user through some output deviceFor the system to communicate with an input device, serial information is shifted into the input register INPRTo output information, it is stored in the output register OUTR

  • *5-7 Input-Output and Interruptcont.Input-output

    terminalSerial

    communication

    interfaceComputer

    registers and

    flip-flopsPrinterKeyboardReceiver

    interfaceTransmitter

    interfaceFGOOUTRACINPRFGISerial Communications PathParallel Communications Path

  • *5-7 Input-Output and Interruptcont.INPR and OUTR communicate with a communication interface serially and with the AC in parallel. They hold an 8-bit alphanumeric informationI/O devices are slower than a computer system we need to synchronize the timing rate difference between the input/output device and the computer.FGI: 1-bit input flag (Flip-Flop) aimed to control the input operation

  • *5-7 Input-Output and Interrupt cont.FGI is set to 1 when a new information is available in the input device and is cleared to 0 when the information is accepted by the computerFGO: 1-bit output flag used as a control flip-flop to control the output operationIf FGO is set to 1, then this means that the computer can send out the information from AC. If it is 0, then the output device is busy and the computer has to wait!

  • *5-7 Input-Output and Interruptcont.The process of input information transfer:Initially, FGI is cleared to 0An 8-bit alphanumeric code is shifted into INPR (Keyboard key strike) and the input flag FGI is set to 1As long as the flag is set, the information in INPR cannot be changed by another data entryThe computer checks the flag bit; if it is 1, the information from INPR is transferred in parallel into AC and FGI is cleared to 0Once the flag is cleared, new information can be shifted into INPR by the input device (striking another key)

  • *5-7 Input-Output and Interruptcont.The process of outputting information:Initially, the output flag FGO is set to 1The computer checks the flag bit; if it is 1, the information from AC is transferred in parallel to OUTR and FGO is cleared to 0The output accepts the coded information (prints the corresponding character)When the operation is completed, the output device sets FGO back to 1The computer does not load a new data information into OUTR when FGO is 0 because this condition indicates that the output device is busy to receive another information at the moment!!

  • *Input-Output InstructionsNeeded for:Transferring information to and from AC registerChecking the flag bitsControlling the interrupt facilityThe control unit recognize it when D7=1 and I = 1The remaining bits of the instruction specify the particular operationExecuted with the clock transition associated with timing signal T3Input-Output instructions are summarized next

  • *D7IT3 = pIR(i) = Bi, i = 6, , 11INPpB11:AC(0-7) INPR, FGI 0Input char. to AC OUTpB10:OUTR AC(0-7), FGO 0Output char. from AC SKIpB9:if(FGI = 1) then (PC PC + 1)Skip on input flag SKOpB8:if(FGO = 1) then (PC PC + 1) Skip on output flagIONpB7:IEN 1Interrupt enable onIOFpB6:IEN 0Interrupt enable off Input-Output Instructions

  • *Program InterruptThe process of communication just described is referred to as Programmed Control TransferThe computer keeps checking the flag bit, and when it finds it set, it initiates an information transform (this is sometimes called Polling)This type of transfer is in-efficient due to the difference of information flow rate between the computer and the I/O deviceThe computer is wasting time while checking the flag instead of doing some other useful processing taskAn alternative to the programmed controlled procedure is to let the external device inform the computer when it is ready for the transferThis type of transfer uses the interrupt facility

  • *Program Interruptcont.While the computer is running a program, it does not check the flagsInstead:When a flag is set, the computer is immediately interrupted from proceeding with the current programThe computer stops what it is doing to take care of the input or output transferThen, it returns to the current program to continue what it was doing before the interruptThe interrupt facility can be enabled or disabled via a flip-flop called IENThe interrupt enable flip-flop IEN can be set and cleared with two instructions (IOF, ION):IOF: IEN 0 (the computer cannot be interrupted)ION: IEN 1 (the computer can be interrupted)

  • *Program Interruptcont.Another flip-flop (called the interrupt flip-flop R) is used in the computers interrupt facility to decide when to go through the interrupt cycle FGI and FGO are different here compared to the way they acted in an earlier discussion!! So, the computer is either in an Instruction Cycle or in an Interrupt CycleThe interrupt cycle is a hardware implementation of a branch and save return address operation (BSA)The return address available in PC is stored in a specific location where it can be found later when the program returns to the instruction at which it was interruptedThis location may be a processor register, a memory stack, or a specific memory location

  • *Program Interruptcont.For our computer, we choose the memory location at address 0 as a place for storing the return addressControl then inserts address 1 into PC: this means that the first instruction of the interrupt service routine should be stored in memory at address 1, or, the programmer must store a branch instruction that sends the control to an interrupt service routine!!

  • *IEN=0=1Program Interruptcont.R = Interrupt flip-flopStore return address

    =1=0in location 0

    M[0] PCBranch to location 1

    PC 1IEN 0 R 0

    Interrupt cycleInstruction cycleFetch and decode

    instructionsExecute

    instructionsR 1=1=1=0=0FGIFGORFlowchart for interrupt cycle

  • *Interrupt CycleThe fetch and decode phases of the instruction cycle must be : (Replace T0, T1, T2 R'T0, R'T1, R'T2 (fetch and decode phases occur at the instruction cycle when R = 0)Interrupt Cycle:RT0: AR 0, TR PCRT1: M[AR] TR, PC 0RT2: PC PC + 1, IEN 0, R 0, SC 0

  • cpe 252: Computer Organization*5-10 Design of Accumulator LogicCircuits associated with ACAll the statements that change the content of AC1616 8Adder and

    logic

    circuit

    16ACFrom DRFrom INPRControl

    gatesLDINRCLR16To busClockD0T5:AC AC DR AND with DRD1T5:AC AC + DR Add with DRD2T5:AC DR Transfer from DRpB11:AC(0-7) INPR Transfer from INPRrB9:AC AC ComplementrB7 :AC shr AC, AC(15) E Shift rightrB6 :AC shl AC, AC(0) E Shift leftrB11 :AC 0 ClearrB5 :AC AC + 1 Increment

    cpe 252: Computer Organization

  • *Gate structures for controlling the LD, INR, and CLR of ACACLDINRCLRClockTo bus16From Adder

    and Logic16ANDADDLDAINPRCOMSHRSHLINCCLRD0D1D2B11B9B7B6B5B11rpT5T55-10 Design of Accumulator Logiccont.

    ****