82
Video Processing Subsystem v2.1 製品ガ イ ド Vivado Design Suite PG231 2019 12 17 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に よっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきまし ては、必ず最新英語版をご参照ください。

Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

  • Upload
    others

  • View
    9

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1

製品ガイド

Vivado Design Suite

PG231 2019 年 12 月 17 日

この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

Page 2: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 2PG231 2019 年 12 月 17 日 japan.xilinx.com

目次

IP の概要

第 1 章: はじめに概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5機能一覧 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5アプリ ケーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6ラ イセンスおよび注文情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

第 2 章: 製品仕様規格 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7性能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7リ ソースの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8ポートの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9レジスタ空間 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13

第 3 章: コアを使用するデザイン一般的なデザイン ガイ ド ライン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27ク ロ ッキング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49リセッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

第 4 章: デザイン フローの手順コアのカスタマイズおよび生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50コアへの制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59シ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59合成およびインプリ メンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60

第 5 章: サンプル デザインの詳細Full Fledged のビデオ プロセッシング デザイン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61

付録 A: アップグレードVivado Design Suite でのアップグレード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73

付録 B: デバッグザイ リ ンクス ウェブサイ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74デバッグ ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75シ ミ ュレーシ ョ ンのデバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75ハードウェア デバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75インターフェイスのデバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

付録 C: アプリケーシ ョ ン ソフ トウェア開発ド ラ イバー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77相互依存性 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77アーキテクチャ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77

Page 3: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 3PG231 2019 年 12 月 17 日 japan.xilinx.com

使用法 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79

付録 D: その他のリソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80Documentation Navigator およびデザイン ハブ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81お読みください: 重要な法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82

Page 4: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 4PG231 2019 年 12 月 17 日 japan.xilinx.com Production 製品仕様

はじめに

Video Processing Subsystem は、 ハード ウェアおよびソフ トウェアにバンドルされたビデオ プロセッシング IP サブコアの集ま りで、 ビデオ プロセッシング パイプを抽象化した形で提供します。 エンド ユーザーは、 このサブシステムの複雑な構造を学ぶ必要がなく、 ビデオ プロセッシング コアとして容易に使用できます。 Video Processing Subsystem は、 スケーリ ング、 デインターレース、 色空間の変換/変更、 クロマ リサンプリ ング、 およびフレーム レート変換などのさまざまなプロセッシング ブロッ クの効果的な統合を実現します。

機能

• 1、 2、 または 4 ピクセル幅の AXI4-Stream ビデオ インターフェイス

• 大ビデオ解像度: 8k/30fps

• 実行時に複数の色空間 (RGB、 YUV 4:4:4、 YUV 4:2:2、YUV 4:2:0) に対応

• 各コンポーネン トで 8、 10、 12、 および 16 ビッ トに対応

• デインターレース : 32 ビッ トおよび 64 ビッ トのメモ リ アドレスをサポート

• スケーリ ング

• 色空間の変換と変更

• YUV 4:4:4、 YUV 4:2:2、 YUV 4:2:0 間のクロマ リサンプリ ング

• フレーム ド ロ ップ/フレーム リ ピートによるフレーム レート変換

IP の概要

この LogiCORE™ IP について

コアの概要

サポート される

デバイス ファ ミ リ (1)

UltraScale+™ ファ ミ リ 、

UltraScale アーキテクチャ、 Zynq®-7000、7 シ リーズ

サポート される

ユーザー インターフェイス

AXI4-Lite、 AXI4-Stream、 AXI-MM

リ ソース 表 2-1 参照

コアに含まれるもの

デザイン ファイル 暗号化された HLS C

サンプル デザイン Verilog

テス トベンチ なし

制約ファイル XDC

シ ミ ュレーシ ョ ン モデル

なし

サポート される

ソフ ト ウェア ド ラ イバー (2)

スタンドアロン、 Linux

テスト済みデザイン フロー (3)

デザイン入力 Vivado® Design Suite

シ ミ ュレーシ ョ ン

サポート されるシ ミ ュレータについては、

『Vivado Design Suite ユーザー ガイ ド : リ リー

ス ノート、 インス トールおよびライセンス』

を参照

合成 Vivado Design Suite

サポート

リ リース ノート

および既知の問題マスター アンサー レコード 65449

すべての Vivado IP 変更ログ

マスター Vivado IP 変更ログ: 72775

ザイ リ ンクス サポート ウェブ ページ

注記:1. サポート されているデバイスの一覧は、 Vivado IP カタログを参

照して ください。

2. スタンドアロン ド ライバーの詳細は、 Vitis ディ レク ト リ (<install_directory>/Vitis/<release>/data/embeddedsw/doc/xilinx_drivers.htm) を参照して ください。 Linux OS およびド ライ

バー サポートの情報は、 ザイ リ ンクス Wiki ページを参照してく

ださい。 3. サポート されているツールのバージ ョ ンは、

『Vivado Design Suite ユーザー ガイ ド : リ リース ノート、 インス

トールおよびライセンス』 を参照してください。

Page 5: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 5PG231 2019 年 12 月 17 日 japan.xilinx.com

第 1 章

はじめに

概要

Video Processing Subsystem は、 スケーリ ング、 デインターレース、 色空間の変換/変更、 クロマ リサンプ リ ング、 およびフレーム レート変換などのさまざまなプロセッシング ブロ ッ クの効果的な統合を実現します。

機能一覧

Video Processing Subsystem には次のよ うな機能があ り ます。

• 1、 2、 または 4 ピクセル幅のビデオ インターフェイス

• 実行時に複数の色空間 (RGB、 YUV 4:4:4、 YUV 4:2:2、 YUV 4:2:0) に対応

• 各コンポーネン トで 8、 10、 12、 および 16 ビッ トに対応

• デインターレース : 32 ビッ トおよび 64 ビッ ト メモ リ アドレスをサポート

• スケーリ ング

• 色空間の変換と変更

• YUV 4:4:4、 YUV 4:2:2、 YUV 4:2:0 間のクロマ リサンプリ ング

• フレーム レート変換

• 大 8,192 x 4,320 の解像度をサポート

Video Processing Subsystem は階層型 IP で、 複数のビデオ プロセッシング サブコアを 1 つの IP にまとめて出力します。 Video Processing Subsystem は、 設計時に性能および品質を指定できます。 ユーザーは、 単一のグラフ ィカル ユーザー インターフェイス (GUI) でサブシステム IP を設定できます。 図 4-1 に、 この GUI のプレビューを示します。

ビデオ プロセッシング IP サブコアはすべて Vivado HLS を使用して開発されています。

Page 6: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 6PG231 2019 年 12 月 17 日 japan.xilinx.com

第 1 章: はじめに

アプリケーシ ョ ン

• 色空間 (RGB/YUV) およびフォーマッ ト (YUV 4:4:4/4:2:2/4:2:0) 変換

• 30Hz で 大 8k4k までのスケール アップと スケール ダウン

• ユーザー指定ウ ィンド ウのズーム モードでは、 入力ス ト リームがパネル解像度に拡大/縮小される

• PIP (Picture-in-Picture) モードでは、 入力ス ト リームがユーザー指定のウ ィンド ウ サイズに縮小され、 パネル上のユーザー指定の座標に表示される

• PIP 背景を指定した色で塗る

• インターレース映像信号をプログレッシブ映像信号に変換

• フレーム レート変換

° 入力レートが出力レート よ り大きい場合はフレームを破棄

° 出力レートが入力レート よ り小さい場合はフレームを反復

ライセンスおよび注文情報

このザイ リ ンクス LogiCORE™ IP モジュールは、ザイ リ ンクス エンドユーザー ライセンス規約のも とザイ リ ンクス Vivado Design Suite を使用して追加コス ト なしで提供されています。 この IP およびその他のザイ リ ンクス LogiCORE IP に関する情報は、 ザイ リ ンクス IP コア ページから入手できます。 その他のザイ リ ンクス LogiCORE IP モジュールやツールの価格および提供状況については、 お近くのザイ リ ンクス販売代理店にお問い合わせください。

Page 7: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 7PG231 2019 年 12 月 17 日 japan.xilinx.com

第 2 章

製品仕様

規格

Video Processing Subsystem コアは、 AXI4-Stream ビデオ プロ ト コルおよび AXI4-Lite インターコネク ト規格に準拠しています。 詳細は、 『Vivado AXI リ ファレンス ガイ ド』 (UG1037) [参照 9] の 「ビデオ IP: AXI 機能の導入」 を参照してください。

性能

最大周波数

各ターゲッ ト デバイスの代表的なクロ ッ ク周波数は次のとおりです。

• Virtex®-7 デバイス (スピード グレード –2)、 Virtex UltraScale™、 および Virtex UltraScale+ デバイス (スピード グレード –2 以上): 300MHz

• Kintex®-7 デバイス (スピード グレード –2)、 Kintex UltraScale™、 および Kintex UltraScale+ デバイス (スピード グレード –2 以上): 300MHz

• UltraScale+ デバイス (スピード グレード -1 以上): 300MHz

• Artix®-7 デバイス (スピード グレード -2 以上): 150MHz

• Zynq®-7000 SoC デバイス (スピード グレード –2 および –1 以上): 200MHz

達成可能な 大クロ ッ ク周波数は一概には決ま り ません。 達成可能な 大クロ ッ ク周波数と リ ソース数は、 ツール オプシ ョ ン、 デバイスの追加ロジッ ク、 ザイ リ ンクス ツールのバージ ョ ンなど、 さまざまな要素によって異なり ます。

レイテンシ

Video Processing Subsystem のレイテンシはコアのコンフ ィギュレーシ ョ ンによって異なり ます。 一般に、 レイテンシは数ライン程度です。 たとえば、 RGB ビデオ上で動作する 6 タ ップ ポ リ フェーズ フ ィルターを用いる垂直スケーラーのレイテンシは、 4 ビデオ ラインとな り ます。

DMA を使用する Full Fledged コンフ ィギュレーシ ョ ンでは、 レイテンシは 1 つのフレーム全体分と複数ライン分の合計となり ます。 これは、 ビデオ DMA エンジンはデータフローで使用され、 書き込みフレーム バッファーの後段にあるフレーム バッファーを 1 つ読み出すよ うにプログラムされているためです。

インターレース ビデオでは、 デインターレース アルゴ リズムによって 1 フ ィールドの追加遅延が発生します。

Page 8: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 8PG231 2019 年 12 月 17 日 japan.xilinx.com

第 2 章: 製品仕様

Scaler Only モード

Scaler Only モードでは、 初のフレームが開始される と スケーラーが係数を AXI4-Lite インターフェイスからローカル RAM にコピーします。 これには約 500 サイクルかかり ます。 この後、 スケーラーは内部ライン バッファーへのデータ格納を開始できます。 ライン バッファーが約半分埋まる と、 スケーラーは出力の生成を開始します。 4:2:0 がサポート される場合は、 クロマ リサンプリ ング用のバッファーにもデータが入っている必要があ り ます。 安全策として、 スケーラーはレイテンシのライン数に垂直タップ数を使用します。 スケーラーはラインごとに記録する必要があるため、 約 20 サイクル間データを受信できません。 初のレイテンシは、 垂直タ ップ数を減らすこ とで短くできます。

リソースの使用

表 2-1 に、 この IP コアの一般的な リ ソース使用量をコンフ ィギュレーシ ョ ン別に示します。 表 2-1 の各行はテス ト ケースを表しています。 各列はテス ト パラ メーターごとの結果を示しています。 テス ト パラ メーターによ り、 コアのコンフ ィギュレーシ ョ ンが設定されます。 リ ス トにないコンフ ィギュレーシ ョ ン パラ メーターは、 デフォルト値とな り ます。

Vivado Design Suite のデフォルトの設定値が使用されています。 これらの値を修正し別の 適な設定値に変更すること もできます。 配置やタイ ミ ングは周辺回路の影響を受けるため、 よ り大規模なデザインでこれらの数値が再現可能かど うかは約束できません。

特記のない限り、 すべてのコンフ ィギュレーシ ョ ンは、 ク ロ ッ クあたり 4 サンプル ([Samples per Clock])、 10 ビッ トのデータ幅 ([Data Width])、 および 8192 x 4320 のフレーム サイズ向けに設定されています。

注記:1. 上記の数値は、 Vivado Design Suite 2016.3 でターゲッ ト デバイスを xczu7evffvc1156-2-e と して、 スピード ファ イル Production

1.12 2014-09-11 を使用して得られた結果です。

表 2-1: Video Processing Subsystem のリソース使用状況

コンフ ィギュレーシ ョ ン LUT FF DSP48 36k BRAM 18k BRAM

Full Fledged 48474 64628 244 120 30

Full Fledged

デインターレースは無効

DMA は除外

33183 62638 122 77 33

Scaler Only

RGB/YUV444/422/420 サポート

色空間変換は有効

12696 13087 176 64 10

Scaler Only

RGB/YUV 444 サポートのみ

9417 9127 144 50 2

Deinterlacing Only 5978 7441 0 30 5

Color Space Conversion Only

RGB/YUV 444 サポートのみ

デモ ウ ィンド ウは無効

2558 2674 0 0 0

420-422 Chroma Resampling Only 1719 2759 16 12 0

422-444 Chroma Resampling Only 2050 3214 32 0 0

Page 9: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 9PG231 2019 年 12 月 17 日 japan.xilinx.com

第 2 章: 製品仕様

ポートの説明

図 2-1 は、 Full Fledged コンフ ィギュレーシ ョ ンの Video Processing Subsystem を示しています。 IP は次の 4 つの AXI インターフェイスを使用します。

• AXI4-Stream ス ト リーミ ング ビデオ入力 (s_axis)

• AXI4-Stream ス ト リーミ ング ビデオ出力 (m_axis)

• AXI-MM メモ リ マップド インターフェイス (m_axi_mm)

• AXI4-Lite 制御インターフェイス (s_axi_ctrl)

AXI4-Stream、 AXI4-MM、 および AXI4-Lite の各インターフェイスはそれぞれ独自のクロ ッ ク レートで動作可能です。 したがって、 3 つの別々のクロ ッ ク インターフェイス (aclk_axis、 aclk_axi_mm、 および aclk_ctrl) が用意されています。 aresetn_ctrl 信号は IP のリセッ ト信号です。 aresetn_io_axis は、 Video Processing Subsystem がス ト リーミ ング入力のデータを使用する準備ができていないと きに IP を リセッ ト状態に保持するために使用可能な出力信号です。 deint_field_id 信号は、 インターレース動作におけるフ ィールド極性を示します。

AXI4-Stream ビデオ インターフェイス

Video Processing Subsystem には AXI4-Stream ビデオ入力インターフェイス (s_axis) および出力インターフェイス (m_axis) があ り ます。 これらのインターフェイスは、 『Vivado Design Suite: AXI リ ファレンス ガイ ド』 (UG1037) [参照 9] の 「ビデオ IP: AXI 機能の導入」 で定義したインターフェイス仕様に準拠します。 AXI4-Stream ビデオ インターフェイスは 1、 2、 または 4PPC (pixels per clock) が可能で、 8、 10、 12、 または 16BPC (bits per component) をサポートします。 表 2-2 ~表 2-6 に、 例と して、 カラー フォーマッ ト ごとのピクセル マッピングと 10BPC のバス信号を示します。

X-Ref Target - Figure 2-1

図 2-1: Full Fledged コンフ ィギュレーシ ョ ンの Video Processing Subsystem

表 2-2: 2PPC、 10BPC の場合の RGB のマップ

63:60 59:50 49:40 39:30 29:20 19:10 9:0

ゼロ パディング R1 B1 G1 R0 B0 G0

Page 10: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 10PG231 2019 年 12 月 17 日 japan.xilinx.com

第 2 章: 製品仕様

実行時にビデオ フォーマッ ト を YUV 4:2:0 または YUV 4:2:2 に設定した場合も、 この IP は常に 3 つのビデオ コンポーネン ト を生成します。 未使用のコンポーネン トは 0 に設定できます。 ビデオ ス ト リーミ ング インターフェイスはすべて 『AXI4-Stream Video IP およびシステム デザイン ガイ ド』 (UG934) [参照 8] で定義したインタレース仕様に準拠します。

表 2-7 に、 入力および出力 AXI4-Stream ビデオ ス ト リーミ ング インターフェイスの信号を示します。

表 2-3: 2PPC、 10BPC の場合の YUV 4:4:4 のマップ

63:60 59:50 49:40 39:30 29:20 19:10 9:0

ゼロ パディング V1 U1 Y1 V0 U0 Y0

表 2-4: 2PPC、 10BPC の場合の YUV 4:2:2 のマップ

63:60 59:50 49:40 39:30 29:20 19:10 9:0

ゼロ パディング ゼロ パディング ゼロ パディング V0 Y1 U0 Y0

表 2-5: 2PPC、 10BPC の場合の YUV 4:2:0 のマップ (偶数ライン)

63:60 59:50 49:40 39:30 29:20 19:10 9:0

ゼロ パディング ゼロ パディング ゼロ パディング V0 Y1 U0 Y0

表 2-6: 2PPC、 10BPC の場合の YUV 4:2:0 のマップ (奇数ライン)

63:60 59:50 49:40 39:30 29:20 19:10 9:0

ゼロ パディング ゼロ パディング ゼロ パディング ゼロ パディング Y1 ゼロ パディング Y0

表 2-7: AXI4 スト リーミング インターフェイス信号

名前 方向 幅 説明

s_axis_tdata 入力 floor(((3 x bits_per_component x pixels_per_clock) + 7) / 8) x 8

入力データ

s_axis_tready 出力 1 入力 Ready 信号

s_axis_tvalid 入力 1 入力 Valid 信号

s_axis_tid 入力 1 入力データ ス ト リーム識別子

s_axis_tdest 入力 1 入力データ転送先識別子

s_axis_tkeep 入力 (s_axis_video_tdata width)/8 TDATA の関連するバイ トの内容をデータ ス ト リームの一部と して処理するかどうかを示す入力バイ ト修飾子

s_axis_tlast 入力 1 入力ライン終了

s_axis_tstrb 入力 (s_axis_video_tdata width)/8 TDATA の関連するバイ トの内容をデータ バイ ト または位置バイ ト と して処理するかど うかを示す入力バイ ト修飾子

s_axis_tuser 入力 1 入力フレーム開始

m_axis_tdata 出力 floor(((3 x bits_per_component x pixels_per_clock) + 7) / 8) x 8

出力データ

m_axis_tdest 出力 1 出力データ転送先識別子

m_axis_tid 出力 1 出力データ ス ト リーム識別子

Page 11: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 11PG231 2019 年 12 月 17 日 japan.xilinx.com

第 2 章: 製品仕様

入出力両方のビデオ ス ト リーミ ング インターフェイスはビデオ ス ト リーム ク ロ ッ ク (aclk_axis) の速度で動作します。

AXI-MM (メモリ マップド ) インターフェイス

サブシステムの境界に存在するのが AXI4-MM インターフェイス 1 つだけとなるよ うに、 ビデオ DMA の読み出しポート と書き込みポートおよびデインターレースの読み出しポート と書き込みポートは AXI-MM ク ロスバー インターコネク トによ り集約されます。 AXI-MM インターフェイスは aclk_axi_mm ク ロ ッ ク ド メ インで動作します。これらの信号は、『Vivado Design Suite: AXI リ ファレンス ガイ ド』 (UG1037) [参照 9] で定義された仕様に準拠します。

AXI-MM インターフェイスは、 Full Fledged コンフ ィギュレーシ ョ ンおよび Deinterlacer Only コンフ ィギュレーシ ョンの場合にしかあ り ません。 その他のコンフ ィギュレーシ ョ ンでは、 外部メモ リへのアクセスが不要です。

Deinterlacing Only モードの場合、 MM インターフェイスのデータ幅は 32 に固定されているため、 IP は 大インターレース解像度 (1080i) を十分にサポートできます。

Full Fledged モードの場合、 [Enable Built-in DMA] がオンになっている と、 MM インターフェイスのデータ幅は 256 ビッ ト または 512 ビッ トのいずれかになり ます。

AXI4-Lite 制御インターフェイス

表 2-8 に、 AXI4-Lite 制御インターフェイスの信号を示します。 このインターフェイスは aclk_ctrl ク ロ ッ クで動作します。 ビデオ プロセッシング パイプの制御は、 Video Processing Subsystem ド ラ イバー経由でのみサポート されます。

注記: ビデオ プロセッシング パイプの制御は、 Video Processing Subsystem ド ラ イバー経由でのみサポート されます。レジスタ マップはデバッグの目的にのみ提供されます。

m_axis_tkeep 出力 (m_axis_video_tdata width)/8 TDATA の関連するバイ トの内容をデータ ス ト リームの一部と して処理するかどうかを示す出力バイ ト修飾子

m_axis_tlast 出力 1 出力ライン終了

m_axis_tready 入力 1 出力 Ready 信号

m_axis_tstrb 出力 (m_axis_video_tdata width)/8 TDATA の関連するバイ トの内容をデータ バイ ト または位置バイ ト と して処理するかど うかを示す出力バイ ト修飾子

m_axis_tuser 出力 1 出力フレーム開始

m_axis_tvalid 出力 1 出力 Valid 信号

表 2-8: AXI4-Lite 制御インターフェイス

名前 方向 幅 説明

s_axi_ctrl_awaddr 入力 20 書き込みアドレス

s_axi_ctrl_awprot 入力 3 書き込みアドレス保護

s_axi_ctrl_awvalid 入力 1 書き込みアドレスの Valid 信号

s_axi_ctrl_awready 出力 1 書き込みアドレスの Ready 信号

s_axi_ctrl_wdata 入力 32 書き込みデータ

s_axi_ctrl_wstrb 入力 4 書き込みデータのス ト ローブ

表 2-7: AXI4 スト リーミング インターフェイス信号 (続き)

名前 方向 幅 説明

Page 12: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 12PG231 2019 年 12 月 17 日 japan.xilinx.com

第 2 章: 製品仕様

クロックと リセッ ト

表 2-9 に、 クロ ッ ク と リセッ トの概要を示します。 詳細は、 第 3 章の 「ク ロ ッキング」 を参照してください。

フ ィールド極性

deint_field_id 信号は、 入力ビデオがインターレースされている場合の入力フ ィールドの極性を示します。 インターレース方式のデータを使用するデインターレーサーのみがこの信号を使用します。 この信号は、 プログレッシブ方式のビデオ入力では無視されます。

s_axi_ctrl_wvalid 入力 1 書き込みデータの Valid 信号

s_axi_ctrl_wready 出力 1 書き込みデータの Ready 信号

s_axi_ctrl_bresp 出力 2 書き込み応答

s_axi_ctrl_bvalid 出力 1 書き込み応答の Valid 信号

s_axi_ctrl_bready 入力 1 書き込み応答の Ready 信号

s_axi_ctrl_araddr 入力 20 読み出しアドレス

s_axi_ctrl_arprot 入力 3 読み出しアドレス保護

s_axi_ctrl_arvalid 入力 1 読み出しアドレスの Valid 信号

s_axi_ctrl_aready 出力 1 読み出しアドレスの Ready 信号

s_axi_ctrl_rdata 出力 32 読み出しデータ

s_axi_ctrl_rresp 出力 2 読み出しデータの応答信号

s_axi_ctrl_rvalid 出力 1 読み出しデータの Valid 信号

s_axi_ctrl_rready 入力 1 読み出しデータの Ready 信号

表 2-9: クロックと リセッ ト

名前 方向 幅 説明

クロック

aclk_axis 入力 1 AXI4-Stream 入力および出力の動作クロ ッ ク

aclk_ctrl 入力 1 CPU 制御インターフェイス用 AXI4-Lite ク ロ ッ ク

aclk_axi_mm 入力 1 AXI-MM インターフェイスの動作クロ ッ ク

リセッ ト

aresetn_ctrl 入力 1 aclk_ctrl に関連する リセッ ト (アクティブ Low)。aresetn_ctrl 信号によ り、 データパスおよび AXI4-Lite レジスタを含む IP 全体がリセッ ト されます。

aresetn_io_axis 出力 1 Video Processing Subsystem がス ト リーミ ング入力のデータを使用する準備ができていないと きに、 アップス ト リーム ロジッ クを リセッ ト状態に保持するために使用します (アクティブ Low)。

表 2-10: フ ィールド極性

名前 方向 幅 説明

deint_field_id 入力 1 フ ィールド極性: 奇数は Low、 偶数は High

表 2-8: AXI4-Lite 制御インターフェイス (続き)

名前 方向 幅 説明

Page 13: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 13PG231 2019 年 12 月 17 日 japan.xilinx.com

第 2 章: 製品仕様

レジスタ空間

このセクシ ョ ンでは、 VPSS サブシステムのレジスタ マップをモード別に説明します。

注記: ビデオ プロセッシング パイプの制御は、 Video Processing Subsystem ド ラ イバー経由でのみサポート されます。レジスタ マップはデバッグの目的にのみ提供されます。

Scaler Only モードのレジスタ

Scaler Only モードには、 コアの動作を動的に制御するためのレジスタがあ り ます。 表 2-11 に、 IP に対してグローバルに適用されるすべてのレジスタの詳細な説明を示します。

Scaler Only コンフ ィギュレーシ ョ ンは、 次のレジスタで設定可能な IP で構成されます。

1. 垂直方向スケーラー

2. 水平方向スケーラー

3. GPIO

AXI インターコネク トは、 スケーラーと GPIO の AXI4-Lite インターフェイスをサブシステム境界の AXI4-Lite インターフェイスに集約します。 GPIO ブロ ッ クは、 スケーラー サブシステムに対するソフ ト リセッ ト機能を提供します。

垂直方向スケーラー

次の表に、 Video Processing Subsystem の垂直方向スケーラー レジスタのレジスタ マップを示します。

表 2-11: 垂直方向スケーラー レジスタ

レジスタ 説明

0x000 制御信号

• ビッ ト 0 - ap_start (読み出し /書き込み/COH)• ビッ ト 1 - ap_done (読み出し /COR)• ビッ ト 2 - ap_idle (読み出し )• ビッ ト 3 - ap_ready (読み出し )• ビッ ト 7 - auto_restart (読み出し /書き込み)• その他 - 予約

0x004 グローバル割り込みイネーブル レジスタ

• ビッ ト 0 - グローバル割り込みイネーブル (読み出し /書き込み)• その他 - 予約

0x008 IP 割り込みイネーブル レジスタ (読み出し /書き込み)• ビッ ト 0 - チャネル 0 (ap_done)• ビッ ト 1 - チャネル 1 (ap_ready)• その他 - 予約

0x00c IP 割り込みステータス レジスタ (読み出し /TOW)• ビッ ト 0 - チャネル 0 (ap_done)• ビッ ト 1 - チャネル 1 (ap_ready)• その他 - 予約

Page 14: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 14PG231 2019 年 12 月 17 日 japan.xilinx.com

第 2 章: 製品仕様

水平方向スケーラー

次の表に、 Video Processing Subsystem の水平方向スケーラー レジスタのレジスタ マップを示します。

0x010 HwReg_HeightIn のデータ信号

• ビッ ト 15 ~ 0 - HwReg_HeightIn[15:0] (読み出し /書き込み)• その他 - 予約

0x014 予約

0x018 HwReg_Width のデータ信号

• ビッ ト 15 ~ 0 - HwReg_Width[15:0] (読み出し /書き込み)• その他 - 予約

0x01c 予約

0x020 HwReg_HeightOut のデータ信号

• ビッ ト 15 ~ 0 - HwReg_HeightOut[15:0] (読み出し /書き込み)• その他 - 予約

0x024 予約

0x028 HwReg_LineRate のデータ信号

• ビッ ト 31 ~ 0 - HwReg_LineRate[31:0] (読み出し /書き込み)

0x02c 予約

0x030 HwReg_ColorMode のデータ信号

• ビッ ト 7 ~ 0 - HwReg_ColorMode[7:0] (読み出し /書き込み)• その他 - 予約

0x034 予約

0x800 メモ リ 'HwReg_vfltCoeff' (64 * NR TAPS * 16b)ワード n: • ビッ ト [15: 0] - HwReg_vfltCoeff[2n]• ビッ ト [31:16] - HwReg_vfltCoeff[2n+1]

表 2-12: 水平方向スケーラー レジスタ

レジスタ 説明

0x000 制御信号

• ビッ ト 0 - ap_start (読み出し /書き込み/COH)• ビッ ト 1 - ap_done (読み出し /COR)• ビッ ト 2 - ap_idle (読み出し )• ビッ ト 3 - ap_ready (読み出し )• ビッ ト 7 - auto_restart (読み出し /書き込み)• その他 - 予約

0x004 グローバル割り込みイネーブル レジスタ

• ビッ ト 0 - グローバル割り込みイネーブル (読み出し /書き込み)• その他 - 予約

表 2-11: 垂直方向スケーラー レジスタ (続き)

レジスタ 説明

Page 15: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 15PG231 2019 年 12 月 17 日 japan.xilinx.com

第 2 章: 製品仕様

0x008 IP 割り込みイネーブル レジスタ (読み出し /書き込み)• ビッ ト 0 - チャネル 0 (ap_done)• ビッ ト 1 - チャネル 1 (ap_ready)• その他 - 予約

0x00c IP 割り込みステータス レジスタ (読み出し /TOW)• ビッ ト 0 - チャネル 0 (ap_done)• ビッ ト 1 - チャネル 1 (ap_ready)• その他 - 予約

0x010 HwReg_Height のデータ信号

• ビッ ト 15 ~ 0 - HwReg_HeightIn[15:0] (読み出し /書き込み)• その他 - 予約

0x014 予約

0x018 HwReg_WidthIn のデータ信号

• ビッ ト 15 ~ 0 - HwReg_Width[15:0] (読み出し /書き込み)• その他 - 予約

0x01c 予約

0x020 HwReg_WidthOut のデータ信号

• ビッ ト 15 ~ 0 - HwReg_HeightOut[15:0] (読み出し /書き込み)• その他 - 予約

0x024 予約

0x028 HwReg_ColorMode のデータ信号

• ビッ ト 7 ~ 0 - HwReg_ColorMode[7:0] (読み出し /書き込み)• その他 - 予約

0x02c 予約

0x030 HwReg_PixelRate のデータ信号

• ビッ ト 31 ~ 0 - HwReg_PixelRate[7:0] (読み出し /書き込み)

0x034 予約

0x038 HwReg_ColorModeOut のデータ信号

• ビッ ト 7 ~ 0 - HwReg_ColorModeOut[7:0] (読み出し /書き込み)• その他 - 予約

0x0400 ~

0x07ff メモ リ 'HwReg_hfltCoeff' (384 * 16b)ワード n: • ビッ ト [15: 0] - HwReg_hfltCoeff[2n]• ビッ ト [31:16] - HwReg_hfltCoeff[2n+1]

0x2000 メモ リ 'HwReg_phasesH_V' (1920 * 18b)ワード n: • ビッ ト [17: 0] - HwReg_phasesH_V[n]• その他 - 予約

表 2-12: 水平方向スケーラー レジスタ (続き)

レジスタ 説明

Page 16: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 16PG231 2019 年 12 月 17 日 japan.xilinx.com

第 2 章: 製品仕様

GPIO

Scaler Only モードの VPSS には 2 つのリセッ トがあ り ます。 そのうちの 1 つは、 VPSS 全体を リセッ トする外部ソースから駆動できます。 も う 1 つは、 Scaler Only モードの VPSS サブシステム内部にあ り、 GPIO をプログラムすることで制御できます。 内部リセッ トは、 vscaler、 hscaler、 ス ト リーム FIFO、 および出力用 aresetn_io_axis ピンのリセット を制御します。

詳細は、 『AXI GPIO LogiCORE IP 製品ガイ ド』 (PG144) [参照 11] を参照してください。

Color Space Conversion Only モードの場合

次の表に、Video Processing Subsystem が Color Space Conversion Only モードのレジスタのレジスタ マップを示します。

表 2-13: Color Space Conversion Only モードのレジスタ

レジスタ 説明

0x000 制御信号

• ビッ ト 0 - ap_start (読み出し /書き込み/COH)• ビッ ト 1 - ap_done (読み出し /COR)• ビッ ト 2 - ap_idle (読み出し )• ビッ ト 3 - ap_ready (読み出し )• ビッ ト 7 - auto_restart (読み出し /書き込み)• その他 - 予約

0x004 グローバル割り込みイネーブル レジスタ

• ビッ ト 0 - グローバル割り込みイネーブル (読み出し /書き込み)• その他 - 予約

0x008 IP 割り込みイネーブル レジスタ (読み出し /書き込み)• ビッ ト 0 - チャネル 0 (ap_done)• ビッ ト 1 - チャネル 1 (ap_ready)• その他 - 予約

0x00c IP 割り込みステータス レジスタ (読み出し /TOW)• ビッ ト 0 - チャネル 0 (ap_done)• ビッ ト 1 - チャネル 1 (ap_ready)• その他 - 予約

0x010 HwReg_InVideoFormat のデータ信号

• ビッ ト 7 ~ 0 - HwReg_InVideoFormat[7:0] (読み出し /書き込み)• その他 - 予約

0x014 予約

0x018 HwReg_OutVideoFormat のデータ信号

• ビッ ト 7 ~ 0 - HwReg_OutVideoFormat[7:0] (読み出し /書き込み)• その他 - 予約

0x01c 予約

0x020 HwReg_width のデータ信号

• ビッ ト 15 ~ 0 - HwReg_width[15:0] (読み出し /書き込み)• その他 - 予約

0x024 予約

Page 17: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 17PG231 2019 年 12 月 17 日 japan.xilinx.com

第 2 章: 製品仕様

0x028 HwReg_height のデータ信号

• ビッ ト 15 ~ 0 - HwReg_height[15:0] (読み出し /書き込み)• その他 - 予約

0x02C 予約

0x030 HwReg_ColStart のデータ信号

• ビッ ト 15 ~ 0 - HwReg_ColStart[15:0] (読み出し /書き込み)• その他 - 予約

0x034 予約

0x038 HwReg_ColEnd のデータ信号

• ビッ ト 15 ~ 0 - HwReg_ColEnd[15:0] (読み出し /書き込み)• その他 - 予約

0x03c 予約

0x040 HwReg_RowStart のデータ信号

• ビッ ト 15 ~ 0 - HwReg_RowStart[15:0] (読み出し /書き込み)• その他 - 予約

0x044 予約

0x048 HwReg_RowEnd のデータ信号

• ビッ ト 15 ~ 0 - HwReg_RowEnd[15:0] (読み出し /書き込み)• その他 - 予約

0x04c 予約

0x050 HwReg_K11 のデータ信号

• ビッ ト 15 ~ 0 - HwReg_K11[15:0] (読み出し /書き込み)• その他 - 予約

0x054 予約

0x058 HwReg_K12 のデータ信号

• ビッ ト 15 ~ 0 - HwReg_K12[15:0] (読み出し /書き込み)• その他 - 予約

0x05c 予約

0x060 HwReg_K13 のデータ信号

• ビッ ト 15 ~ 0 - HwReg_K13[15:0] (読み出し /書き込み)• その他 - 予約

0x064 予約

0x068 HwReg_K21 のデータ信号

• ビッ ト 15 ~ 0 - HwReg_K21[15:0] (読み出し /書き込み)• その他 - 予約

0x06c 予約

0x070 HwReg_K22 のデータ信号

• ビッ ト 15 ~ 0 - HwReg_K22[15:0] (読み出し /書き込み)• その他 - 予約

表 2-13: Color Space Conversion Only モードのレジスタ (続き)

レジスタ 説明

Page 18: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 18PG231 2019 年 12 月 17 日 japan.xilinx.com

第 2 章: 製品仕様

0x074 予約

0x078 HwReg_K23 のデータ信号

• ビッ ト 15 ~ 0 - HwReg_K23[15:0] (読み出し /書き込み)• その他 - 予約

0x07c 予約

0x080 HwReg_K31 のデータ信号

• ビッ ト 15 ~ 0 - HwReg_K31[15:0] (読み出し /書き込み)• その他 - 予約

0x084 予約

0x088 HwReg_K32 のデータ信号

• ビッ ト 15 ~ 0 - HwReg_K32[15:0] (読み出し /書き込み)• その他 - 予約

0x08c 予約

0x090 HwReg_K33 のデータ信号

• ビッ ト 15 ~ 0 - HwReg_K33[15:0] (読み出し /書き込み)• その他 - 予約

0x094 予約

0x098 HwReg_ROffset_V のデータ信号

• ビッ ト 11 ~ 0 - HwReg_ROffset_V[11:0] (読み出し /書き込み)• その他 - 予約

0x09c 予約

0x0a0 HwReg_GOffset_V のデータ信号

• ビッ ト 11 ~ 0 - HwReg_GOffset_V[11:0] (読み出し /書き込み)• その他 - 予約

0x0a4 予約

0x0a8 HwReg_BOffset_V のデータ信号

• ビッ ト 11 ~ 0 - HwReg_BOffset_V[11:0] (読み出し /書き込み)• その他 - 予約

0x0ac 予約

0x0b0 HwReg_ClampMin_V のデータ信号

• ビッ ト 9 ~ 0 - HwReg_ClampMin_V[9:0] (読み出し /書き込み)• その他 - 予約

0x0b4 予約

0x0b8 HwReg_ClipMax_V のデータ信号

• ビッ ト 9 ~ 0 - HwReg_ClipMax_V[9:0] (読み出し /書き込み)• その他 - 予約

0x0bc 予約

表 2-13: Color Space Conversion Only モードのレジスタ (続き)

レジスタ 説明

Page 19: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 19PG231 2019 年 12 月 17 日 japan.xilinx.com

第 2 章: 製品仕様

0x0c0 HwReg_K11_2 のデータ信号

• ビッ ト 15 ~ 0 - HwReg_K11_2[15:0] (読み出し /書き込み)• その他 - 予約

0x0c4 予約

0x0c8 HwReg_K12_2 のデータ信号

• ビッ ト 15 ~ 0 - HwReg_K12_2[15:0] (読み出し /書き込み)• その他 - 予約

0x0cc 予約

0x0d0 HwReg_K13_2 のデータ信号

• ビッ ト 15 ~ 0 - HwReg_K13_2[15:0] (読み出し /書き込み)• その他 - 予約

0x0d4 予約

0x0d8 HwReg_K21_2 のデータ信号

• ビッ ト 15 ~ 0 - HwReg_K21_2[15:0] (読み出し /書き込み)• その他 - 予約

0x0dc 予約

0x0e0 HwReg_K22_2 のデータ信号

• ビッ ト 15 ~ 0 - HwReg_K22_2[15:0] (読み出し /書き込み)• その他 - 予約

0x0e4 予約

0x0e8 HwReg_K23_2 のデータ信号

• ビッ ト 15 ~ 0 - HwReg_K23_2[15:0] (読み出し /書き込み)• その他 - 予約

0x0ec 予約

0x0f0 HwReg_K31_2 のデータ信号

• ビッ ト 15 ~ 0 - HwReg_K31_2[15:0] (読み出し /書き込み)• その他 - 予約

0x0f4 予約

0x0f8 HwReg_K32_2 のデータ信号

• ビッ ト 15 ~ 0 - HwReg_K32_2[15:0] (読み出し /書き込み)• その他 - 予約

0x0fc 予約

0x100 HwReg_K33_2 のデータ信号

• ビッ ト 15 ~ 0 - HwReg_K33_2[15:0] (読み出し /書き込み)• その他 - 予約

0x104 予約

0x108 HwReg_ROffset_2_V のデータ信号

• ビッ ト 11 ~ 0 - HwReg_ROffset_2_V[11:0] (読み出し /書き込み)• その他 - 予約

表 2-13: Color Space Conversion Only モードのレジスタ (続き)

レジスタ 説明

Page 20: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 20PG231 2019 年 12 月 17 日 japan.xilinx.com

第 2 章: 製品仕様

422-444 Chroma Resampling Only モードの場合

次の表に、 Video Processing Subsystem が 422-444 Chroma Resampling Only モードのレジスタのレジスタ マップを示します。

0x10c 予約

0x110 HwReg_GOffset_2_V のデータ信号

• ビッ ト 11 ~ 0 - HwReg_GOffset_2_V[11:0] (読み出し /書き込み)• その他 - 予約

0x114 予約

0x118 HwReg_BOffset_2_V のデータ信号

• ビッ ト 11 ~ 0 - HwReg_BOffset_2_V[11:0] (読み出し /書き込み)• その他 - 予約

0x11c 予約

0x120 HwReg_ClampMin_2_V のデータ信号

• ビッ ト 9 ~ 0 - HwReg_ClampMin_2_V[9:0] (読み出し /書き込み)• その他 - 予約

0x124 予約

0x128 HwReg_ClipMax_2_V のデータ信号

• ビッ ト 9 ~ 0 - HwReg_ClipMax_2_V[9:0] (読み出し /書き込み)• その他 - 予約

0x12c 予約

表 2-14: 422-444 Chroma Resampling Only モードのレジスタ

レジスタ 説明

0x000 制御信号

• ビッ ト 0 - ap_start (読み出し /書き込み/COH)• ビッ ト 1 - ap_done (読み出し /COR)• ビッ ト 2 - ap_idle (読み出し )• ビッ ト 3 - ap_ready (読み出し )• ビッ ト 7 - auto_restart (読み出し /書き込み)• その他 - 予約

0x004 グローバル割り込みイネーブル レジスタ

• ビッ ト 0 - グローバル割り込みイネーブル (読み出し /書き込み)• その他 - 予約

0x008 IP 割り込みイネーブル レジスタ (読み出し /書き込み)• ビッ ト 0 - チャネル 0 (ap_done)• ビッ ト 1 - チャネル 1 (ap_ready)• その他 - 予約

表 2-13: Color Space Conversion Only モードのレジスタ (続き)

レジスタ 説明

Page 21: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 21PG231 2019 年 12 月 17 日 japan.xilinx.com

第 2 章: 製品仕様

0x00c IP 割り込みステータス レジスタ (読み出し /TOW)• ビッ ト 0 - チャネル 0 (ap_done)• ビッ ト 1 - チャネル 1 (ap_ready)• その他 - 予約

0x010 HwReg_width のデータ信号

• ビッ ト 15 ~ 0 - HwReg_width[15:0] (読み出し /書き込み)• その他 - 予約

0x014 予約

0x018 HwReg_height のデータ信号

• ビッ ト 15 ~ 0 - HwReg_height[15:0] (読み出し /書き込み)• その他 - 予約

0x01c 予約

0x020 HwReg_input_video_format のデータ信号

• ビッ ト 7 ~ 0 - HwReg_input_video_format[7:0] (読み出し /書き込み)• その他 - 予約

0x024 予約

0x028 HwReg_output_video_format のデータ信号

• ビッ ト 7 ~ 0 - HwReg_output_video_format[7:0] (読み出し /書き込み)• その他 - 予約

0x02c 予約

0x030 HwReg_coefs_0_0 のデータ信号

• ビッ ト 15 ~ 0 - HwReg_coefs_0_0[15:0] (読み出し /書き込み)• その他 - 予約

0x034 予約

0x038-0x0C8 HwReg_coefs_0_1->P_T (phase,tap) のデータ信号

• ビッ ト 15 ~ 0 - HwReg_coefs_<P>_<T>[15:0] (読み出し /書き込み)• その他 - 予約

0xcc 予約

注記:1. SC = Self Clear、 COR = Clear on Read、 TOW = Toggle on Write、 COH = Clear on Handshake です。

表 2-14: 422-444 Chroma Resampling Only モードのレジスタ (続き)

レジスタ 説明

Page 22: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 22PG231 2019 年 12 月 17 日 japan.xilinx.com

第 2 章: 製品仕様

420-422 Chroma Resampling Only モードの場合

次の表に、 Video Processing Subsystem が 420-422 Chroma Resampling Only モードのレジスタのレジスタ マップを示します。

表 2-15: 420-422 Chroma Resampling Only モードのレジスタ

レジスタ 説明

0x000 制御信号

• ビッ ト 0 - ap_start (読み出し /書き込み/COH)• ビッ ト 1 - ap_done (読み出し /COR)• ビッ ト 2 - ap_idle (読み出し )• ビッ ト 3 - ap_ready (読み出し )• ビッ ト 7 - auto_restart (読み出し /書き込み)• その他 - 予約

0x004 グローバル割り込みイネーブル レジスタ

• ビッ ト 0 - グローバル割り込みイネーブル (読み出し /書き込み)• その他 - 予約

0x008 IP 割り込みイネーブル レジスタ (読み出し /書き込み)• ビッ ト 0 - チャネル 0 (ap_done)• ビッ ト 1 - チャネル 1 (ap_ready)• その他 - 予約

0x0c IP 割り込みステータス レジスタ (読み出し /TOW)• ビッ ト 0 - チャネル 0 (ap_done)• ビッ ト 1 - チャネル 1 (ap_ready)• その他 - 予約

0x010 HwReg_width のデータ信号

• ビッ ト 15 ~ 0 - HwReg_width[15:0] (読み出し /書き込み)• その他 - 予約

0x014 予約

0x018 HwReg_height のデータ信号

• ビッ ト 15 ~ 0 - HwReg_height[15:0] (読み出し /書き込み)• その他 - 予約

0x01c 予約

0x020 HwReg_input_video_format のデータ信号

• ビッ ト 15 ~ 0 - HwReg_input_video_format[15:0] (読み出し /書き込み)• その他 - 予約

0x024 予約

0x028 HwReg_output_video_format のデータ信号

• ビッ ト 15 ~ 0 - HwReg_output_video_format[15:0] (読み出し /書き込み)• その他 - 予約

0x02c 予約

0x030 HwReg_coefs_0_0 のデータ信号

• ビッ ト 15 ~ 0 - HwReg_coefs_0_0[15:0] (読み出し /書き込み)• その他 - 予約

Page 23: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 23PG231 2019 年 12 月 17 日 japan.xilinx.com

第 2 章: 製品仕様

Deinterlacing Only モードの場合

次の表に、 Video Processing Subsystem が Deinterlacing Only モードのレジスタのレジスタ マップを示します。

0x034 予約

0x038-0x0C8 HwReg_coefs_0_1->P_T (phase,tap) のデータ信号

• ビッ ト 15 ~ 0 - HwReg_coefs_<P>_<T>[15:0] (読み出し /書き込み)• その他 - 予約

0xcc 予約

注記:1. SC = Self Clear、 COR = Clear on Read、 TOW = Toggle on Write、 COH = Clear on Handshake です。

表 2-16: Deinterlacing Only モードのレジスタ

レジスタ 説明

0x000 制御信号

• ビッ ト 0 - ap_start (読み出し /書き込み/COH)• ビッ ト 1 - ap_done (読み出し /COR)• ビッ ト 2 - ap_idle (読み出し )• ビッ ト 3 - ap_ready (読み出し )• ビッ ト 7 - auto_restart (読み出し /書き込み)• その他 - 予約

0x004 グローバル割り込みイネーブル レジスタ

• ビッ ト 0 - グローバル割り込みイネーブル (読み出し /書き込み)• その他 - 予約

0x008 IP 割り込みイネーブル レジスタ (読み出し /書き込み)• ビッ ト 0 - チャネル 0 (ap_done)• ビッ ト 1 - チャネル 1 (ap_ready)• その他 - 予約

0x00c IP 割り込みステータス レジスタ (読み出し /TOW)• ビッ ト 0 - チャネル 0 (ap_done)• ビッ ト 1 - チャネル 1 (ap_ready)• その他 - 予約

0x010 HwReg_width のデータ信号

• ビッ ト 15 ~ 0 - HwReg_width[15:0] (読み出し /書き込み)• その他 - 予約

0x014 予約

0x018 HwReg_height のデータ信号

• ビッ ト 15 ~ 0 - HwReg_height[15:0] (読み出し /書き込み)• その他 - 予約

0x01c 予約

表 2-15: 420-422 Chroma Resampling Only モードのレジスタ (続き)

レジスタ 説明

Page 24: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 24PG231 2019 年 12 月 17 日 japan.xilinx.com

第 2 章: 製品仕様

0x20 read_fb のデータ信号

• ビッ ト 31 ~ 0 - read_fb[31:0] (読み出し /書き込み)

0x024 予約

0x028 write_fb のデータ信号

• ビッ ト 31 ~ 0 - write_fb[31:0] (読み出し /書き込み)

0x02c 予約

0x030 colorFormat のデータ信号

• ビッ ト 7 ~ 0 - colorFormat[7:0] (読み出し /書き込み)• その他 - 予約

0x034 予約

0x38 アルゴ リズムのデータ信号

• ビッ ト 7 ~ 0 - algo[7:0] (読み出し /書き込み)

° 0x00 - メディアン アルゴ リズム

° 0x01 - ボブ アルゴ リズム

° 0x02 - ウ ィーブ アルゴ リズム

° 0x03 - 垂直時間軸線形補間アルゴ リズム

° 0x05 - 予約

° 0x06 - パススルー

• その他 - 予約

0x03c 予約

0x40 invert_field_id のデータ信号

• ビッ ト 0 - invert_field_id[0] (読み出し /書き込み)• その他 - 予約

0x044 予約

注記:1. SC = Self Clear、 COR = Clear on Read、 TOW = Toggle on Write、 COH = Clear on Handshake です。

表 2-16: Deinterlacing Only モードのレジスタ (続き)

レジスタ 説明

Page 25: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 25PG231 2019 年 12 月 17 日 japan.xilinx.com

第 2 章: 製品仕様

Full Fledged モードの場合

Full Fledged モードでは、 上記で説明した Deinterlacer Only、 Scaler Only、 420-422 Chroma Resampling Only、 および 4422-444 Chroma Resampling Only モードのレジスタ マップがすべて使用されます。 Full Fledged モードにはレターボッ クスも含まれています。 次にレターボッ クスのレジスタ マップを説明します。

レターボックス

次の表に、 Video Processing Subsystem のレターボッ クス レジスタのレジスタ マップを示します。

表 2-17: レターボックスのレジスタ

レジスタ 説明

0x000 制御信号

• ビッ ト 0 - ap_start (読み出し /書き込み/COH)• ビッ ト 1 - ap_done (読み出し /COR)• ビッ ト 2 - ap_idle (読み出し )• ビッ ト 3 - ap_ready (読み出し )• ビッ ト 7 - auto_restart (読み出し /書き込み)• その他 - 予約

0x004 グローバル割り込みイネーブル レジスタ

• ビッ ト 0 - グローバル割り込みイネーブル (読み出し /書き込み)• その他 - 予約

0x008 IP 割り込みイネーブル レジスタ (読み出し /書き込み)• ビッ ト 0 - チャネル 0 (ap_done)• ビッ ト 1 - チャネル 1 (ap_ready)• その他 - 予約

0x00c IP 割り込みステータス レジスタ (読み出し /TOW)• ビッ ト 0 - チャネル 0 (ap_done)• ビッ ト 1 - チャネル 1 (ap_ready)• その他 - 予約

0x010 HwReg_width のデータ信号

• ビッ ト 15 ~ 0 - HwReg_width[15:0] (読み出し /書き込み)• その他 - 予約

0x014 予約

0x018 HwReg_height のデータ信号

• ビッ ト 15 ~ 0 - HwReg_height[15:0] (読み出し /書き込み)• その他 - 予約

0x01c 予約

0x20 HwReg_video_format のデータ信号

• ビッ ト 15 ~ 0 - HwReg_video_format[15:0] (読み出し /書き込み)• その他 - 予約

0x024 予約

0x028 HwReg_col_start のデータ信号

• ビッ ト 15 ~ 0 - HwReg_col_start[15:0] (読み出し /書き込み)

0x02c 予約

Page 26: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 26PG231 2019 年 12 月 17 日 japan.xilinx.com

第 2 章: 製品仕様

0x030 HwReg_col_end のデータ信号

• ビッ ト 15 ~ 0 - HwReg_col_end[15:0] (読み出し /書き込み)• その他 - 予約

0x034 予約

0x38 HwReg_row_start のデータ信号

• ビッ ト 15 ~ 0 - HwReg_row_start[15:0] (読み出し /書き込み)• その他 - 予約

0x03c 予約

0x40 HwReg_row_end のデータ信号

• ビッ ト 15 ~ 0 - HwReg_row_end[15:0] (読み出し /書き込み)• その他 - 予約

0x044 予約

0x048 HwReg_Y_R_value のデータ信号

• ビッ ト 15 ~ 0 - HwReg_Y_R_value[15:0] (読み出し /書き込み)• その他 - 予約

0x04c 予約

0x050 HwReg_Cb_G_value のデータ信号

• ビッ ト 15 ~ 0 - HwReg_Cb_G_value[15:0] (読み出し /書き込み)• その他 - 予約

0x054 予約

0x058 HwReg_Cr_B_value のデータ信号

• ビッ ト 15 ~ 0 - HwReg_Cr_B_value[15:0] (読み出し /書き込み)• その他 - 予約

0x05c 予約

注記:1. SC = Self Clear、 COR = Clear on Read、 TOW = Toggle on Write、 COH = Clear on Handshake です。

表 2-17: レターボックスのレジスタ (続き)

レジスタ 説明

Page 27: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 27PG231 2019 年 12 月 17 日 japan.xilinx.com

第 3 章

コアを使用するデザインこの章では、 コアを使用した設計をよ り容易にするためのガイ ド ラインおよび追加情報を紹介します。

一般的なデザイン ガイド ライン

Video Processing Subsystem は階層型 IP と してパッケージ化されたサブコア IP の集ま りで、 単一のグラフ ィカル ユーザー インターフェイス (GUI) で設定します。 このサブシステムは、 デインターレース、 スケーリ ング、 フレーム レート変換、 色空間の変換と補正、 およびクロマ サンプリ ングなどを実行できます。

関連する Video Processing Subsystem API をソフ ト ウェア アプリ ケーシ ョ ンに統合する方法は、 付録 C 「アプ リ ケーシ ョ ン ソフ ト ウェア開発」 で説明します。

デインターレース

ビデオ デインターレーサーは、 ライブで入力されるインターレース方式のビデオ ス ト リームをプログレッシブ方式のビデオ ス ト リームに変換します。 インターレースされた画像は、 インターレース フレームを構成する 2 つのフ ィールド間で時間軸方向に動きが検出されるこ とがあ り ます。 プログレッシブ方式への変換は、 これら 2 つのフ ィールドを 1 つのプログレッシブ走査フレームに組み換えます。 インターレース ビデオ ス ト リームを合成することで、 プログレッシブ出力画像にモーシ ョ ン アーチファ ク トが生じます。 このため、 ビデオ デインターレーサーは、 3 つのフ ィールド バッファーを使用し、 空間軸補間処理と時間軸補間処理を組み合わせてプログレッシブ フレームを作成します。

フレーム開始信号 - m_axis_video_tuser、 s_axis_video_tuser

フレーム開始 (SOF) 信号は、 物理的には AXI4-Stream の TUSER 信号で送信され、 スレーブ/入力側の 初の入力ビデオ フ ィールドの先頭のピクセルの位置、 およびマスター /出力側の各出力ビデオ フレームの先頭のピクセルの位置を示します。 入力されるインターレース方式のビデオ フレームはすべて、 2 つのビデオ フ ィールド (奇数ラインと偶数ラインのビデオ フ ィールド ) で表されます。 SOF パルスは有効な ト ランザクシ ョ ン 1 つ分の幅を持ち、 フレームの先頭のピクセルに一致していなければなり ません。 図 3-1 を参照してください。

SOF は、 フレーム同期信号と して機能し、 ダウンス ト リーム コアの再初期化およびフレーム/ 初のフ ィールドの先頭のピクセル検出を可能にします。 TVALID がアサート されない限り、 SOF 信号は 初のピクセル値が TDATA に出力されるまで、 任意の数の ACLK サイ クル間アサートできます。

Page 28: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 28PG231 2019 年 12 月 17 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

ライン終了信号 - m_axis_video_tlast、 s_axis_video_tlast

ライン終了信号は、 物理的には AXI4-Stream の TLAST 信号で送信され、 ラ インの 後のピクセルの位置を示します。 EOL パルスは有効な ト ランザクシ ョ ン 1 つ分の幅を持ち、 走査線の 後のピクセルに一致していなければなりません (図 3-2 参照)。

サポート されるデインターレース アルゴ リズムを次に示します。

ボブ (ライン ダブリ ング): ボブ アルゴ リズムは、各インターレース フ ィールドのライン (プログレッシブ フレームの偶数フ ィールドのラインのみまたは奇数フ ィールドのラインのみからなる ) を取り出し、 それらを複製してフレーム全体を補間します。 ライン ダブリ ングによってコーミ ング ノ イズの発生は回避されますが、 ビデオ画質は著し く低下します。 これは主に、 画面に突然現れたり消えたりするよ うに見えるこ とから、 静止中のオブジェク トやゆっ くり動くオブジェク トで顕著です。 このアルゴ リズムには外部フレーム バッファーは必要あ り ません。

ウ ィーブ: ウ ィーブは、 2 つの連続したフ ィールドを組み合わせて結合します。 このアルゴ リズムは、 フ ィールド間で画像がまったく変わらないと きには高品質な結果となり ますが、 少しでも動きがあればコーミ ング ノ イズが生じ、1 つのフレームのピクセルが次のフレームのピクセルと揃えられずに輪郭がギザギザになり ます。 ウ ィーブ アルゴリズムは動き適応型補間法であ り、 外部フレーム バッファーが必要です。

垂直時間軸線形補間 (VTLin): VTLin は、 現在のフ ィールドからの 2 本の隣接するラインと、 前のフ ィールドからの 3 本の参照ラインに対して線形補間を実行するこ とで中間ラインを生成します。 生成されたラインは現在のフ ィールドのラインに追加され、 フレーム全体が形成されます。 動くオブジェク トに対しては、 VTLin はボブやウ ィーブより も優れた結果が得られますが、 補間によって画像にわずかなぼかしが生じます。 VTLin アルゴ リズムは動き適応型補間法であ り、 外部フレーム バッファーが必要です。

垂直時間軸メディアン (VTMed): VTMed は、 現在のフ ィールドからの 2 本の隣接するラインと、 前のフ ィールドからの 3 本の参照ラインのメディアン (中央値) を取るこ とでラインを生成します。 生成されたラインは現在のフ ィールドのラインに追加され、 フレーム全体が形成されます。 VTMed アルゴ リ ズムは動き適応型補間法です。

X-Ref Target - Figure 3-1

図 3-1: SOF ハンドシェイク、 新しいフレームの開始の例

X-Ref Target - Figure 3-2

図 3-2: EOL の使用

Page 29: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 29PG231 2019 年 12 月 17 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

メディアン: メディアン アルゴ リズムは、 2 つの連続したフ ィールドの VTLin と VTMed で得られたラインと、 次のフ ィールドの参照ラインの中央値を取るこ とでラインを生成します。 出力フレームは、 生成されたラインと現在のフ ィールドの参照ラインで構成されます。 メディアン アルゴ リズムは動き適応型補間法であ り、 外部フレーム バッファーが必要です。

バイ リニア補間: 現在のインターレース フ ィールドに対してバイ リニア補間を実行するアルゴ リズムです。 2 本の隣接する参照ラインの平均値を取るこ とによって生成されたラインを補間します。 バイ リニア補間は動き適応型補間法ではあ り ません。 静止フレームでは優れた結果が得られますが、 高速に動くオブジェク トに対しては十分な結果は得られません。

バイパス モードの有効/無効

デインターレーサー IP は、 バイパス モードを含む 6 つのモード /アルゴ リズムをサポート します。 各モード /アルゴリズムは、 アルゴ リズム レジスタ (0x0038 オフセッ ト ) に数値が割り当てられています。 値 0x6 は、 デインターレーサー IP のバイパス モードを表します。

バイパス モードを有効にするには、 アルゴ リズム レジスタ (0x0038) に 0x6 を書き込む必要があ り ます。 これにより、 IP の入力がデータを変更せずに出力に渡され、 IP がバイパス モードで機能します。

バイパス モードを無効にするには、 0x6 以外の値をアルゴ リズム レジスタ (0x0038) に書き込む必要があ り ます。

機能

ビデオ デインターレーサーは、 低コス トのベーシッ ク デインターレーサーです。 サポート される機能を次にまとめます。

• 6 つのデインターレース アルゴ リ ズムをサポート します。 ボブおよびバイ リニア補間アルゴ リズムにはフ ィールド バッファーは必要あ り ません。 ウ ィーブ、 垂直時間軸線形補間、 およびメディアン アルゴ リズムにはフ ィールド バッファーが必要です。

• RGB、 YUV 4:4:4、 YUV 4:2:2、 および YUV 4:2:0 をサポート します。

• バイパス モードをサポート します。

注記: このモードでは、 入力ビデオ ス ト リームはプログレッシブ方式のビデオ ス ト リームに変換されません。つま り、 変換は無効となっています。

• 8、 10、 12、 または 16BPC をサポート します。

ビデオ フォーマッ ト

ビデオ フォーマッ トの値を次の表に示します。

フレーム サイズ

列の数は、 クロ ッ クあた りのサンプル数の倍数でなければなり ません。 ビデオ フォーマッ トが 4:2:2 または 4:2:0 の場合、 列の数は偶数である必要があ り ます。 ビデオ フォーマッ トが 4:2:0 の場合は、 行の数も偶数でなければなり ません。

表 3-1: ビデオ フォーマッ トの値

ビデオ フォーマッ ト 値

RGB 0

4:4:4 1

4:2:2 2

4:2:0 3

Page 30: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 30PG231 2019 年 12 月 17 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

スケーリング

ビデオ スケーリ ングは、Xin ピクセル × Yin ラインの入力カラー画像を Xout ピクセル × Yout ラインの出力カラー画像に変換するプロセスです。 IP は、 入力デジタル ビデオ画像の特定の矩形領域を元のサンプリ ング グ リ ッ ドからターゲッ トのサンプ リ ング グ リ ッ ドへ変換します。

Scaler Only モードは、 メモ リが不要なス ト リーム モードで実行されます。 PPC (pixels per clock) および周波数を選択するこ とによ り、 ス ト リームでスケーラーの性能が適切に調整されていれば、 スケーリ ング比はス ト リーム モードで機能するはずです。 これは、 入力および出力解像度の 大値に関連します。

たとえば、 ダウンスケーリ ングの場合は 大入力解像度を選択し、 アップスケーリ ングの場合は 大出力解像度を選択します。 解像度とフレーム レートの積によ り ピクセル スループッ トが決ま り ます。 このピクセル スループッ トと少なく と も 10% のマージンがサポート されるよ うに、 スケーラーを確実に構成する必要があ り ます。 つま り、1080p60 を 4K60 にアップスケールする場合、必要なスループッ トは、 4320 * 2160 * 60 (入力および出力の 大解像度は 4k60) = 530MHz + 10% マージン = ~600MHz とな り ます。 このため、スケーラーに対して入力ス ト リーム ク ロ ッ クを 300MHz、 ク ロ ッ ク値あたりのピクセル数を 2 に設定する必要があ り ます。

入力画像は、 ラスター スキャン方式 (左から右、 上から下への走査) で提供される必要があ り ます。 有効な出力画像も この順の走査で提供されます。

ビデオ スケーリ ングは二次元演算であ り、 式 3-1 を用いて近似的に求めるこ とができます。

式 3-1

この数式について説明します。 x および y は、 コモン サンプリ ング グ リ ッ ド状の離散した位置 (座標) です。 Pixout (x, y) は、座標 (x, y) で生成されている出力ピクセルです。 Pixin (x, y) は、入力スケーラーのアパーチャの一部と して使用されている入力ピクセルです。 Coef (i, j) は係数の配列で、 係数はアプリ ケーシ ョ ンによって異なり ます。 HTaps および VTaps は、 フ ィルターの水平タップ数および垂直タップ数をそれぞれ示します。

この式の係数は、 スケーリ ング比に従って、 ある出力ピクセルに影響するよ う選択された入力サンプリ ング セッ トに適用される重みを表します。 Scaler Only モードでは、 入力解像度に変更がある場合、 外部と内部両方の GPIO リセッ ト をアサートする必要があ り ます。

X-Ref Target - Figure 3-3

図 3-3: Scaler Only モード

Page 31: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 31PG231 2019 年 12 月 17 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

機能

スケーラーには、 次の 3 つの品質レベルが用意されており、 それぞれでリ ソースの使用量が異なり ます。

• バイ リニア スケーリ ングは、 ピクセルの計算にバイ リニア補間を使用する、 スケーラーの中でも も容易な実装です。 バイ リニア補間では、 よ り高い演算処理が求められるバイキュービッ ク補間よ り も多くの補間アーチファ ク ト (エイ リ アシング、 ぼかし、 エッジ ハローなど) が生じます。

• バイキュービッ ク スケーリ ングでは、 バイ リニア スケーリ ングと比較して要件が厳しいため、 アーチファ ク トの少ない滑らかな画像が生成されます。 バイ リニア補間は 2 x 2 ピクセルしか使用しないのに対して、 バイキュービッ ク補間は 4 x 4 ピクセル領域を使用します。

• ポ リ フェーズ スケーラーの概要は、 「ポ リ フェーズ スケーリ ング」 で説明します。 ポ リ フェーズ スケーラーの画質 ( リ ソース使用量) は、 使用するフ ィルター タ ップ数およびフ ィルター位相数に大いに依存します。 ポ リフェーズ スケーリ ングでは 高の画質が提供されますが、 リ ソース使用量も も多くな り ます。

サポート される機能を次にまとめます。

• ポ リ フェーズ スケーリ ング モード、 バイキュービッ ク スケーリ ング モード、 バイ リニア スケーリ ング モード

• 6、 8、 10、 または 12 タ ップの 64 位相のポ リ フェーズ スケーリ ング

• RGB、 YUV 4:4:4、 YUV 4:2:2、 および YUV 4:2:0 のサポートおよび変換

• ビデオ コンポーネン ト あた り 8、 10、 12、 または 16 ビッ ト をサポート

ポリフェーズ スケーリング

スケーリ ングでは、 入力サンプリ ング グ リ ッ ド と出力サンプリ ング グ リ ッ ドは異なるものと されます。 入力ピクセルの観点から離散した出力ピクセルを表すには、 同等の二次元空間の入力サンプリ ング グ リ ッ ドに出力サンプリ ング グ リ ッ ドを重ね合わせて (スーパーインポーズ)、 も近い入力ピクセルに対する出力ピクセルの位置を把握または推定する必要があ り ます。 これを把握するこ とで、 アルゴ リズムは、 それに応じて重み付けされた係数を持つフ ィルターを使用して出力ピクセル値の予想を立てます。 フ ィルター タ ップは、 入力画像から引き出された連続するデータ ポイン トです。

例と して、図 3-4 に、元の 6x6 入力グ リ ッ ド ("X") に重ね合わせた目的の 5x5 出力グ リ ッ ド ("O") を示します。 これらは共通の空間を使用しています。 この場合の出力位置 (x, y) = (1, 1) の推定では、 入力ピクセルおよび出力ピクセルは同じ位置に配置されます。 どちらの方向にも偏りがないよ うに係数を重み付けするこ とができ、 単一の係数セッ トを選択するこ と もできます。 出力位置 (2、 2) は、 垂直および水平の両方の次元で入力グ リ ッ ドからオフセッ ト されています。 係数は、 このオフセッ ト を反映するよ うに選択でき、 入力ピクセル (2, 2) などに対していく らかの偏りが示されます。 アンチエイ リ アシング ローパス フ ィルターを適切に適用するこ とで、 フ ィルターの特性をフ ィルター係数に組み込むこ とができます。

X-Ref Target - Figure 3-4

図 3-4: 6x6 入力グリ ッ ド (“X”) および 5x5 出力グリ ッ ド (“O”) のスーパーインポーズ

Page 32: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 32PG231 2019 年 12 月 17 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

各次元における 2 つの連続する入力ピクセル間の空間は、 概念的にいくつかのビンまたは位相に分割されます。 任意の出力ピクセルの位置は、 常にこれらのビンの 1 つに入るため、 使用される係数の位相は定義されます。 フ ィルター アーキテクチャは係数の異なる位相のいずれかを受け入れる必要があ り、 サンプルごとに位相を変化させます。

図 3-5 に、 一次元のグ リ ッ ドを示します。 この図に示す 5 つの出力ピクセルには、 左から右の順に位相 0、 1、 2、 3、0 があ り ます。

図 3-4 および図 3-5 の例は、 比率が Xin/Xout = Yin/Yout = 5/4 の場合の変換を示します。 この比率はスケーリ ング係数 (SF) と呼ばれます。 水平および垂直スケーリ ング係数は異なる場合があ り ます。 一般的な例と して、 放送業界では 720p (1280 x 720) を使用して撮影する一方で、 ケーブル事業者は放送規格 1080p (1920 x 1080) に従ってそれを配信する必要がある場合などが挙げられます。 SF は水平および垂直の両方の次元で 2/3 とな り ます。

Xin > Xout の場合、 この変換は、 水平ダウンスケール (SF > 1) と して周知されています。 Xin < Xout の場合は、 水平アップスケール (SF < 1) と周知されています。

係数セッ トは、 ポ リ フェーズ フ ィルター内にあるフ ィルター バンクの構成要素です。 ポ リ フェーズ フ ィルターの周波数応答は、 入力サンプルに適用されるスケーリ ングの量によって決ま り ます。 フ ィルターの位相は、 終的なスケーリ ング結果におけるサンプル セッ トのサブフ ィルターを表します。

係数の数とそれらの値は、 スケーリ ング フ ィルターの必要なローパス、 アンチエイ リ アス応答によって異なり ます。たとえば、 よ り小さいスケーリ ング比では、 よ り低い通過帯域と よ り多くの係数が求められます。 係数の生成には Lanczos アルゴ リズムをベースにしたフ ィルター デザイン プログラムが 適です。 さ らに、 MATLAB® 製品の fdatool/fvtool を使用すれば、 よ り広範なフ ィルター デザイン ツールセッ トが提供されます。

一般的なガイ ド ラインと して、 ダウンスケールの場合、 優れた画質を得るためにはスケーリ ング比ごとに 4 タ ップを使用します。 次に、 使用する推奨タップ数を示します。

式 3-1 の直接的な実装には、 出力ごとに VTaps × HTaps 乗算演算を使用するフ ィルターが必要であるこ とがわかり ます。 しかし、 ザイ リ ンクス ビデオ スケーラーは分割可能なフ ィルターしかサポート していません。 これは、 垂直フ ィルター (V フ ィルター ) 段と水平フ ィルター (H フ ィルター ) 段の一次元配列の演算を順に使用して二次元演算の近似値を求めます。 初の段の中間結果は順に 2 段目に供給されます。

垂直フ ィルター段は、 ラスター スキャンにおける水平方向の位置 x をインク リ メン ト して、 それぞれについて垂直領域でのみフ ィルタ リ ングを実行し、 VPix (式 3-2) と して表される中間結果を生成します。

式 3-2

X-Ref Target - Figure 3-5

図 3-5: 一次元グリ ッ ドのスーパーインポーズ

アップスケール 6 タ ップ

ダウンスケール < 1.5 6 タ ップ

ダウンスケール > 1.5 <= 2.5 8 タ ップ

ダウンスケール > 2.5 <= 3.5 10 タ ップ

ダウンスケール > 3.5 12 タ ップ

VPixint x y,[ ] Pixin x y, VTaps 2⁄( )– i+[ ] Vcoef i[ ]×VTaps 1–

i 0=

=

Page 33: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 33PG231 2019 年 12 月 17 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

スケーラー フ ィルターの垂直コンポーネン トの出力結果は、 丸めが適切に適用されて水平フ ィルターに入力されます。 これは前述の VTaps × HTaps の乗算演算に還元されます。 つま り、 分離によ り FPGA リ ソースが節約されるこ とがわかり ます (式 3-3)。

式 3-3

バイ リニア、 バイキュービッ ク、 およびポ リ フェーズの各アーキテクチャの相違点は、 係数が異なる というだけはでなく、 バイ リニア スケーリ ングとバイキュービッ ク スケーリ ングについては 適化されたアーキテクチャの実装でもあ り ます。

位相の制御構造

これは、 IP が適切に機能するために必要な独自の制御構造です。 この制御構造を初期化するための参照 C コードを次に示します。

static void xv_hscaler_calculate_phases(struct xscaler_device *xscaler, u32 width_in, u32 width_out, u32 pixel_rate) { unsigned int loop_width; unsigned int x, s; int offset = 0; int xwrite_pos = 0; bool output_write_en; bool get_new_pix; u64 phaseH; u32 array_idx = 0; int nr_rds; int nr_rds_clck; unsigned int nphases = xscaler->max_num_phases; unsigned int nppc = xscaler->pix_per_clk; unsigned int shift = XHSC_STEP_PRECISION_SHIFT - ilog2(nphases); loop_width = max_t(u32, width_in, width_out); loop_width = ALIGN(loop_width + nppc - 1, nppc);

Pixout x y,[ ] VPixint x HTaps 2⁄( )– i+ y,[ ] Hcoef i[ ]×HTaps 1–

i 0=

=

Page 34: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 34PG231 2019 年 12 月 17 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

for (x = 0; x < loop_width; x++) { nr_rds_clck = 0; for (s = 0; s < nppc; s++) { phaseH = (offset >> shift) & (nphases - 1); get_new_pix = false; output_write_en = false; if ((offset >> XHSC_STEP_PRECISION_SHIFT) != 0) { /* read a new input sample */ get_new_pix = true; offset -= (1 << XHSC_STEP_PRECISION_SHIFT); array_idx++; } if (((offset >> XHSC_STEP_PRECISION_SHIFT) == 0) && (xwrite_pos < width_out)) { /* produce a new output sample */ offset += pixel_rate; output_write_en = true; xwrite_pos++; } /* Needs updates for 4 PPC */ xscaler->H_phases[x] |= (phaseH << (s * XHSC_HPHASE_MULTIPLIER)); xscaler->H_phases[x] |= (array_idx << (XHSC_HPHASE_SHIFT_BY_6 + (s * XHSC_HPHASE_MULTIPLIER)));

Page 35: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 35PG231 2019 年 12 月 17 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

if (output_write_en) { xscaler->H_phases[x] |= (XV_HSCALER_PHASESH_V_OUTPUT_WR_EN << (s * XHSC_HPHASE_MULTIPLIER)); } if (get_new_pix) nr_rds_clck++; } if (array_idx >= nppc) array_idx &= (nppc - 1); nr_rds += nr_rds_clck; if (nr_rds >= nppc) nr_rds -= nppc; } }

カラー モード

次の表にカラー モードの値を示します。

4:2:0 は、 IP で有効になっている場合にのみサポート可能です。 垂直方向スケーラーに 4:2:0 が入力される と、 これは 4:2:2 にアップサンプリ ングされ、 水平方向スケーラーに入力されます。

表 3-2: ビデオ フォーマッ トの値

ビデオ フォーマッ ト 値

RGB 0

4:4:4 1

4:2:2 2

4:2:0 3

Page 36: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 36PG231 2019 年 12 月 17 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

フレーム サイズ

列の数は、 クロ ッ クあた りのサンプル数の倍数でなければなり ません。 ビデオ フォーマッ トが 4:2:2 または 4:2:0 の場合、 列の数は偶数である必要があ り ます。 ビデオ フォーマッ トが 4:2:0 の場合、 行の数は偶数でなければなり ません。

ライン レート

ライン レートは次のよ うに計算されます。

line_rate = (height_in * STEP_PRECISION) / height_out;

この場合、 STEP_PRECISION = 2^16 = 65,536

フ ィルター係数

フ ィルター係数は、 [PHASE] [TAP] の 2 次元アレイ と してメモ リに配置されます。 各フ ィルター係数は 16 ビッ トであ り、 S4.11 (4 整数ビッ ト と 11 小数ビッ ト を持つ符号付き固定小数点) と して表されます。

ド ライバーは、 6、 8、 10、 または 12 タ ップ フ ィルター用のビルト イン テーブルを提供する必要があ り ます。

AXI ビデオ ダイレク ト メモリ アクセス エンジン

多くのビデオ アプリ ケーシ ョ ンでは、 フレーム レートの変更やイ メージ サイズの変更 (スケーリ ングまたはクロ ップ) をサポートするためにフレーム バッファーを必要と します。 ビデオ DMA エンジンは、 ザイ リ ンクスの AXI Video Direct Memory Access IP を使用し、AXI4-Stream ビデオ インターフェイス と AXI4-MM インターフェイス間の効率的な広帯域幅アクセスが行えるよ うに設計されています。 詳細は、 『AXI Video Direct Memory Access 製品ガイ ド』 (PG020) [参照 10] を参照してください。

機能

Full Fledged コンフ ィギュレーシ ョ ンの Video Processing Subsystem IP 内のビデオ DMA エンジンによ り、 フレーム レート変換、 さ らにはクロ ップおよびズーム、 そしてピクチャ イン ピクチャ といった高度なスケーリ ング機能が可能です。

フレーム レート変換

フレーム レート変換は、 フレーム ド ロ ップまたはフレーム リ ピートによ り実現します。 DMA エンジンは、 書き込まれている 4 つのフレーム バッファーを巡回的に追跡します。 ビデオ データフローの読み出し部分は、 書き込みポインターのちょ う ど 1 フレーム後までとなり ます。 入力フレーム レートが出力フレーム レート よ り も高い場合、 書き込みポインターの進む頻度は読み出しポインターよ り も多くな り ます。 つま り、 フレームはスキップされます (フレーム ド ロ ップ)。 同様に、 出力フレーム レートが入力フレーム レート よ り も高い場合でも、 読み出しポインターは常に書き込みポインターの 1 フレーム後になり ます。 この場合、 フレームは反復されます (フレーム リ ピート )。

クロップおよびズーム

ビデオ DMA エンジンのも う 1 つの用途は、 クロ ップおよびズーム、 そしてピクチャ イン ピクチャ機能といった高度なスケーリ ング ユース ケースを実現するこ とです。 メモ リ を使用しない場合、 図 3-6 に示すよ うに、 1 つの解像度から別の解像度への基本的なスケーリ ングしか実行できません。

Page 37: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 37PG231 2019 年 12 月 17 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

ビデオ DMA エンジンをビデオ パスに使用する と、図 3-7 に示すよ うな、 メモ リからのクロ ッピングによるクロ ップおよびズーム機能が可能です。

X-Ref Target - Figure 3-6

図 3-6: 基本的なスケーリング

X-Ref Target - Figure 3-7

図 3-7: クロップおよびズーム

Page 38: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 38PG231 2019 年 12 月 17 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

ピクチャ イン ピクチャ

図 3-8 に示すよ うなピクチャ イン ピクチャ機能も可能です。

データ再アライ メン ト エンジンは実装されていないため、 メモ リ アクセスはバスの精度に合わせて調整されます。これはド ライバーによって自動的に処理されます。

メモリ要件

フル コンフ ィギュレーシ ョ ン モードでは、 DDR メモ リはビデオ フレーム バッファーを格納するために使用されます。 サブシステムは、 プログレッシブ入力には 5 つのフレーム バッファーを使用し、 インターレース入力には 3 つのフ ィールド バッファーを使用します。 次の式を使用して、 サブシステムに必要なメモ リの量を計算できます。

5 * MAX_WIDTHp * MAX_HEIGHTp * NUM_VIDEO_COMPONENTS * BytesPerComp

+

3 * MAX_WIDTHi * MAX_HEIGHTi * NUM_VIDEO_COMPONENTS * BytesPerComp

BytesPerComp

• 8 ビッ ト データの場合は 1 バイ ト

• 10/12/16 ビッ ト データの場合は 2 バイ ト

メモリ帯域幅

Full Fledged コンフ ィギュレーシ ョ ンには、 メモ リ帯域幅に関して次のよ うな要件があ り ます。

デインタレーサーは 1 フ ィールドを書き込み、 2 フ ィールドを読み出します。 たとえば、 1080i@60Hz 解像度の 8 ビッ ト RGB データの場合、 次のよ うになり ます。

1 フ ィールドの書き込み = 1920 列 * 540 行 * 24 ビッ ト * 60fps = 178MB/秒

2 フ ィールドの読み出し = 1920 列 * (2*540) 行 * 24 ビッ ト * 60fps = 356MB/秒

VDMA は 1 フレームを書き込み、1 フレームを読み出します。 たとえば、 4K@60Hz 解像度の 8 ビッ ト RGB データの場合、 次のよ うになり ます。

1 フレームの書き込み = 3840 列 * 2160 行 * 24 ビッ ト * 60fps = 1424MB/秒

1 フレームの読み出し = 3840 列 * 2160 行 * 24 ビッ ト * 60fps = 1424MB/秒

X-Ref Target - Figure 3-8

図 3-8: ピクチャ イン ピクチャ

Page 39: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 39PG231 2019 年 12 月 17 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

色空間の変換と補正

イ メージング システムで色を正確に再現するこ とが困難になる要因はさまざまです。 次のよ うな要因が考えられます。

• 光モジュール (レンズ、 フ ィルター ) のスペク トル特性

• 昼光、 蛍光、 またはタングステンといった光源のバリエーシ ョ ン

• センサーのカラー フ ィルターの特性

色空間の変換/補正機能は、 これらのバリエーシ ョ ンに対して画像データを補正します。 この基本ブロ ッ クは、 YUV または RGB データのいずれかを処理します。

このコア ブロ ッ クの機能は、 たとえば、 元の光源から処理された画像までイ メージング システムを介して 3 つの色チャネルの 1 つを追う こ とで容易に理解できます。

青色チャネルは、 (シーンからの青色光の光子 × 青色光フ ィルターの相対応答 × 青色光の光子に対するシ リ コンの相対応答) の組み合わせです。 ただし、 フ ィルターとシ リ コンの応答はヒ トの目の応答とはかなり異なる可能性があるため、 センサーにとっての青色はヒ トにとっての青色と非常に異なり ます。

この違いは、 ヒ トの視覚に許容される青色によ り近く適合するよ うに修正できます。 色空間の変換/補正機能は、 ピクセル値に係数を掛けて色を強めたり弱めたり し、 効果的なゲインを生成します。 同時に、 緑色や赤色を混合して青色チャネルに加えるこ とができます。 この処理は数学的に次の式のよ うに表現され、 新しい青色 (Bc) は古い青色 (B)、 赤色 (R) および緑色 (G) と関連しています。

Bc = K1 x R + K2 x G + K3 x B 式 3-4

こ こで、 K1、 K2、 および K3 はそれぞれ、 新しい青色に追加される赤色、 緑色、 および青色の調整ついての重みです。

このコンセプ ト を広げて、 標準の 3 × 3 行列乗算を各色チャネルに同時に並列に適用できます。 これは、 重みによって色補正マ ト リ クスが定義される行列演算です。 一般的なアプリ ケーシ ョ ンでは、 色補正には黒 [0,0,0] レベルが確実に達成されるよ うにオフセッ ト補正が含まれています。

次の行列演算も色補正に使用できます。

式 3-5

式 3-6

注記: K 係数は、 S3.12 固定小数点形式 (1 符号ビッ ト 、 3 整数ビッ ト、 12 小数ビッ ト ) で表されます。 16 ビッ ト符号付き整数値 (2 の補数) は、 [-8 .. 8] の範囲の実数と等価です。 オフセッ ト値 O は、 データ幅に 1 を加えた幅です。 これは符号付きの整数で、 範囲は [-2Data_Width, 2Data_Width-1] です。

RcGcBc

K11 K12 K13K21 K22 K23K31 K32 K33

RGB

O1O2O3

+=

YUV

K11 K12 K13K21 K22 K23K31 K32 K33

YUV

O1O2O3

+=

Page 40: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 40PG231 2019 年 12 月 17 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

行列演算

3x3 行列 (およびオフセッ ト ) を使用して、 RGB と YUV 4:4:4 との間で色空間変換を実行できます。

式 3-7

式 3-8

行列演算に示すよ うに、 入力ピクセルは補正された 1 組の出力ピクセルに変換されます。 これは、 静的アプリ ケーシ ョ ンと して構成された非常に便利な関数です。 ただし、 係数およびオフセッ ト値はプログラム可能であるため、この関数は、 別の制御ループに基づいて変わる照明条件に適応させるこ とができます。

クリ ップおよびクランプ

ク リ ップ値と ク ランプ値の幅はデータ幅と同じです。 これらは符号なしの整数で、 範囲は [0, 2Data_Width-1] です。

機能

色空間の変換/補正機能は、 さまざまな色補正アプリ ケーシ ョ ンに 3 x 3 行列乗算を提示します。 係数行列は完全にプログラム可能で、 オフセッ ト補正が含まれます。 さ らに出力のク リ ップおよびク ランプも定義可能です。

サポート される機能を次にまとめます。

• ユーザー プログラマブルな行列係数

• RGB、 YUV 4:4:4、 YUV 4:2:2、 および YUV 4:2:0 のサポートおよび変換

• 8、 10、 12、 または 16BPC のサポート

• RGB から YUV への (またはその逆) 変換に使用する係数を設定する ド ラ イバー API

• 輝度、 コン ト ラス ト 、 彩度、 ゲインを設定/取得する ド ライバー API

色空間の変換

この機能は主に、 RGB 領域と YUV 領域の色空間の変換を目的と しています。 オフセッ ト補正を含む完全にプログラム可能な 3 x 3 行列乗算、 ク リ ップおよびク ランプによ り、 複数のビデオ規格をサポート可能です。

色の補正

この機能は、 ビデオ フレーム内のユーザー指定ウ ィンド ウでの追加の色補正をサポート します。 ユーザーはデモ ウ ィンド ウ内でのみ適用される第 2 の係数行列を定義できます。 また、 デモ ウ ィンド ウのサイズおよび位置もユーザーが設定します。

RGB

K11 K12 K13K21 K22 K23K31 K32 K33

YUV

O1O2O3

+=

YUV

K11 K12 K13K21 K22 K23K31 K32 K33

RGB

O1O2O3

+=

Page 41: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 41PG231 2019 年 12 月 17 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

フ ィルターの係数およびオフセッ ト

係数は 16.12 の固定小数点形式で表示されます。 16 ビッ ト符号付き整数値 (2 の補数) は、 [-8 .. 8] の範囲の実数と等価です。

オフセッ ト値は、 データ幅に 1 を加えた幅です。 式 3-9 に示す範囲の符号付き整数です。

[-2Data_Width, 2Data_Width-1] 式 3-9

行列演算の出力は、 切り捨て前に出力 LSB の半分を加算して DATA_WIDTH ビッ トに丸められます。

ク リ ップ値よ り も大きい出力値は、 ク リ ップ値で置き換えられます。 ク ランプ値よ り も小さい出力値は、 ク ランプ値で置き換えられます。 ク リ ップ値と ク ランプ値の幅はデータ幅と同じです。 これらは符号なしの整数で、 範囲は [0 ..2Data_Width-1] です。

カラー モード

次の表にカラー モードの値を示します。

IP は、 あらゆるフォーマッ ト間 (RGB、 4:4:4、 4:2:2、 4:2:0) の変換に対応しています。 ただし、 適切なフォーマッ トがハード ウェアで有効になっているこ とが前提です。

フレーム サイズ

列の数は、 クロ ッ クあた りのサンプル数の倍数でなければなり ません。 ビデオ フォーマッ トが 4:2:2 または 4:2:0 の場合、 列の数は偶数である必要があ り ます。 ビデオ フォーマッ トが 4:2:0 の場合は、 行の数も偶数でなければなり ません。

ウィンドウ

ウ ィンド ウ関数は Linux ド ラ イバーではサポート されていません。 ハード ウェアでウ ィンド ウ関数が有効になっていない場合、 次のレジスタはあ り ません。

• HwReg.ColStart

• HwReg.ColEnd

• HwReg.RowStart

• HwReg.RowEnd

• HwReg.K11_2

• HwReg.K12_2

• HwReg.K13_2

• HwReg.K21_2

• HwReg.K22_2

• HwReg.K23_2

• HwReg.K31_2

表 3-3: ビデオ フォーマッ トの値

ビデオ フォーマッ ト 値

RGB 0

4:4:4 1

4:2:2 2

4:2:0 3

Page 42: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 42PG231 2019 年 12 月 17 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

• HwReg.K32_2

• HwReg.K33_2

• HwReg.ROffset_2

• HwReg.GOffset_2

• HwReg.BOffset_2

• HwReg.ClampMin_2

• HwReg.ClipMax_2

クロマ リサンプリング

ヒ トの目には、 輝度 (明るさ ) のディテールと比べてクロ ミナンス (色) のディテールに対する受容性があ り ません。色空間の変換を使用する と、 RGB を YUV 色空間に変換できます。 この場合、 Y は輝度情報、 U と V は得られた色差信号です。 通常の視聴距離では、 よ り低いレートでの色差信号 (U および V) のサンプリ ングによる知覚可能な損失はなく、 シンプルで効果的なビデオ圧縮が提供されるため、 ス ト レージおよび伝送コス トが低減されます。

ク ロマ リサンプラー機能は、 4:4:4、 4:2:2、 および 4:2:0 のクロマ サブサンプリ ング フォーマッ ト間での変換を実行します。 サポート されている 3 つのサブサンプリ ング フォーマッ トでは合計 6 つの変換が可能です。変換には、 FIR フ ィルター手法が使用されます。 一部の変換では、 水平方向のみ、 垂直方向のみ、 またはその両方でのフ ィルタ リングが必要です。 補間演算は、 2 相ポ リ フェーズ FIR フ ィルターを使用して実装されます。 間引き演算はローパス 2 相ポ リ フェーズ FIR フ ィルターを使用して実装され、 ク ロマ エイ リ アシングを抑制します。

機能

ク ロマ リサンプラー機能は、 数種のクロマ サブサンプリ ング フォーマッ ト間での変換を実行します。 サポート されるフォーマッ トは、 4:4:4、 4:2:2、 および 4:2:0 です。 ビデオ サンプルの補間と間引きには次の 3 つのオプシ ョ ンがあり ます。

• 高性能アプリ ケーシ ョ ンの場合、 プログラム可能な係数で設定できるフ ィルターを定義する。

• 低フッ トプ リ ン ト アプリ ケーシ ョ ンの場合、 2 のべき乗の係数で定義された静的フ ィルターを使用する。

• 小のフッ トプ リ ン トの場合、 ピクセルを複製または破棄する。

サポート される機能を次にまとめます。

• ユーザー プログラマブルなフ ィルター係数

• 4、 6、 8、 または 10 のタ ップ フ ィルター

• RGB、 YUV 4:4:4、 YUV 4:2:2、 および YUV 4:2:0 のサポート

• 8、 10、 12、 または 16BPC のサポート

• ク ロマ リサンプリ ングが不要な場合は実行時にパススルー モードを設定可能

サブサンプリングされたビデオ フォーマッ ト

サブサンプリ ング方式は、 一般に 3 つのパーツの比 J:a:b (たとえば 4:2:2) で表現されます。 これは、 幅が J ピクセル、高さが 2 ピクセルの概念的領域で、 輝度信号と色 (ク ロ ミナンス) 信号のサンプルの現れ方を示しています。 パーツはそれぞれ順に次のとおりです。

• J: 水平方向のサンプリ ングの基本数 (概念的領域の幅)。 通常は 4。

• a: J ピクセルの 初のラインに現れるクロ ミナンス サンプル (V、 U) の数

• b: J ピクセルの 2 番目のラインに現れる追加のクロ ミナンス サンプル (V、 U) の数

も一般的なサブサンプリ ング手法を説明するために、 図 3-9 に示すグラフ ィカルな記号を用いてサンプリ ング グリ ッ ド ピクセルを表しています。

Page 43: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 43PG231 2019 年 12 月 17 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

4:4:4

RGB と同様に、 4:4:4 フォーマッ トは画像の取り込みと表示の目的で使用されます。 U チャネルと V チャネルは輝度と同じレートでサンプリ ングされます。 したがって、 すべてのピクセル位置には、 図 3-10 に示すよ うに、 輝度データおよび色差データが共に配置されます。

4:2:2

このフォーマッ トには、 水平方向にサブサンプリ ングされた色差データが含まれます。 輝度サンプル 1 つおきに、関連する U サンプルと V サンプル ペアがあ り ます。 サブサンプリ ングされた色差データは、 図 3-11 に示すよ うに、1 つおきの輝度サンプルと共に配置されます。

4:2:0

4:2:0 エンコードには、 水平方向および垂直方向にサブサンプリ ングされた色差が含まれます。 水平方向および垂直方向の色差データは、 交互の走査線上にある 1 つおきの輝度サンプルと共に配置されます。 サンプ リ ング位置は図 3-12 に示します。

X-Ref Target - Figure 3-9

図 3-9: 輝度および色差を表す記号

X-Ref Target - Figure 3-10

図 3-10: YUV 4:4:4 フォーマッ ト

X-Ref Target - Figure 3-11

図 3-11: YUV 4:2:2 フォーマッ ト

X-Ref Target - Figure 3-12

図 3-12: YUV 4:2:0 フォーマッ ト

Page 44: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 44PG231 2019 年 12 月 17 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

インプリ メンテーシ ョ ン

サポート されている 3 つのサブサンプリ ング フォーマッ ト (4:4:4、 4:2:2、 4:2:0) 間で、 6 つの変換が可能です。 変換には、 FIR フ ィルター手法が使用されます。 一部の変換では、 水平方向のみ、 または垂直方向のみのフ ィルタ リ ングが必要であ り、 場合によっては、 水平方向と垂直方向の両方でフ ィルタ リ ングする必要があ り ます。 表 3-4 に、 デフォルトのフ ィルター情報と詳細を示します。

各変換には次の 3 つの実装オプシ ョ ンがあ り ます。

• 係数およびタ ップ数がプログラム可能な DSP48 ベース フ ィルター。 二次元フ ィルターは分離可能でなければなり ません。 係数は、 [-8...8] 範囲の 16 ビッ ト符号付き 4 整数ビッ トおよび 12 少数ビッ トの固定小数点フォーマッ トで表されます。

• 2 のべき乗の固定係数で事前に定義された、 プログラム不可のフ ィルター (フ ィルタ リ ングにはシフ トおよび加算のみが使用されるため、 DSP48 は使用されない)。 デフォルトの係数で、 補間およびアンチエイ リ アシング ローパス フ ィルターの線形補間が実装されます。

• も簡単で 小のフッ トプ リ ン ト ソ リ ューシ ョ ンは、 サンプルを単に破棄 (間引き ) または複製 (補間) するこ とです。 ダウンサンプリ ングでは、 一部のサンプルは出力に直接渡されますが、 ほかのサンプルは完全に破棄されます。 アップ コンバーターの場合、 前の入力サンプルが複製されます。

4:2:2 から 4:4:4 への変換

この変換は 1:2 水平補間演算で、 2 相ポリ フェーズ FIR フ ィルターを使用して実装されます。 2 つの出力ピクセルのうち 1 つは、 入力サンプルの 1 つと共に配置されます。 この入力サンプルを複製するだけで、 理想的な出力が得られます。

出力ピクセル ox,y を評価するために、 FIR フ ィルターによって COEFk_HPHASEpx のたたみ込みが使用されます。 この場合、 k は係数インデッ クス、 ix,y は入力画像からのピクセル、 px は補間の位相 (0 または 1、 x による ) および [ ]M

m は M でのク リ ッピングと m でのクランピングを伴う丸めを表しています。 DW はデータ幅あるいはビデオ コンポーネン ト あた りのビッ ト数です。 Ntaps はフ ィルター タ ップの数です。

式 3-10

位相 1 では、 COEF00_HPHASE1 はフ ィルター アパーチャの直近の入力サンプルに適用される係数です。 図 3-13 に、4 タ ップ フ ィルター サンプルの係数の使用を示します。 こ こでは、 名称を簡略化して使用しています (a = COEF00_HPHASE1、 b = COEF01_HPHASE1、 c = COEF02_HPHASE1、 および d = COEF03_HPHASE1)。

表 3-4: クロマ サンプリングの構成

コンバーター フ ィルターの構成

4:4:4 から 4:2:2 へ 水平方向アンチエイ リ アシング

4:4:4 から 4:2:0 へ 分離可能な二次元アンチエイ リ アシング

4:2:2 から 4:4:4 へ 水平方向補間

4:2:2 から 4:2:0 へ 垂直方向アンチエイ リ アシング

4:2:0 から 4:4:4 へ 分離可能な二次元補間

4:2:0 から 4:2:2 へ 垂直方向補間

ox y, ix k y,– COEFk_HPHASEpxk 0=

Ntaps 1–

0

2DW 1–

=

Page 45: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 45PG231 2019 年 12 月 17 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

定義済みフ ィルターによって位相 0 の入力サンプルが複製されます。 位相 1 フ ィルターは [0.5 0.5] です。

4:4:4 から 4:2:2 への変換

この変換は 2:1 水平間引き演算で、 ローパス FIR フ ィルターを使用して実装され、 ク ロマ エイ リ アシングを抑制します。 出力ピクセル ox,y を評価するために、 コアの FIR フ ィルターによって COEFk_HPHASE0 のたたみ込みが使用されます。 この場合、 k は係数インデッ クス、 ix,y は入力画像からのピクセル、 および [ ]M

m は M でのク リ ッピングと m でのク ランピングを伴う丸めを表しています。 DW はデータ幅またはビデオ コンポーネン ト あた りのビッ ト数です。 Ntaps はフ ィルター タ ップの数です。

式 3-11

位相 0 では、 COEF00_HPHASE0 はフ ィルターの直近の入力サンプルに適用される係数です。 図 3-11 に、 5 タ ップ フ ィルター サンプルの係数の使用を示します。 こ こでは、 名称を簡略化して使用しています (a = COEF00_HPHASE0、 b = COEF01_HPHASE0、 c = COEF02_HPHASE0、 d = COEF03_HPHASE0、 および e = COEF04_HPHASE0)。

定義済みフ ィルターの係数は [0.25 0.5 0.25] です。

4:2:0 から 4:2:2 への変換

この変換は 1:2 垂直補間演算で、 2 相ポリ フェーズ FIR フ ィルターを使用して実装されます。 2 つの出力ピクセルのうち 1 つは、 入力サンプルの 1 つと共に配置されます。 この入力サンプルを複製するだけで、 理想的な出力が得られます。

出力ピクセル ox,y を評価するために、 コアの FIR フ ィルターによって COEFk_VPHASEpy のたたみ込みが使用されます。 この場合、 k は係数インデッ クス、 py は補間の位相、 ix,y は入力画像からのピクセル、 および [ ]M

m は M でのクリ ッピングと m でのクランピングを伴う丸めを表しています。 DW はデータ幅またはビデオ コンポーネン ト あたりのビッ ト数です。 Ntaps はフ ィルター タ ップの数です。

X-Ref Target - Figure 3-13

図 3-13: 4:2:2 から 4:4:4 への変換で使用する係数の構成

X-Ref Target - Figure 3-14

図 3-14: 4:4:4 から 4:2:2 への変換で使用する係数の構成

ox y, ix k y,– COEFk_HPHASE0k 0=

Ntaps 1–

0

2DW 1–

=

Page 46: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 46PG231 2019 年 12 月 17 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

式 3-12

位相 1 では、 COEF00_VPHASE1 はフ ィルターの直近の入力サンプルに適用される係数です。 図 3-15 に、 4 タ ップ フ ィルター サンプルの係数の使用を示します。 こ こでは、 名称を簡略化して使用しています (a = COEF00_VPHASE1、 b = COEF01_VPHASE1、 c = COEF02_VPHASE1、 および d = COEF03_VPHASE1)。

定義済みフ ィルターは係数 [0.5 0.5] を使用して出力サンプルのうちの 1 つを補間します。 ほかの出力サンプルは、 入力サンプルを複製したものです。

4:2:2 から 4:2:0 への補間

この変換は 2:1 垂直間引き演算で、 ローパス FIR フ ィルターを使用して実装され、 ク ロマ エイ リ アシングを抑制します。 出力ピクセル ox,y を評価するために、 コアの FIR フ ィルターによって COEFk_VPHASE0 のたたみ込みが使用されます。 この場合、 k は係数インデッ クス、 ix,y は入力画像からのピクセル、 および [ ]M

m は M でのク リ ッピングと m でのク ランピングを伴う丸めを表しています。 DW はデータ幅またはビデオ コンポーネン ト あた りのビッ ト数です。 Ntaps はフ ィルター タ ップの数です。

式 3-13

位相 0 では、 COEF00_VPHASE0 はフ ィルターの直近の入力サンプルに適用される係数です。 図 3-16 に、 5 タ ップ フ ィルター サンプルの係数の使用を示します。 こ こでは、 名称を簡略化して使用しています (a = COEF00_VPHASE0、 b = COEF01_VPHASE0、 c = COEF02_VPHASE0、 d = COEF03_VPHASE0、 および e = COEF04_VPHASE0)。

X-Ref Target - Figure 3-15

図 3-15: 4:2:0 から 4:2:2 への変換で使用する係数の構成

ox y, ix k y,– COEFk_VPHASE0k 0=

Ntaps 1–

0

2DW 1–

=

Page 47: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 47PG231 2019 年 12 月 17 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

定義済みフ ィルターの係数は [0.25 0.5 0.25] です。

4:2:0 から 4:4:4 への変換

この変換では、 垂直方向と水平方向の両方で補間を実行します。 これは、 4:2:0 から 4:2:2 への変換ブロッ ク と 4:2:2 から 4:4:4 への変換ブロッ クをカスケード接続して実装される分離可能な二次元フ ィルターと同等です。 量子化された垂直フ ィルターの結果は水平フ ィルターによってフ ィルタ リ ングされます。 つま り、 結果は量子化されて [0 ..2DW-1] の範囲に戻されます(DW はデータ幅、 またはビデオ コンポーネン ト あた りのビッ ト数)。

4:2:2 ク ロマの中間値は式 3-13 を用いて計算します。 計算結果は式 3-14 に示すとおりです。

式 3-14

次に、 値は式 3-1 に従ってフ ィルタ リ ングされます。 計算結果は式 3-15 に示すとおりです。

式 3-15

定義済みフ ィルターの係数は、 4:2:0 から 4:2:2 への変換および 4:2:2 から 4:4:4 への変換で定義されているものを使用します。 垂直方向では、 1 つの入力サンプルが複製され、 も う 1 つはフ ィルター [0.5 0.5] で補間されます。 水平方向でも同様です。

4:4:4 から 4:2:0 への変換

この変換では、 垂直方向と水平方向の両方で 2x の間引きを実行します。 これは、 4:4:4 から 4:2:2 への変換ブロッ クと 4:2:2 から 4:2:0 への変換ブロッ クをカスケード接続して実装される分離可能な二次元フ ィルターと同等です。 量子化された水平フ ィルターの結果は垂直フ ィルターによってフ ィルタ リ ングされます。 つま り、 結果量子化されて [0 ..2DW-1] の範囲に戻されます(DW はデータ幅、 またはビデオ コンポーネン ト あた りのビッ ト数)。

X-Ref Target - Figure 3-16

図 3-16: 4:2:2 から 4:2:0 への変換で使用する係数の構成

tx y, ix y, k– COEFk_VPHASEpyk 0=

NVtaps 1–

0

2DW 1–

=

ox y, ix k y,– COEFk_HPHASEpxk 0=

Ntaps 1–

0

2DW 1–

=

Page 48: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 48PG231 2019 年 12 月 17 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

4:2:2 ク ロマの中間値は式 3-2 を用いて計算します。 計算結果は式 3-16 に示すとおりです。

式 3-16

次に、 値は式 3-4 に従ってフ ィルタ リ ングされます。 計算結果は式 3-17 に示すとおりです。

式 3-17

定義済みフ ィルターの係数は、 4:4:4 から 4:2:2 への変換および 4:2:2 から 4:2:0 への変換で定義されているものを使用します。 水平方向では、 間引きはフ ィルター [0.25 0.5 0.25] を用いて実行されます。 垂直方向でも同様です。

リサンプリング フ ィルター

ク ロマ フォーマッ ト変換で実行されるアップサンプリ ングおよびダウンサンプリ ングは、 補間およびアンチエイ リアシングのローパス フ ィルターを用いて実装されます。

ク ロマ リサンプリ ング機能は、 大 10 タ ップおよび 2 相の水平フ ィルターと、 大 10 タ ップおよび 2 相の垂直フ ィルターを備えています。 水平方向と垂直方向の両方でアップサンプリ ング/ダウンサンプリ ングを必要とする変換では、 分離可能な二次元フ ィルターが利用可能です。

選択するタ ップの数は、 偶数 (4、 6、 8、 10) である必要があ り ます。 選択した変換タイプおよびフ ィルター サイズに応じて、 不要な係数を 0 に設定するこ とによ り係数の一部のみを使用できます。

各係数は、 16 ビッ トの 2 の補数値 (4 整数ビッ ト (1 符号ビッ ト ) と 12 小数ビッ ト ) です。符号ビッ トは MSB です。たとえば、 値が 1 の係数は次のビッ ト ベク トルで表されます。

[0001000000000000].

ユニティ ゲインを得るには、 係数が合計して正確に 1 になるよ うにする必要があ り ます。 それらの合計が 1 に満たない場合は、 ダイナミ ッ ク レンジの一部が失われます。

演算ビッ ト幅の増大

フル精度 (DATA_WIDTH+16+log2(NTaps) ビッ ト ) は、水平方向および/または垂直方向の FIR たたみ込み演算では維持されます。

FIR フ ィルター出力は、 切り捨て前にフル精度ド メ インにある出力 LSB の半分を加算して DATA_WIDTH ビッ トに丸められます。 出力データのク リ ッピングおよびク ランピングによ り、 オーバーフローやアンダーフローが回避されます。 データは、 2DATA_WIDTH-1 でク リ ッピングされ、 0 でクランピングされます。

エッジ パディング

画像のエッジ ピクセルは、 画像アーチファ ク ト を防ぐために、 フ ィルタ リ ングの前に複製されます。

注記: バイパス モードを有効にするには、 Video Processing Subsystem IP (すべてのモード ) の入力および出力解像度を設定します。

X-Ref Target - Figure 3-17

tx y, ix k y,– COEFk_HPHASE0k 0=

NHtaps 1–

0

2DW 1–

=

Page 49: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 49PG231 2019 年 12 月 17 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

クロッキング

AXI 4-Stream、 AXI4-MM、 および AXI4-Lite の各インターフェイスはそれぞれ独自のクロ ッ ク レートで動作可能です。 したがって、 3 つの別々のクロ ッ ク インターフェイス (順に aclk_axis、 aclk_axi_mm、 および aclk_ctrl) が用意されています。

Video Processing Subsystem のピクセル スループッ トは、 ク ロ ッ ク周波数と GUI の [Samples per Clock] での設定値との積で定義されます。 aclk_axis のクロ ッ ク周波数が 300MHz で、 ク ロ ッ クあた り 4 サンプルのコンフ ィギュレーシ ョ ンの場合、 Video Processing Subsystem は 1200 メガ ピクセルのスループッ ト レートに対応可能です。 これは、8k@30Hz 解像度のデータ処理に十分です。

リセッ ト

aresetn_ctrl 信号は、 IP のアクティブ Low のリセッ ト入力信号です。 リセッ ト信号は aclk_ctrl 信号に同期する必要があ り ます。 この リセッ ト信号のアサートには、 も遅いクロ ッ クの 16 サイクル以上が必要です。 すべてのレジスタはパワーオン状態にリセッ ト されます。 すべてのキューはフラ ッシュされます。 すべての内部ロジッ クはパワーオン状態に戻り ます。

aresetn_io_axis 信号は、 Video Processing Subsystem がス ト リーミ ング入力のデータを使用する準備ができていないと きに IP を リセッ ト状態に保持するために使用可能な出力信号です。 リセッ ト信号は aclk_axis 信号に同期する必要があ り ます。

Full Fledged モードおよび Scaler Only モードの場合、 aresetn_ctrl 信号とは別に、 VPSS のサブ コアを リセッ トするための内部 GPIO リセッ ト信号があ り ます。 内部 GPIO を制御するには、 IP をセッ ト / リセッ トできる内部 GPIO コンフ ィギュレーシ ョ ンを設定する必要があ り ます。

Page 50: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 50PG231 2019 年 12 月 17 日 japan.xilinx.com

第 4 章

デザイン フローの手順この章では、 コアのカスタマイズと生成、 制約、 およびシ ミ ュレーシ ョ ン/合成/インプリ メンテーシ ョ ンの手順について説明します。 一般的な Vivado® デザイン フローおよび IP インテグレーターの詳細は、 次の Vivado Design Suite ユーザー ガイ ドを参照してください。

• 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 1]

• 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 2]

• 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) [参照 3]

• 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 4]

コアのカスタマイズおよび生成

こ こでは、 ザイ リ ンクス ツールを使用し、 Vivado Design Suite でコアをカスタマイズおよび生成する方法について説明します。

Video Processing Subsystem は、 Vivado Design Suite の Vivado IP インテグレーターでブロ ッ ク デザインに追加できます。 Vivado IP インテグレーターでコアをカスタマイズおよび生成する方法は、『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 1] を参照してください。 IP インテグレーターは、 デザインの検証または生成時に一部のコンフ ィギュレーシ ョ ン値を自動的に計算する場合があ り ます。 値が変わるかど うかを確認するには、 この章のパラ メーターの説明を参照してください。 パラ メーター値を確認するには、Tcl コンソールから validate_bd_design コマンドを実行してください。

IP はユーザー デザインに合わせてカスタマイズできます。 それには、 IP コアに関連する各種パラ メーターの値を次の手順に従って指定します。

1. Flow Navigator で [Create Block Diagram] をク リ ッ クするか、 [IP Integrator] の下にある [Open Block Design] をクリ ッ ク します。

2. 図を右ク リ ッ ク し、 [Add IP] をク リ ッ ク します。

検索可能な IP カタログが開きます。IP インテグレーターのブロ ッ ク デザイン キャンバスの左側にある [Add IP] ボタンをク リ ッ ク しても IP を追加できます。

3. IP 名をク リ ッ ク して Enter キーを押すか、 IP 名をダブルク リ ッ ク します。

4. 選択した IP ブロッ クをダブルク リ ッ クするか、 右ク リ ッ ク して [Customize Block] コマンドをク リ ッ ク します。

詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 2] および 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) [参照 3] を参照してください。

注記: この章の図には Vivado 統合設計環境 (IDE) のスク リーンシ ョ ッ トが使用されていますが、 現在のバージ ョ ンとはレイアウ トが異なる場合があ り ます。

Page 51: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 51PG231 2019 年 12 月 17 日 japan.xilinx.com

第 4 章: デザイン フローの手順

[Top Level] タブでの設定

[Top Level] タブには次のパラ メーターがあ り ます。

• [Component Name]: コンポーネン ト名は IP インテグレーターによって自動的に設定されます。

• [Samples per Clock]: 1、 2、 または 4 のピクセル幅インターフェイスを選択します。

• [Maximum Data Width]: 8、 10、 12、 または 16 ビッ トの色深度を選択します。

• [Maximum Number of Pixels]: 1 スキャンラインあたりの 大ピクセル数を指定します。 指定可能な値は 64 ~ 8192 です。 内部ライン バッファーの深さを設定するには、 この値を指定する必要があ り ます。 上限に近い値を設定する と、 ブロ ッ ク RAM の使用量が 適になり ます。 有効フレーム サイズは、 AXI4-Lite インターフェイスおよびド ライバー API を介して実行時にプログラムできます。 有効な列の数は、 大ピクセル数 ([Maximum Number of Pixels]) 以下でなければなり ません。

• [Maximum Number of Lines]: 1 フレームあたりの 大スキャンライン数を指定します。 指定可能な値は 64 ~ 4320 です。 有効フレーム サイズは、 AXI4-Lite インターフェイスおよびド ライバー API を介して実行時にプログラムできます。 有効な行の数は、 大スキャンライン数 ([Maximum Number of Lines]) 以下でなければなり ません。

• [Video Processing Functionality]: 次の処理オプシ ョ ンが提供されています。

° [Full Fledged]: Full Fledged デザインでは、 デインターレース (オプシ ョ ン)、 スケーリ ング、 色空間の変換および補正などのカラー行列演算、 ク ロマ リサンプリ ング (オプシ ョ ン)、 フレームのド ロ ップ/ リ ピートによるフレーム レート変換 (オプシ ョ ン) が示されます。Full Fledged コンフ ィギュレーシ ョ ンは、 も リ ソースを消費する構成ですが、 デインターレース、 フレーム レート変換、 およびクロマ リサンプ リ ングを除けば必要 低限のものだけを備えた完全な構成となり ます。 デインターレースが含まれない場合は、 プログレッシブ入力のみがサポート されます。 同様に、 後述の Color Space Support オプシ ョ ンによ り、 Video Processing Subsystem は RGB YUV 4:4:4 のみを処理するよ う

X-Ref Target - Figure 4-1

図 4-1: [Top Level] タブ

Page 52: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 52PG231 2019 年 12 月 17 日 japan.xilinx.com

第 4 章: デザイン フローの手順

に構成できるため、 水平方向および垂直方向のクロマ リサンプリ ングが不要になり ます。Full Fledged コンフ ィギュレーシ ョ ンでは、 含まれる機能の設定を変更できます。 たとえばクロマ サンプリングに使用されるアルゴ リズムおよび/またはタ ップ数を変更できます。

° [Scaler Only]: サブシステムは、 RGB、 YUV 4:4:4、 YUV 4:2:2、 および YUV 4:2:0 の間でのビデオ フォーマッ ト変換オプシ ョ ンを用いてスケーリ ング機能を実行するよ うに構成されます。[Enable Color Space Conversion] をオンにして [Color Space Support] で [RGB | YUV 4:4:4 | YUV 4:2:2 | YUV 4:2:0] をオンにする と、 Scaler Only コンフ ィギュレーシ ョ ンは事実上あらゆるフォーマッ ト変換が可能なスケーラー構成となり ます。 基本的なクロマ リサンプリ ングの画質よ り も優れたものが求められたり、 色空間変換の係数をプログラムするこ とが求めらたりするこ とがなければ、 リ ソースに関しては Scaler Only コンフ ィギュレーシ ョ ンは Full Fledged コンフ ィギュレーシ ョ ンに替わる費用対効果の高い方法です。

° [Deinterlacing Only]: サブシステムは、 デインターレース機能のみを実行するよ うに構成されます。

° [Color Space Conversion Only]: サブシステムは、 色補正機能および色空間の変換 (RGB と YUV 4:4:4 との間、 YUV 4:2:2 と YUV 4:2:0 との間) を実行するよ うに構成されます。 つま り、 Color Space Conversion Only コンフ ィギュレーシ ョ ンはあらゆるフォーマッ ト変換に対応可能です。

° [420-422 Chroma Resampling Only]: サブシステムは、 垂直方向クロマ リサンプリ ング機能のみを実行するよ うに構成されます。

° [422-444 Chroma Resampling Only]: サブシステムは、 水平方向クロマ リサンプリ ング機能のみを実行するよ うに構成されます。

• [Top Level Configuration Options]: [Video Processing Functionality] で [Full Fledged] を選択した場合、次の追加設定が利用できます。

° [Enable Interlaced Input]: インターレース サポートが必要な場合は、 このチェッ ク ボッ クスをオンにします。 この場合、 サブシステムには Deinterlacer サブコアが含まれます。

° [Enable Built-in DMA]: このチェッ ク ボッ クスをオンにする と、 サブシステムに Video DMA Engine が含まれます。

° [Use UltraRAM for Line Buffers]: UltraScale+ デバイスでは、 ラ イン バッファーをブロ ッ ク RAM ではなく UltraRAM に格納できます。

° [Color Space Support]: サポートする色空間を選択します。 Full Fledged コンフ ィギュレーシ ョ ンで YUV 4:2:2 を選択する と、 Horizontal Chroma Resampler サブコアが含まれます。 YUV 4:2:0 を選択する と、 Vertical Chroma Resampler サブコアも含まれます。

デインターレーサーの設定

X-Ref Target - Figure 4-2

図 4-2: [Deinterlacer] タブ

Page 53: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 53PG231 2019 年 12 月 17 日 japan.xilinx.com

第 4 章: デザイン フローの手順

[Deinterlacer] タブには次のパラ メーターがあ り ます。

• [Enable Motion Adaptive Deinterlacing]: このオプシ ョ ンをオンにする と、 6 つのデインターレース アルゴ リズム (ライン ダブリ ング、 ウ ィーブ、 垂直時間軸線形補間、 垂直時間軸メディアン、 メディアン、 およびバイ リニア補間) のサポートが可能になり ます。 このチェッ ク ボッ クスをオフにした場合、 2 つのアルゴ リズム (ボブおよびバイ リニア補間) しかサポート されません。

スケーラーの設定

[Video Processing Functionality] で [Scaler Only] を選択した場合、 次のオプシ ョ ンが利用できます。

• [Enable Color Space Conversion]: このオプシ ョ ンをオンにする と、 Scaler Only サブコアで、 入力ビデオ ス トリームと出力ビデオ ス ト リーム間における RGB から YUV 4:4:4 への (またはその逆方向の) 色空間変換が実行時に設定できるよ うになり ます。 ただし、 係数は固定であ りプログラム可能ではあ り ません。

• [Color Space Support]: サポートする色空間を選択します。 YUV 4:2:2 を選択する と、 基本的な水平方向クロマ リサンプ リ ング機能が含まれます。 YUV 4:2:0 を選択する と、 基本的な垂直方向クロマ リサンプ リ ング機能も含まれます。 [Enable Color Space Conversion] をオンにして [Color Space Support] で [RGB | YUV 4:4:4 | YUV 4:2:2 | YUV 4:2:0] をオンにする と、 Scaler Only コンフ ィギュレーシ ョ ンはあらゆるフォーマッ ト変換が可能なスケーラー構成となり ます。

X-Ref Target - Figure 4-3

図 4-3: [Top Level] タブでのスケーラーの設定オプシ ョ ン

Page 54: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 54PG231 2019 年 12 月 17 日 japan.xilinx.com

第 4 章: デザイン フローの手順

[Scaler] タブには次のパラ メーターがあ り ます。

• [Algorithm]: スケーラーには、 次の 3 つの品質レベルが用意されており、 それぞれでリ ソースの使用量が異なります。

° [Bilinear]: バイ リニア スケーリ ングは、 バイ リニア補間を使用してピクセルを計算します。

° [Bicubic]: バイキュービッ ク スケーリ ングでは、 バイ リニア スケーリ ングと比較して要件が若干厳しいため、 アーチファ ク トの少ない滑らかな画像が生成されます。

° [Polyphase]: ポ リ フェーズ スケーラーの画質 ( リ ソース使用量) は、 使用するフ ィルター タ ップ数およびフ ィルター位相数に大いに依存します。

• [Polyphase Filter Control]: ポ リ フェーズ フ ィルタ リ ングを選択した場合は、 水平タ ップと垂直タ ップおよび水平位相および垂直位相をこ こで定義します。

° [Horizontal Taps]: 6、 8、 10、 または 12 の水平フ ィルター タ ップを選択します。

° [Vertical Taps]: 6、 8、 10、 または 12 の垂直フ ィルター タ ップを選択します。

° [Horizontal Phases]: 現在サポート されているのは 64 位相のみです。

° [Vertical Phases]: 現在サポート されているのは 64 位相のみです。

X-Ref Target - Figure 4-4

図 4-4: [Scaler] タブ

Page 55: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 55PG231 2019 年 12 月 17 日 japan.xilinx.com

第 4 章: デザイン フローの手順

クロマ リサンプラーの設定

Video Processing Subsystem は 4:4:4 と 4:2:2 のフォーマッ ト間および 4:2:2 と 4:2:0 フォーマッ ト間のクロマ リサンプ リングをサポート します。

上記 2 つのクロマ リサンプリ ングのタブには次のパラ メーターがあ り ます。

• [Algorithm]:

° [Drop Repeat]: ド ロ ップ オプシ ョ ンを使用する と、 フ ィルターなしでダウン コンバージ ョ ンが実行されます。 一部のサンプルは出力に直接渡されますが、 ほかのサンプルは完全に破棄されます。 これは、 行単位、およびピクセル単位で実行されます。 リ ピート オプシ ョ ンは、 すべてのアップコンバーターで使用できます。 このオプシ ョ ンは、 垂直方向および水平方向の両方のド メ インで適切に適用されます。 リ ピート オプシ ョ ンを使用する と、 フ ィルターなしでアップコンバージ ョ ンが実行されます。 その代わりに、 以前の入力サンプルが複製されます。

° [Predefined]: フ ィルターはあらかじめ定義されており、 プログラム可能ではあ り ません。 フ ィルターの係数には 2 のべき乗のみが使用されるため、 DSP48 は必要あ り ません。 アンチエイ リ アシングおよび補間に使用するローパス フ ィルターには線形補間が採用されています。

° [FIR]: このオプシ ョ ンは、 AXI4-Lite インターフェイスを介してフ ィルター係数をプログラムするために使用します。

• [Filter Control]: FIR フ ィルタ リ ングを選択した場合は、 水平タップと垂直タ ップの数をこ こで指定します。

° [Horizontal Taps]: 4、 6、 8、 または 10 の水平フ ィルター タ ップを選択します。

X-Ref Target - Figure 4-5

図 4-5: [4:2:2 – 4:4:4 Chroma Resampling] タブ

X-Ref Target - Figure 4-6

図 4-6: [4:2:0 – 4:2:2 Chroma Resampling] タブ

Page 56: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 56PG231 2019 年 12 月 17 日 japan.xilinx.com

第 4 章: デザイン フローの手順

° [Vertical Taps]: 4、 6、 8、 または 10 の垂直フ ィルター タ ップを選択します。

カラー行列の設定

[Video Processing Functionality] で [Color Space Conversion Only] を選択した場合、 次のオプシ ョ ンが利用できます。

• [Color Space Support]: サポートする色空間を選択します。 YUV 4:2:2 を選択する と、 基本的な水平方向クロマ リサンプ リ ング機能が含まれます。 YUV 4:2:0 を選択する と、 基本的な垂直方向クロマ リサンプ リ ング機能も含まれます。

X-Ref Target - Figure 4-7

図 4-7: [Top Level] タブでのカラー行列の設定オプシ ョ ン

Page 57: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 57PG231 2019 年 12 月 17 日 japan.xilinx.com

第 4 章: デザイン フローの手順

[Color Matrix] タブには次のパラ メーターがあ り ます。

• [Enable Demo Window]: この機能によ り、 画像内のユーザー指定ウ ィンド ウ内で異なる行列係数セッ ト を使用できます。

ユーザー パラメーター

表 4-1 に、 Vivado IDE のフ ィールド とユーザー パラ メーターの対応関係を示します。 ユーザー パラ メーターは Tcl コンソールで表示可能です。

X-Ref Target - Figure 4-8

図 4-8: [Color Matrix] タブ

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Top Level

Samples Per Clock C_SAMPLES_PER_CLK 2

Maximum Data Width C_MAX_DATA_WIDTH 10

Maximum Number of Pixels C_MAX_COLS 3840

Maximum Number of Lines C_MAX_ROWS 2160

Video Processing Functionality C_TOPOLOGY 1

Scaler Only 0

Full Fledged 1

Deinterlacing Only 2

Color Space Conversion Only 3

420-422 Chroma Resampling Only 4

422-444 Chroma Resampling Only 5

Enabled Interlaced Input C_ENABLE_INTERLACED true

Enable Built-in DMA C_ENABLE_DMA true

Page 58: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 58PG231 2019 年 12 月 17 日 japan.xilinx.com

第 4 章: デザイン フローの手順

出力の生成

詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 2] を参照してください。

Use UltraRAM for Line Buffers USE_URAM 0

Color Space Support C_COLORSPACE_SUPORT 0

RGB | YUV 4:4:4 | YUV 4:2:2 | YUV 4:2:0 0

RGB | YUV 4:4:4 | YUV 4:2:2 1

RGB | YUV 4:4:4 2

Deinterlacer

Enable Motion Adaptive Deinterlacing C_DEINT_MOTION_ADAPTIVE true

AXIMM Address Width AXIMM_ADDR_WIDTH 32

Number Read/Write Outstanding AXIMM_NUM_OUTSTANDING 16

Transaction Burst Length AXIMM_BURST_LENGTH 16

Scaler

Algorithm C_SCALER_ALGORITHM Polyphase

Bilinear 0

Bicubic 1

Polyphase 2

Horizontal Taps C_H_SCALER_TAPS 6

Vertical Taps C_V_SCALER_TAPS 6

Horizontal Phases C_H_SCALER_PHASES 64

Vertical Phases C_V_SCALER_PHASES 64

Enable 4:2:2 Color Format C_SCALER_ENABLE_422 true

Chroma Resampler

Horizontal Algorithm C_H_CHROMA_ALGORITHM FIR

Drop Repeat 0

Predefined 1

FIR 2

Vertical Algorithm C_V_CHROMA_ALGORITHM FIR

Drop Repeat 0

Predefined 1

FIR 2

Horizontal Taps C_H_CHROMA_TAPS 4

Vertical Taps C_V_CHROMA_TAPS 4

Color Matrix

Enable Demo Window C_CSC_ENABLE_WINDOW true

Enable 4:2:2 Color Format C_CSC_ENABLE_422 true

注記:1. パラ メーター値については、 Vivado IDE のパラ メーター値とユーザー パラ メーター値の異なるものを示しています。 これら

の値は、 パラ メーター名の下に字下げして表記しています。

表 4-1: Vivado IDE のパラメーターとユーザー パラメーターの対応表 (続き)

Vivado IDE のパラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

Page 59: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 59PG231 2019 年 12 月 17 日 japan.xilinx.com

第 4 章: デザイン フローの手順

コアへの制約

こ こでは、 Vivado Design Suite でコアに制約を指定する方法について説明します。

必須の制約

必要な制約は、 AXI4-Stream ビデオ インターフェイス ク ロ ッ ク aclk_axis、 AXI4-Lite 制御インターフェイス クロ ッ ク aclk_ctrl、 およびメモ リ サブシステム ク ロ ッ ク aclk_axi_mm のクロ ッ ク周波数制約のみです。AXI4-Lite 信号のパスは set_false_path で制約する必要があ り、 これによ り AXI4-Lite 信号のセッ ト アップおよびホールド チェッ クは無視されます。 これらの制約は、 IP に含まれる XDC 制約ファイルで提供されています。

デバイス、 パッケージ、 スピード グレードの選択

このセクシ ョ ンは、 この IP コアには適用されません。

クロック周波数

このセクシ ョ ンは、 この IP コアには適用されません。

クロック管理

このセクシ ョ ンは、 この IP コアには適用されません。

クロック配置

このセクシ ョ ンは、 この IP コアには適用されません。

バンク設定

このセクシ ョ ンは、 この IP コアには適用されません。

ト ランシーバーの配置

このセクシ ョ ンは、 この IP コアには適用されません。

I/O 規格と配置

このセクシ ョ ンは、 この IP コアには適用されません。

シミ ュレーシ ョ ン

このコアのシ ミ ュレーシ ョ ンはサポート されません。

Page 60: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 60PG231 2019 年 12 月 17 日 japan.xilinx.com

第 4 章: デザイン フローの手順

合成およびインプリ メンテーシ ョ ン

合成およびインプ リ メンテーシ ョ ンの詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 2] を参照してください。

Page 61: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 61PG231 2019 年 12 月 17 日 japan.xilinx.com

第 5 章

サンプル デザインの詳細Video Processing Subsystem の機能を示すために、 IP インテグレーターのサンプル デザインが提供されています。

表 5-1 にサポート されるプラ ッ ト フォームを示します。

サンプル プロジェク ト を開くには、 次の手順を実行します。

1. IP インテグレーターのブロ ッ ク デザイン キャンバスに IP を追加します。

2. IP がブロ ッ ク デザインにインスタンシエート されたら、 それをダブルク リ ッ ク してカスタマイズします。

3. 選択した IP ブロッ クを右ク リ ッ ク し、 表示される メニューで [Open IP Example Design] をク リ ッ ク します。

4. [Open IP Example Design] ダイアログ ボッ クスでサンプル プロジェク トのディ レク ト リ を選択して [OK] をクリ ッ ク します。

Vivado® で新しいセッシ ョ ンが開き、 [Sources] ビューの [Desugb Sources] の下にサンプル デザインが表示されます。

Full Fledged のビデオ プロセッシング デザイン

図 5-1 に、 上位のブロ ッ ク図を示します。 ビデオ パスには、 Video Test Pattern Generator および AXI4-Stream to Video Out コアがあ り ます。 これらの IP はプロセッサで制御されており、 MIG と接続されたメモ リ インターコネクトが外部 DDR と インターフェイスしています。

表 5-1: サポート されるプラッ ト フォーム

開発ボード 追加ハードウェア プロセッサ

KC705 N/A MicroBlaze™

ZCU102 N/A R5

ZCU104 N/A R5

ZCU106 N/A R5

Page 62: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 62PG231 2019 年 12 月 17 日 japan.xilinx.com

第 5 章: サンプル デザインの詳細

ビデオ パス

Video Test Pattern Generator は、 Video Processing Subsystem に接続されています。 Video Processing Subsystem の出力は、AXI4-Stream to Video Out IP に接続されています。

X-Ref Target - Figure 5-1

図 5-1: Full Fledged のビデオ プロセッシング デザインの最上位ブロック図

Page 63: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 63PG231 2019 年 12 月 17 日 japan.xilinx.com

第 5 章: サンプル デザインの詳細

メモリ サブシステム

Full Fledged および Deinterlacing Only デザインのメモ リ サブシステムは、 MIG へ接続された AXI-MM インターコネク ト 3:1 ク ロスバーで構成されています。 ク ロスバーへ接続される 3 つのポートは、プロセッサからのデータ キャ ッシュ ポート と命令キャ ッシュ ポート、 および Video Processing Subsystem のメモ リ ポートです。 Scaler Only、 Color Space Conversion Only、 および Chroma Resampling Only のデザインの場合、 インターコネク トは 2:1 ク ロスバーとなり ます。

プロセッサ サブシステム

IP の制御にはプロセッサを使用します。 サンプル デザインは、 CPU の負荷がク リティカルではないため、 性能に適化されていないデフォルト設定を使用しています。 MicroBlaze には、 8KB のデータ キャ ッシュ と命令キャッシュが追加されています。 MicroBlaze プロセッサは 100MHz のクロ ッ ク速度で動作しています。

クロッキング

Video Processing Subsystem は、Kintex®-7 KC705 ボードで利用可能な 200MHz システム ク ロ ッ クから クロ ッ ク ジェネレーターが生成する 3 つのクロ ッ クを使用します。 メモ リ サブシステムは、 200MHz のこのシステム ク ロ ッ クで動作します。 このクロ ッ クは Clock Wizard IP へ転送されて、 出力と して 300MHz ク ロ ッ ク と 100MHz ク ロ ッ クを生成します。 300MHz ク ロ ッ クは、 AXI4-Stream ビデオ インターフェイスを駆動します。 100MHz ク ロ ッ クは、 AXI4-Lite 制御インターフェイス とプロセッサも駆動します。

サンプル デザイン ツール

合成可能なサンプル デザインには、 Vivado とザイ リ ンクス Vitis™ ソフ ト ウェア プラ ッ ト フォームの両方が必要です。

まず、 Vivado で合成、 インプリ メンテーシ ョ ンおよびビッ ト ス ト リーム生成を実行します。 これらの手順が完了したら、 [File] → [Export] → [Export Hardware] をク リ ッ ク します。 ダイアログ ボッ クスで [Include bitstream] をオンにし、 エクスポート先ディ レク ト リ を選択して [OK] をク リ ッ ク します。

次の手順に従って、 Vitis ソフ ト ウェア プラ ッ ト フォームで ELF ファ イル (Executable and Linkable Format) ファ イルを生成します。

Page 64: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 64PG231 2019 年 12 月 17 日 japan.xilinx.com

第 5 章: サンプル デザインの詳細

1. Vitis ソフ ト ウェア プラ ッ ト フォームを起動します。

2. [File] → [New Application Project] をク リ ッ ク します。

X-Ref Target - Figure 5-2

X-Ref Target - Figure 5-3

Page 65: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 65PG231 2019 年 12 月 17 日 japan.xilinx.com

第 5 章: サンプル デザインの詳細

3. Vivado を使用して作成された XSA ファ イル (ザイ リ ンクス シェル アーカイブ) を追加します。

4. XSA を選択する際は [New Application Project] ウ ィンド ウで XSA ファ イルをク リ ッ ク します。

X-Ref Target - Figure 5-4

X-Ref Target - Figure 5-5

Page 66: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 66PG231 2019 年 12 月 17 日 japan.xilinx.com

第 5 章: サンプル デザインの詳細

5. [New Application Project] の [Create a new platform from hardware (XSA)] ウ ィンド ウで XSA をク リ ッ ク します。

6. [New Application Project] ウ ィンド ウで、 [CPU]、 [OS]、 および [Language] を適宜設定し、 アプリ ケーシ ョ ン (C 言語) を生成します。

X-Ref Target - Figure 5-6

X-Ref Target - Figure 5-7

Page 67: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 67PG231 2019 年 12 月 17 日 japan.xilinx.com

第 5 章: サンプル デザインの詳細

7. [New Application Project] ウ ィンド ウで [Empty Application] をク リ ッ ク します。

すべてのオプシ ョ ンを選択する と、 次のよ うなウ ィンド ウが表示されます。

X-Ref Target - Figure 5-8

X-Ref Target - Figure 5-9

Page 68: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 68PG231 2019 年 12 月 17 日 japan.xilinx.com

第 5 章: サンプル デザインの詳細

8. デザインに関連するアプリ ケーシ ョ ン ELF ファ イルを作成/実行します。Vitis ソフ ト ウェア プラ ッ ト フォームで [Explorer] ウ ィンド ウを開き、 プロジェク ト と適切なアプリ ケーシ ョ ンをク リ ッ ク します。

9. ターゲッ ト アプリ ケーシ ョ ンをオンにして [OK] をク リ ッ ク します。 次のよ うなウ ィンド ウが表示されます。

X-Ref Target - Figure 5-10

X-Ref Target - Figure 5-11

Page 69: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 69PG231 2019 年 12 月 17 日 japan.xilinx.com

第 5 章: サンプル デザインの詳細

10. アプリ ケーシ ョ ンのソース ファ イルを選択する と、 Vitis ソフ ト ウェア プラ ッ ト フォームはアプリ ケーシ ョ ンをコンパイルし、 [Explorer] ウ ィンド ウの [Debug] フォルダーに ELF ファ イルを生成します。

次に、 アプ リ ケーシ ョ ンを実行します。 手順は次のとおりです。

1. USB ケーブルをホス ト PC から USB JTAG ポートに接続します。 適切なデバイス ド ラ イバーがインス トールされているこ とを確認します。

2. 別の USB ケーブルをホス ト PC から USB UART ポートに接続します。 USB UART ド ラ イバーがインス トールされているこ とを確認します。

3. 評価ボードを電源スロ ッ トに接続します。

4. ボードの電源をオンにします。

5. ホス ト PC 上で、 標準 COM ポート を次のよ うに設定してハイパーターミナルなどの端末プログラムを開始します。

a. ボー レート : 115200

b. データ ビッ ト : 8

c. パリティ : なし

d. ス ト ップ ビッ ト : 1

e. フロー制御: なし

6. [Project Explorer] ビューで [xv_procss_example] を右ク リ ッ ク して、 [Build Project] をク リ ッ ク します。

X-Ref Target - Figure 5-12

Page 70: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 70PG231 2019 年 12 月 17 日 japan.xilinx.com

第 5 章: サンプル デザインの詳細

7. [xv_procss_example] を右ク リ ッ ク し、 [Run As] → [Run Configurations] をク リ ッ ク します。

8. [Run Configuration] ウ ィンド ウで、 [Xilinx C/C++ application (System Debugger)] を右ク リ ッ ク して [New] をク リ ック します。

X-Ref Target - Figure 5-13

図 5-13: アプリケーシ ョ ンを実行する

X-Ref Target - Figure 5-14

図 5-14: [Run Configurations] ウィンドウ

Page 71: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 71PG231 2019 年 12 月 17 日 japan.xilinx.com

第 5 章: サンプル デザインの詳細

9. [Program FPGA] をオンにし、 ZCU102/ZCU104/ZCU106 をターゲッ ト と している場合は、 [Run psu_init] をオンにします。

10. [Run] をク リ ッ ク して FPGA をプログラムし、 ボードでアプリ ケーシ ョ ンを起動します。

次に、 ソフ ト ウェア アプリ ケーシ ョ ンを実行します。 手順は次のとおりです。

重要: ソフ ト ウェア アプリ ケーシ ョ ンを実行する前に、 ハード ウェアに電源が投入されているこ と、 および Digilent 社製ケーブルまたは USB プラ ッ ト フォーム ケーブルがホス ト PC に接続されているこ とを確認してください。 また、ボードの UART ポートに USB ケーブルが接続されているこ と も確認してください。

1. Vitis ソフ ト ウェア プラ ッ ト フォームを起動します。

2. ワークスペースを設定するダイアログ ボッ クスで vpss_example フォルダーを選択します。 Vitis プロジェクトが自動的に開きます (ウェルカム ページが表示された場合は閉じてください)。

3. [Xilinx Tools] → [Program FPGA] をク リ ッ ク して、 ビッ ト ス ト リームを FPGA にダウンロード します。 [Program FPGA] ダイアログ ボッ クスが開きます。

4. Tcl スク リプ トで生成されたビッ ト ス ト リーム ファ イルが [Bitstream] に表示されているこ とを確認し、[Program] をク リ ッ ク します。

注記: プログラ ミ ングが正常に完了する と、 ボード上の DONE LED が緑に変わり ます。

5. UART 通信用にターミナル プログラム (HyperTerminal または PuTTY) が必要です。 プログラムを起動し、 適切なポート を選択し、 ボー レート を 「115200」 に設定してシ リ アル ポート接続を確立します。

6. [Project_Explorer] パネルで [vpss_example_design] を選択して右ク リ ッ ク します。

7. [Run As] → [Launch on Hardware (GDB)] をク リ ッ ク します。

8. [Binaries and Qualifier] をク リ ッ ク し、 [OK] をク リ ッ ク します。

X-Ref Target - Figure 5-15

図 5-15: Run のオプシ ョ ン

Page 72: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 72PG231 2019 年 12 月 17 日 japan.xilinx.com

第 5 章: サンプル デザインの詳細

サンプル デザインのテス ト結果がターミナル プログラムに表示されます。

詳細は、 https://japan.xilinx.com/products/design-tools/vitis.html を参照してください。

サンプル アプリ ケーシ ョ ンをボード上で実行した場合、 アプリ ケーシ ョ ンによって Video Processing Subsystem ト ポロジが決ま り、 それに応じて入力および出力ス ト リームの構成が設定されます。 入力ス ト リームの生成には Test Pattern Generator IP が使用されます。 次に、 ビデオ ロ ッ ク モニター IP がサブシステムの出力をモニターしてロ ッ クが完了したかど うかを判断し、 端末にそのステータス (パス /エラー ) を提示します。

Page 73: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 73PG231 2019 年 12 月 17 日 japan.xilinx.com

付録 A

アップグレードこの付録では、 新版 IP コアへのアップグレードについて説明します。

Vivado Design Suite でのアップグレード

パラメーターの変更点

Video Processing Subsystem v2.0 は、内在するサブコアについてさらに細かな設定をサポート しています。バージ ョ ン 2.0 では、 Deinterlacing Only、 Color Space Conversion Only、および Chroma Resampling Only の各コンフ ィギュレーシ ョンがサポート されています。

[Video Processing Functionality] のデフォルト設定は [Scaler Only] から [Full Fledged] に変更されました。

Chroma Resampling Only の設定は、 4:4:4-4:2:2 および 4:2:2-4:2:0 に分けられています。 アルゴ リズムとフ ィルター タ ップは、 水平方向と垂直方向それぞれに対して選択できます。

ド ライバーは v2.0 に合わせてアップデート されています。 詳細は、 付録 C 「アプ リ ケーシ ョ ン ソフ ト ウェア開発」を参照してください。

Page 74: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 74PG231 2019 年 12 月 17 日 japan.xilinx.com

付録 B

デバッグこの付録では、 ザイ リ ンクス サポート ウェブサイ ト よ り入手可能な リ ソースおよびデバッグ ツールについて説明します。

ザイリンクス ウェブサイ ト

Video Processing Subsystem を使用した設計およびデバッグでヘルプが必要な場合は、 ザイ リ ンクス サポート ウェブ ページから製品の資料、 リ リース ノート、 アンサーなどを参照するか、 テクニカル サポートでサービス リ クエス トを作成してください。

資料

この製品ガイ ドは Video Processing Subsystem に関する主要資料です。 このガイ ド、 並びに設計プロセスで使用する各製品の関連資料はすべて、 ザイ リ ンクス サポート ウェブ ページ (https://japan.xilinx.com/support) またはザイ リ ンクス Documentation Navigator から入手できます。

ザイ リ ンクス Documentation Navigator は、 ダウンロード ページからダウンロードできます。 このツールの詳細および機能は、 インス トール後にオンライン ヘルプを参照してください。

ソリューシ ョ ン センター

デバイス、 ツール、 IP のサポートについては、 ザイ リ ンクス ソ リ ューシ ョ ン センターを参照して ください。 デザイン アシスタン ト 、 デザイン アドバイザリ、 ト ラブルシューティングのヒン ト などが含まれます。

Video Processing Subsystem コア関連のソ リ ューシ ョ ン センターを次に示します。

• ザイ リ ンクス マルチメディア、 ビデオ、 および画像ソ リ ューシ ョ ン センター

アンサー アンサーには、 よ く発生する問題についてその解決方法、 およびザイ リ ンクス製品に関する既知の問題などの情報が記載されています。 アンサーは、 ユーザーが該当製品の 新情報にアクセスできるよ う作成および管理されています。

このコアに関するアンサーの検索には、 ザイ リ ンクス サポート ウェブ ページにある検索ボッ クスを使用します。 より的確な検索結果を得るには、 次のよ うなキーワードを使用してください。

• 製品名

• ツールで表示される メ ッセージ

• 問題の概要

検索結果は、 フ ィルター機能を使用してさ らに絞り込むこ とができます。

Page 75: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 75PG231 2019 年 12 月 17 日 japan.xilinx.com

付録 B: デバッグ

Video Processing Subsystem に関するマスター アンサー

AR: 65449

テクニカル サポート

ザイ リ ンクスは、 製品資料の説明に従って使用されている LogiCORE™ IP 製品に対するテクニカル サポート を japan.xilinx.com/support で提供しています。 ただし、 次のいずれかに該当する場合、 タイ ミ ング、 機能、 サポートは保証されません。

• 資料で定義されていないデバイスにソ リ ューシ ョ ンをインプリ メン ト した場合。

• 資料で定義されている許容範囲を超えてカスタマイズした場合。

• 「DO NOT MODIFY」 と されているデザイン セクシ ョ ンに変更を加えた場合。

ザイ リ ンクス テクニカル サポートへのお問い合わせは、 ザイ リ ンクス サポート ウェブ ページを参照してください。

デバッグ ツール

Video Processing Subsystem デザインの問題を解決するには、 数多くのツールを利用できます。 さまざまな状況をデバッグするのに有益なツールを理解しておく こ とが重要です。

Vivado Design Suite のデバッグ機能

Vivado® Design Suite のデバッグ機能は、 Logic Analyzer および Virtual I/O コアをユーザー デザインに直接挿入します。 デバッグ機能を使用する と、 ト リガー条件を設定して、 アプリ ケーシ ョ ンおよび統合ブロッ クのポート信号をハード ウェアに取り込むこ とができます。 取り込まれた信号は、 その後解析できます。 Vivado IDE のこの機能は、ザイ リ ンクス デバイスで実行されるデザインの論理デバッグおよび検証に使用されます。

Vivado ロジッ ク解析は次の IP ロジッ ク デバッグ コアと共に使用されます。

• ILA 2.0 (およびそれ以降のバージ ョ ン)

• VIO 2.0 (およびそれ以降のバージ ョ ン)

詳細は、 『Vivado Design Suite ユーザー ガイ ド :プログラムおよびデバッグ』 (UG908) [参照 6] を参照してください。

シミ ュレーシ ョ ンのデバッグ

このコアのシ ミ ュレーシ ョ ンはサポート されません。

ハードウェア デバッグ

ハードウェアの問題は、 リ ンク立ち上げ時の問題から、 テス ト後に生じる問題までさまざまです。 こ こでは、 一般的な問題のデバッグ手順を説明します。 Vivado Lab Edition のデバッグ機能は、 ハード ウェア デバッグに有益な リソースです。 次の各セクシ ョ ンに示す信号を Vivado Lab Edition でプローブするこ とで、 個々の問題をデバッグできます。

Page 76: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 76PG231 2019 年 12 月 17 日 japan.xilinx.com

付録 B: デバッグ

一般的なチェ ック

コアに対するタイ ミ ング制約がサンプル デザインからすべて適切に取り込まれているこ と、 さ らにインプリ メンテーシ ョ ン時にこれらの制約がすべて満たされているこ とを確認します。

• 配置配線後のタイ ミ ング シ ミ ュレーシ ョ ンで正し く動作しているかを確認します。 タイ ミ ング シ ミ ュレーシ ョンでは発生しない問題がハードウェアで発生する場合、 PCB の問題である可能性があ り ます。 すべてのクロ ック ソースがアクティブでク リーンであるこ とを確認してください。

• デザインで MMCM を使用している場合、 locked ポート をモニターして、 すべての MMCM がロ ッ ク しているこ とを確認します。

• 出力が 0 になった場合は、 ライセンスを確認してください。

インターフェイスのデバッグ

AXI4-Lite インターフェイス

デフォルトがすべて 0 でないレジスタから読み出して、 インターフェイスが機能しているこ とを確認します。 読み出しアドレスが有効になる と出力 s_axi_arready がアサート され、 読み出しデータ /応答が有効になる と出力 s_axi_rvalid がアサート されます。 インターフェイスが応答しない場合は、 次を確認します。

• s_axi_aclk および aclk 入力が接続されており、 ト グルしているこ とを確認します。

• インターフェイスが リセッ ト状態に保持されておらず、 s_axi_areset がアクティブ Low のリセッ トであることを確認します。

• インターフェイスが有効になっており、 s_axi_aclken がアクティブ High であるこ とを確認します (使用されている場合)。

• メ インのコア ク ロ ッ クが ト グルしており、 イネーブル信号がアサート されているこ とを確認します。

• シ ミ ュレーシ ョ ンが実行されている場合はシ ミ ュレーシ ョ ン、 または Vivado Lab Edition のキャプチャ機能を使用して、 波形が AXI4-Lite インターフェイスへのアクセスに適しているこ とを確認します。

AXI4-Stream インターフェイス

データが送信または受信されていない場合は、 次を確認します。

• <interface_name>_tvalid 入力がアサート された後、 送信の <interface_name>_tready が Low のままになる場合、 コアはデータを送信できません。

• 受信の <interface_name>_tvalid が Low のままになる場合、 コアはデータを受信しません。

• aclk 入力が接続されており、 ト グルしているこ とを確認します。

• AXI4-Stream の波形に従っているこ とを確認します。

• コアの設定を確認します。

Page 77: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 77PG231 2019 年 12 月 17 日 japan.xilinx.com

付録 C

アプリケーシ ョ ン ソフ トウェア開発

ドライバー

Video Processing Subsystem ド ラ イバーは、 含まれるビデオ プロセッシング エレ メン ト を抽象化し、 プロセッシング チェーンをブラ ッ ク ボッ クス と して提示します。 これによ り、 含まれるサブコアの内部の仕組みが抽象化されるため、 すぐに使える形でのソ リ ューシ ョ ンが提供されます。

サブシステム ド ラ イバーは、 ベアメ タル ド ラ イバーです。 サブシステム ド ラ イバーは、 I/O ス ト リームの構成に基づいて実行時にプロセッシング エレ メン トからデータおよび制御フローを動的に管理します。 内部的には、 含まれるサブコアのレイヤー 1 ド ラ イバーによって IP ハードウェア ブロ ッ クが構成され、 レイヤー 2 ド ラ イバーによって、 各サブコアの機能セッ トが抽象化した形で提供されます。

相互依存性

ビデオ共通ド ライバーは、 Vitis ソフ ト ウェア プラ ッ ト フォームに付属しています。 ほとんどのビデオ IP にはマスター /スレーブの AXI4-Stream インターフェイスが備わっているため、 これらコアに対応する ド ライバーのインターフェイス パラ メーターと して Video Stream の概念が取り入れられています。

video_common ソフ ト ウェア ライブラ リによって、 次の機能がサポート されています。

• カラー フォーマッ ト、 色深度、 フレーム レート などのビデオ固有の情報に関するエニュ メ レーシ ョ ン

• 各種のビデオ ス ト リーム、 ビデオ タイ ミ ングおよびビデオ ウ ィンド ウ データ

• あらかじめ定義された解像度およびそれらのタイ ミ ング情報が表示されるビデオ モード テーブル

• モード テーブルからデータにアクセスし、 各ス ト リーム データ タイプで動作するユーティ リ ティ API

アーキテクチャ

サブシステム ド ラ イバーには明確に定義された使いやすい API があ り ます。 このため、 各サブコアを 1 つずつ理解してコンフ ィギュレーシ ョ ンする といった複雑な手順を踏まなくても、 サブシステムは簡単にアプリ ケーシ ョ ンに統合できます。

サブシステム ド ラ イバーの構成は次のとおりです。

• サブシステム レイヤー : プロセッシング コアを介する AXI4-Stream のデータ /制御フローを制御します。 サポート関数を使用して入力/出力ス ト リームのプロパティを確認し、 確定的なユース ケースを実装するために適切な判断を下します。

• サブコア ド ラ イバー : 含まれるすべてのサブコアには、 それぞれに関連付けられたド ライバーがあ り、 2 つの抽象レイヤーが提供されています。

Page 78: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 78PG231 2019 年 12 月 17 日 japan.xilinx.com

付録 C: アプリケーシ ョ ン ソフ トウェア開発

° レイヤー 1: ハードウェア レベルで IP レジスタに対して peak/poke を実行する API を実装します。

° レイヤー 2: コア機能を抽象化する機能セッ ト を実装します。

たとえば、 コア レベルでの色空間変換 IP には 3 × 3 の係数行列が含まれます。RGB から YUV への変換といった必要な変換を実行するには、 この係数行列を操作する必要があ り ます。

• レイヤー 1 は、 これらの 3 × 3 係数レジスタに対して読み出し /書き込みを実行するための API を提供します。必要な係数値の計算や特定レジスタのプログラムはユーザー側で実行します。

• レイヤー 2 は、 レイヤー 1 上に構築可能な機能セッ ト を実装します。 レイヤー 2 を使用する と次のこ とがよ り簡単になり ます。

° API を使用した RGB から YUV への (またはその逆) 変換

° API を使用した輝度、 コン ト ラス ト 、 彩度、 ゲインの設定/取得

° 0 ~ 100 のユーザー設定と IP が提供する範囲との間での自動変換

図 C-1 に Video Processing Subsystem のアーキテクチャを示します。

前提条件

Full Fledged ト ポロジの場合、 サブシステムにはビデオ バッファーを格納するための外部メモ リが必要です。 バッファー ス ト レージの DDR アドレスを指定する必要があ り ます。 使用する API は次のとおりです。

void XVprocSs_SetFrameBufBaseaddr(XVprocSs *InstancePtr, UINTPTR addr);

X-Ref Target - Figure C-1

図 C-1: サブシステム ド ライバーのアーキテクチャ

Application Layer

Subsystem Driver

(Layer 1)

IP1 Driver(Layer 2)

(Layer 1)

IP2 Driver(Layer 2)

(Layer 1)

IPn Driver(Layer 2)

Page 79: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 79PG231 2019 年 12 月 17 日 japan.xilinx.com

付録 C: アプリケーシ ョ ン ソフ トウェア開発

使用法

サブシステム ド ラ イバー自体はアクティブ ド ラ イバーではなく、 アプ リ ケーシ ョ ン ソフ ト ウェアを利用して、 提供された API を用いてアクティブ ド ラ イバーを設定します。 アプリ ケーシ ョ ン ソフ ト ウェアは、 システムの外部入力を監視し、 入力/出力ス ト リーム プロパティの変更を提供された API を介してサブシステムに伝達し、 サブシステムの自動リ コンフ ィギュレーシ ョ ン プロセスを ト リガーします。

実際のアプリ ケーシ ョ ンに Video Processing Subsystem ド ラ イバーを統合して使用する場合は、 次の手順に従います。

1. サブシステム オブジェク ト を定義したサブシステム ヘッダー ファ イル xvprocss.h をインクルード します。

2. アプリ ケーシ ョ ン コード内でサブシステム オブジェク トのインスタンスを宣言します。

XVprocSs VprocInst;

3. アプリ ケーシ ョ ンのシステム ペリ フェラル (タイマー、 割り込みコン ト ローラー、 UART など) を初期化します。

4. 遅延ルーチンがタイマーを使用してアプリ ケーシ ョ ン レベルで定義されている場合、 これはサブシステムに登録する必要があ り ます。 void XVprocSs_SetUserTimerHandler(XVprocSs *InstancePtr, XVidC_DelayHandler CallbackFunc, void *CallbackRef);

アプリ ケーシ ョ ンがタイ ミ ング ペリ フェラルを使用してタイムアウ ト を実装していない場合は、 この手順をスキップします。 サブシステム ド ラ イバーは、 プラ ッ ト フォーム固有の遅延ハンド ラーを内部で使用します。

5. パワーオン時に Video Processing Subsystem を初期化します。

int XVprocSs_CfgInitialize(XVprocSs *InstancePtr, XVprocSs_Confg *CfgPtr,UINTPTR EffectiveAddr);

ハードウェア設定へアクセスして、 含まれるサブコアを判断し、 それらを電源オンのデフォルト値に初期化します。 入力/出力ス ト リームの解像度は 60Hz RGB、 1080p に、 色深度は 10 ビッ トに設定されます。 後に、 すべてのコアがリセッ ト され、 サブシステムの Ready フラグがセッ ト されます。

6. サブシステムをコンフ ィギュレーシ ョ ンします。

int XVprocSs_SetSubsystemConfig(XVprocss *InstancePtr);

この API は、 サブシステム プロセッシング制御フローのエン ト リ ポイン トであ り、 ト リガー メカニズムです。入力/出力ス ト リームのプロパティを確認し、 サポート される機能セッ ト と照合してそれらを検証します。 ユース ケースがサポート される場合、 ド ラ イバーはユース ケースの実装に必要なサブコアを決定し、 データフロー ネッ ト ワークを構築し、 ネッ ト ワークでコアをコンフ ィギュレーシ ョ ンし、 ビデオ パイプを開始します。

これで、 サブシステムを使用する準備が整いました。 アプリ ケーシ ョ ン ソフ ト ウェアによってシステム入力または出力における相違点がモニターされ、 その変更がビデオ サブシステムへ伝達されます。

Page 80: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 80PG231 2019 年 12 月 17 日 japan.xilinx.com

付録 D

その他のリソースおよび法的通知

ザイリンクス リソース

アンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 ザイ リ ンクス サポート サイ ト を参照してください。

Documentation Navigator およびデザイン ハブ

ザイ リ ンクス Documentation Navigator (DocNav) では、 ザイ リ ンクスの資料、 ビデオ、 サポート リ ソースにアクセスでき、 特定の情報を取得するためにフ ィルター機能や検索機能を利用できます。 DocNav を開くには、 次のいずれかを実行します。

• Vivado IDE で [Help] → [Documentation and Tutorials] をク リ ッ ク します。

• Windows で [スタート ] → [すべてのプログラム] → [Xilinx Design Tools] → [DocNav] をク リ ッ ク します。

• Linux コマンド プロンプ トに 「docnav」 と入力します。

ザイ リ ンクス デザイン ハブには、 資料やビデオへのリ ンクがデザイン タスクおよびト ピッ クごとにま とめられており、 これらを参照するこ とでキー コンセプ ト を学び、 よ く ある質問 (FAQ) を参考に問題を解決できます。 デザイン ハブにアクセスするには、 次のいずれかを実行します。

• DocNav で [Design Hubs View] タブをク リ ッ ク します。

• ザイ リ ンクス ウェブサイ トのデザイン ハブ ページを参照します。

注記: DocNav の詳細は、 ザイ リ ンクス ウェブサイ トの Documentation Navigator ページを参照してください。

注意: DocNav からは、 日本語版は参照できません。 ウェブサイ トのデザイン ハブ ページをご利用ください。

参考資料

日本語版のバージ ョ ンは、 英語版よ り古い場合があ り ます。

1. 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994: 英語版、日本語版)

2. 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896: 英語版、 日本語版)

3. 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910: 英語版、 日本語版)

4. 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900: 英語版、 日本語版)

Page 81: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 81PG231 2019 年 12 月 17 日 japan.xilinx.com

付録 D: その他のリソースおよび法的通知

5. 『ISE から Vivado Design Suite への移行ガイ ド』 (UG911: 英語版、 日本語版)

6. 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908: 英語版、 日本語版)

7. 『Vivado Design Suite ユーザー ガイ ド : インプ リ メンテーシ ョ ン』 (UG904: 英語版、 日本語版)

8. 『AXI4-Stream Video IP およびシステム デザイン ガイ ド』 (UG934)

9. 『Vivado Design Suite: AXI リ ファレンス ガイ ド』 (UG1037: 英語版、 日本語版)

10. 『AXI Video Direct Memory Access 製品ガイ ド』 (PG020)

11. 『AXI GPIO LogiCORE IP 製品ガイ ド』 (PG144)

改訂履歴

次の表に、 この文書の改訂履歴を示します。

日付 バージョ ン 改訂内容

2019 年 12 月 17 日 2.1 • SDK インスタンスを Vitis ソフ ト ウェア プラ ッ ト フォームに更新。

• 「サンプル デザイン ツール」 セクシ ョ ンを Vitis ソフ ト ウェア プラ ッ ト フォーム フローで更新。

2019 年 5 月 22 日 2.1 • 表 2-1、 表 2-13、 および表 2-16 を更新。

• 第 5 章の 「サンプル デザイン ツール」 セクシ ョ ンを更新。

• 大 8192x4320 までのビデオ解像度のサポート を追加。

• 32 ビッ トおよび 64 ビッ ト メモ リ アドレスのデインターレーサーのサポートを追加。

2018 年 1 月 30 日 2.0 • Video Processing Subsystem の個々の IP のレジスタの説明を追加。

• 個々の IP (スケーラー、 デインターレーサー ) の説明を追加。

• Video Processing Subsystem のリセッ トの説明を追加。

2018 年 4 月 4 日 2.0 • UltraScale+ デバイスのライン バッファーに UltraRAM を使用するオプシ ョ ンを追加。

• サンプル デザインでの ZCU102、 ZCU104、 および ZCU106 ボードのサポートを追加。

2017 年 10 月 4 日 2.0 Color Space Conversion Only 機能に新たなフォーマッ ト変換を追加 (RGB、 YUV 4:4:4、 YUV 4:2:2、 および YUV 4:2:0 との間での変換)。

2017 年 4 月 5 日 2.0 GUI 画面およびそれらの説明を更新。

2016 年 10 月 5 日 2.0 Scaler Only モードにビデオ フォーマッ ト変換を追加、 Video Deinterlacer モードに YUV 4:2:0 サポート を追加、 Chroma Resampler モードそれぞれにパススルー モードを追加。 ザイ リ ンクスの 「自動車用のアプリ ケーシ ョ ンの免責条項」 を更新。

2016 年 4 月 6 日 2.0 設定機能の追加。

2015 年 11 月 18 日 1.0 UltraScale+ デバイスのサポート を追加。

2015 年 10 月 19 日 1.0 初版

Page 82: Video Processing Subsystem v2 - XilinxVideo Processing Subsystem v2.1 製品ガイド Vivado Design Suite PG231 2019 年 12 月 17 日 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に

Video Processing Subsystem v2.1 82PG231 2019 年 12 月 17 日 japan.xilinx.com

付録 D: その他のリソースおよび法的通知

お読みください: 重要な法的通知本通知に基づいて貴殿または貴社 (本通知の被通知者が個人の場合には 「貴殿」、 法人その他の団体の場合には 「貴社」。 以下同じ ) に開示される情報 (以下 「本情報」 といいます) は、 ザイ リ ンクスの製品を選択および使用するこ とのためにのみ提供されます。 適

用される法律が許容する 大限の範囲で、 (1) 本情報は 「現状有姿」、 およびすべて受領者の責任で (with all faults) という状態で提

供され、 ザイ リ ンクスは、 本通知をもって、 明示、 黙示、 法定を問わず (商品性、 非侵害、 特定目的適合性の保証を含みますがこ

れらに限られません)、 すべての保証および条件を負わない (否認する ) ものと します。 また、 (2) ザイ リ ンクスは、 本情報 (貴殿ま

たは貴社による本情報の使用を含む) に関係し、 起因し、 関連する、 いかなる種類 ・ 性質の損失または損害についても、 責任を負

わない (契約上、 不法行為上 (過失の場合を含む)、 その他のいかなる責任の法理によるかを問わない) ものと し、 当該損失または損

害には、 直接、 間接、 特別、 付随的、 結果的な損失または損害 (第三者が起こした行為の結果被った、 データ、 利益、 業務上の信

用の損失、 その他あらゆる種類の損失や損害を含みます) が含まれるものと し、 それは、 たとえ当該損害や損失が合理的に予見可

能であったり、 ザイ リ ンクスがそれらの可能性について助言を受けていた場合であったと しても同様です。 ザイ リ ンクスは、 本情

報に含まれるいかなる誤り も訂正する義務を負わず、 本情報または製品仕様のアップデート を貴殿または貴社に知らせる義務も負

いません。 事前の書面による同意のない限り、 貴殿または貴社は本情報を再生産、 変更、 頒布、 または公に展示してはなり ませ

ん。 一定の製品は、 ザイ リ ンクスの限定的保証の諸条件に従う こ と となるので、 https://japan.xilinx.com/legal.htm#tos で見られるザ

イ リ ンクスの販売条件を参照して ください。 IP コアは、 ザイ リ ンクスが貴殿または貴社に付与したライセンスに含まれる保証と補

助的条件に従う こ とにな り ます。 ザイ リ ンクスの製品は、 フェイルセーフと して、 または、 フェイルセーフの動作を要求するアプ

リ ケーシ ョ ンに使用するために、 設計されたり意図されたり していません。 そのよ う な重大なアプリ ケーシ ョ ンにザイ リ ンクスの

製品を使用する場合のリ スク と責任は、 貴殿または貴社が単独で負う ものです。 https://japan.xilinx.com/legal.htm#tos で見られるザ

イ リ ンクスの販売条件を参照して ください。

自動車用のアプリケーシ ョ ンの免責条項

オートモーティブ製品 (製品番号に 「XA」 が含まれる ) は、 ISO 26262 自動車用機能安全規格に従った安全コンセプ ト または余剰性

の機能 ( 「セーフティ設計」 ) がない限り、 エアバッグの展開における使用または車両の制御に影響するアプリ ケーシ ョ ン ( 「セー

フティ アプリ ケーシ ョ ン」 ) における使用は保証されていません。 顧客は、 製品を組み込むすべてのシステムについて、 その使用

前または提供前に安全を目的と して十分なテス ト を行う ものと します。 セーフティ設計なしにセーフティ アプリ ケーシ ョ ンで製品

を使用する リ スクはすべて顧客が負い、 製品の責任の制限を規定する適用法令および規則にのみ従う ものと します。

© Copyright 2015-2019 Xilinx, Inc. Xilinx、 Xilinx のロゴ、 Alveo、 Artix、 Kintex、 Spartan、 Versal、 Virtex、 Vivado、 Zynq、 およびこの

文書に含まれるその他の指定されたブランドは、 米国およびその他各国のザイ リ ンクス社の商標です。 すべてのその他の商標は、

それぞれの保有者に帰属します。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページ

の右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。 フ ィードバッ クは日本語

で入力可能です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは

受け付けており ません。 あらかじめご了承ください。