25
© 2016 Accellera Systems Initiative, Inc. March 2016 Welcome to Accellera Day! AGENDA Accellera overview Technical Excellence Award Update: Accellera Portable Stimulus Working Group

Welcome to Accellera Day! V O L U T I O N D A Y MAY 3, 2016 | MUNICH | GERMANY The SYSTEMC EVOLUTION DAY is a user-centric technical workshop on the evolution of SystemC-related standards

Embed Size (px)

Citation preview

© 2016 Accellera Systems Initiative, Inc. March 2016

Welcome to Accellera Day!

AGENDA

• Accellera overview

• Technical Excellence Award

• Update: Accellera Portable Stimulus Working Group

Accellera OverviewFebruary 29, 2016

Shishpal Rawat | Chairman

© 2016 Accellera Systems Initiative, Inc. March 2016

Welcome

Agenda

About Accellera Current news Technical activities IEEE collaboration

2

© 2016 Accellera Systems Initiative, Inc. March 2016

TributeRemembering years of your contributions. Thank you.

Gary SmithEDA Industry Analyst

1941 - 2015

Marie PistilliCo-founder of DAC

1933- 2015

© 2016 Accellera Systems Initiative, Inc. March 2016

Accellera Systems Initiative

Our Mission

To provide a platform in which the electronics industry can collaborate to innovate and deliver global standards that improve design and verification productivity for electronics products.

4

© 2016 Accellera Systems Initiative, Inc. March 2016

Broad Industry Support

Corporate Members

5

© 2016 Accellera Systems Initiative, Inc. March 2016

Broad Industry SupportAssociate Members

5

© 2016 Accellera Systems Initiative, Inc. March 2016

Global Presence

• DVCon U.S. March in Silicon Valley

• SystemC Evolution Day May in Munich

• DAC June in Austin

• SystemC Japan June in Shin-Yokohama

• DVCon India September in Bangalore

• DVCon Europe October in Munich

DVCon China April 2017 in Shanghai

6

© 2016 Accellera Systems Initiative, Inc. March 2016

E V O L U T I O N D A YMAY 3, 2016 | MUNICH | GERMANY

The SYSTEMC EVOLUTION DAY is a user-centric technical workshop on theevolution of SystemC-related standards to advance the SystemC eco-system

Tentative ProgramLightning talks and in-depth sessions:

SystemC Parallelization & C++11/14, UVM-SystemC, CCI, TLM for serial interfaces,

SystemC AMS, High-Level Synthesis

Contributions and RegistrationSend your abstracts (100 words) to extendSystemC-related standards until March 31

Registration required, free of chargeLocation: Intel Munich (Campeon)

www.accellera.org/news/events/systemc-evolution-day-2016

© 2016 Accellera Systems Initiative, Inc. March 2016

Agenda

About Accellera Current news Technical activities IEEE collaboration

7

DVCon is Global

www.dvcon-europe.org

© 2015 Accellera Systems Initiative, Inc. June 20158

www.dvcon-india.org

www.dvcon-china.org

2015-2016 Accellera News March 2016

- Get IEEE free standards program extended 10 years/10 standards- DVCon going to China in 2017

February 2016- Erwin de Kock receives 2016 Technical Excellence Award

October 2015- Celebrating 10 years of SystemVerilog

August 2015- SCE-MI 2.3 released

June 2015- UVM 1.2 delivered to IEEE

© 2014 Accellera Systems Initiative, Inc. June 20159

© 2016 Accellera Systems Initiative, Inc. March 2016

Agenda

About Accellera Current news Technical activities IEEE collaboration

10

© 2016 Accellera Systems Initiative, Inc. March 2016

Accellera Systems Initiative

Promotions Committee AdministrationTechnical Committee

Board of Directors

SystemC TLM

SystemC AMS

SystemC CCI

IP-XACT

IP Tagging

SystemC Language

SystemC SynthesisMulti Language

Verilog-AMSInterface

SystemRDL

SystemCVerification

Schema WG

Registers

Extensions

User Group

Compliance

UVM

OVL

UCIS

1666SystemC

1801 UPF

1076 VHDL

1800 SystemVerilog

Supported IEEE Working Groups

11

OCP

1685IP-XACT

Portable Stimulus

UVM SystemC

SC/TLM Evolution

1800.2 UVM

1666.1SystemC AMS

© 2015 Accellera Systems Initiative, Inc.

• Open Verification Library (OVL) 2.8.1• Verilog-AMS (V-AMS) 2.4• Standard Co-Emulation Modeling Interface (SCE-MI) 2.3 • Unified Coverage Interoperability Standard (UCIS) 1.0• IP-XACT - Update of IEEE 1685 Errata and Vendor Extensions• Intellectual Property (IP) Tagging 1.0• Multi-Language (launched)• Portable Stimulus (launched)• SystemC Synthesizable Subset Draft 1.4• SystemC Analog Mixed-Signal (AMS) 2.0• SystemC Language and Examples 2.3.1 (includes TLM 2.0)• SystemRDL 1.0• Open Source Companions:

- UVM Reference Implementation 1.2- SystemC Proof of Concept Library- SystemC Verification Library 2.0

Current StandardsOngoing Technical Activities

12

© 2016 Accellera Systems Initiative, Inc. March 2016

Agenda

About Accellera Current news Technical activities IEEE collaboration

13

© 2016 Accellera Systems Initiative, Inc. March 2016

Strong Partnership with IEEE IEEE Get program allows access to EDA & IP standards worldwide

- IEEE 1666 SystemC - IEEE 1685 IP-XACT - IEEE 1800 SystemVerilog- IEEE 1801 Unified Power Format (UPF)

Ongoing collaboration with the IEEE Standards Association- 1666 SystemC Language

- 1666.1 SystemC AMS (coming soon)

- 1685 IP-XACT

- 1800 SystemVerilog (SV)

- 1800.2 UVM (coming soon)

- 1801 Unified Power Format (UPF)

- 1850 Property Specification Language (PSL)

14

IEEE Standards Access at No Charge Accellera relationship with the IEEE-SA

Accellera will release 10 standards for 10 years under an extended Get IEEE program

More than 63,000 downloads to date!

© 2016 Accellera Systems Initiative, Inc. March 201615

http://standards.ieee.org/about/get/

9474

1388

5

1423

0

1448

4

1473

1

1499

8

1530

0

1554

7

1575

5

1594

9

1620

5

1641

8

1664

0

1686

4

1709

4

1730

4

1756

7

1775

0

1791

6

1817

0

1838

3

1854

8

1879

2

1899

8

1919

0

1937

1

1495

4429

6691 88

43

8999

9116

9241

9386

9513

9627

9740

9819

9919

1002

3

1015

1

1025

4

1037

8

1049

5

1062

1

1074

5

1085

9

1097

0

1107

9

1117

4

1130

3

1143

5

1155

9

1166

5

1050

0

1104

9

1160

4

1223

1

1277

5

1347

6

1402

6

1463

4

1518

3

1586

9

1654

3

1710

7

1768

2

1825

9

1876

6

1946

2

2009

1

2071

1

2132

0

2207

3

2277

9

2358

3

2436

0

2496

7

2553

8

1967

2166

2362

2575

2774

2936

3103

3279

3443

3655

3820

4007

4229

4410

4606

4806

5034

5217

5441

5636

5820

5997

6238

6409

6553

0

5000

10000

15000

20000

25000

30000Cumulative Downloads 2010-2015

1666 1685 1800 1801

© 2016 Accellera Systems Initiative, Inc. March 2016

Advancing Standards Together

Share your experiences- Visit www.accellera.org and register to post on forums at forums.accellera.org

Show your support - Record your adoption of standards

Become an Accellera member- Join working groups

16

THANK YOU

Next: Accellera Technical Excellence Award!

- Presented by Karen Pieper, Technical Committee Chair

© 2016 Accellera Systems Initiative, Inc. March 201517

© 2016 Accellera Systems Initiative, Inc. March 2016

About the Technical Excellence Award

Accellera wishes to recognize the important achievements of its Working Group members by selecting outstanding contributors to our standards development process as recipients of the Accellera Systems Initiative Technical Excellence Award.

This annual award recognizes major contributions to the development of Accellera standards. Examples of such contributions may include leadership in standardization of new technologies, assuring achievement of standards development goals, and identifying opportunities to better serve the needs of the community through standards.

18

© 2016 Accellera Systems Initiative, Inc. March 2016

Past Recipients

2013: Janick Bergeron for his achievements to the UVM standardization efforts.

19

2012: John Aynsley for his dedication to the SystemC language and community.

2014: Andrew Goodrich for his contribution to SystemC standardization.

2015: Justin Refice for his contribution to UVM standardization efforts.

© 2016 Accellera Systems Initiative, Inc. March 2016

2016 Technical Excellence Award

Erwin de KockMr. de Kock is recognized for his vision and continuous efforts toward the advancement of the IP-XACT standard. IP-XACT is a standardized XML schema that defines and describes electronic components and their designs, complemented with a generator interface for design automation.

• Candidates nominated by Technical Committee• Recognizes work by people across all working groups

20

Join the DVCon Booth Crawl Tonight!

© 2016 Accellera Systems Initiative, Inc. March 201621

You won't want to miss the annual DVCon Booth Crawl on the exhibit floor. Cocktails and conversations in a casual environment with the DVCon exhibitors.

By attending the Booth Crawl you'll be automatically entered into a drawing for a $500 VISA gift card! The winner must be present to win and will be announced tonight.

Mingle from booth to booth while enjoying food and drinks provided by participating exhibitors.

Booth Crawl Sponsors:

NEXT

© 2016 Accellera Systems Initiative, Inc. March 201522

An update from the Portable Stimulus Working Group