25
15 22 29 V12/Issue 1/2017 Big Data and Neural Networks: New Drivers for the Semiconductor Industry FAB SOLUTIONS NANOCHIP Solutions for Factory and Equipment Efficiency Exploring Chipmaking’s New Golden Age Wireless Everywhere: Connecting the Chip Industry’s New Golden Age Smartphones Evolve to Meet the Demands of Virtual Reality

Wireless Everywhere: Connecting the Chip Industry’s New

  • Upload
    others

  • View
    1

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Wireless Everywhere: Connecting the Chip Industry’s New

15 22 29

F a b S o l u t i o n S

V12/Issue 1/2017

Big Data and Neural Networks: New Drivers for the Semiconductor Industry

FABSOLUTIONSNANOCHIP

Solutions for Factory and Equipment Efficiency

Exploring Chipmaking’s New Golden Age

Wireless Everywhere: Connecting the Chip Industry’s New Golden Age

Smartphones Evolve to Meet the Demands of Virtual Reality

Page 2: Wireless Everywhere: Connecting the Chip Industry’s New

NaNOCHiP 1

8

The cycles of nature constantly go on around us, even as we go about our busy lives. Every 24 hours the earth completes another spin on its axis. Every 365 days it fi nishes another orbit around the sun. We watch as day becomes night and winter becomes spring, and shape our activities accordingly.

Industries have cycles, too—and some of them are almost as familiar as natural ones. Over the last few decades, for example, semiconductor manufacturing has been largely driven by the computer industry, with predictable upturns to support new PC launches, and then equally predictable downturns later.

But while the cycles of nature are fi xed, the manufacturing and fi nancial cycles of our industry have been spectacularly altered. Silicon-rich devices that enable artifi cial intelligence (AI), the Internet of Things (IoT), self-driving cars, OLED displays and other new technologies are now driving constant ramp-ups and continuous growth. We’re entering what Applied Materials CEO Gary Dickerson calls “a period of incredible innovation in logic, memory and display,” in which a number of companies are “making very large investments to advance semiconductor and display technology.”

This issue of Nanochip Fab Solutions celebrates the chip industry’s new Golden Age, with a special focus on how Applied tools and service off erings are helping customers meet ever-growing market demand. An article on “wireless everywhere” introduces connectivity as the core driver behind the new era, and explains why connected content from IoT, robotics, augmented reality, connected cars, and more will add $126B to the semiconductor industry over the next four years.[1] Another article explores how high-density OLED displays are turning ordinary smartphones into the single most important device in virtual reality (VR) systems—and allowing VR to reach mass adoption because smartphones are so ubiquitous.

Continuing our look at new technology drivers, “What’s New in MEMS?” surveys the proliferation of fi ngerprint sensor (FPS) and light detection and ranging (lidar) devices, many of which are manufactured on our legacy 200mm and 300mm platforms. This issue’s centerpiece article on big data and neural networks builds on all these themes, beginning with NVIDIA CEO Jensen Huang’s bold prediction that “AI will revolutionize every industry” before examining how neural networks and AI applications will likely drive the next generation of semiconductor devices—and add to the arsenal of data analysis techniques being deployed in semiconductor fabs.

New Applied services designed to enhance our customers’ productivity also feature prominently in this issue of Nanochip. The Applied FabVantage™ Consulting team can now help reduce defects during production of highly complex ICs with a specialized methodology, process expertise, data analytic techniques, and diagnostic instruments that streamline identifi cation of root causes. An additional productivity solution, Implant Applied Managed Service,™ can off er a 3–5% increase in tool uptime and a corresponding increase in productive hours for Applied Materials VIISta implanters.

Finally, this issue features in-depth profi les of X-FAB, a rapidly growing specialty foundry whose overall revenues have doubled over the past fi ve years, and its legacy 6-inch wafer fab in Lubbock, Texas, which has reinvented itself by moving to the forefront of silicon carbide (SiC) power ICs.

What does all this unprecedented growth ultimately mean for our industry? It means we now must meet the demands of an expanding market that is substantially less cyclical than we’re used to. That’s a pretty great problem to have, and I bet many of you agree. Read on to see how breaking the cycle of upturns and downturns has put the future in our hands.

[1] GLOBALFOUNDRIES Internet of Things Marketing Director Nitin Kulkarni.

ALI SALEHPOURSENIOR VICE PRESIDENT

AND GENERAL MANAGER, NEW MARKETS AND

SERVICE GROUP

CONteNtS

PLUS:

40: What’s New in MEMS?

44: The Last Word: Fostering Engineering Collaboration

tHe GOlDeN aGe OF CHiP MaNuFaCturiNG HaS BeGuNA Letter from Ali Salehpour

X-FAB Rides IPO to New Growth

Smartphones Evolve to Meet the Demands of Virtual Reality

BreaKIng the cycLe:

P U B L I S H E RPeggy Marcucci

[email protected]

E D I T O R - I N - C H I E FLiz Baird

[email protected]

T E C H N O L O G Y A D V I S O RHelen Armer

[email protected]

C O N T R I B U T I N G E D I T O R SGary Dagastine

Jeannette Hoff manDavid Lammers

Jill O’Nan

D E S I G NJane Olson Graphic Design

NANOCHIP is published by Applied Materials, Inc.

© Copyright Applied Materials, Inc., 2017

www.appliedmaterials.com

Nanochip Fab Solutions is now delivered in an environmentally friendly online version.

Printed copies are available upon request. For a free subscription, or to

add colleagues to the mailing list,please send an email to

[email protected] with the following information:

• Name • Title • Company • Business address • Business email*

*Sorry—no general accounts, e.g., Gmail, AOL, Yahoo

All trademarks so designated or otherwise indicated as product names

or services are trademarks of Applied Materials, Inc. in the U.S. and other countries. All other product and

service marks contained herein are trademarks of their respective owners.

NaNOCHiPfa B s o LU t I o n s

FABSOLUTIONSNANOCHIP

22Big Data and Neural Networks: New Drivers for the Semiconductor Industry

29

15Wireless Everywhere: Connecting theChip Industry’s New Golden Age

Big Data and Neural Networks:

292935Higher

Productivity for Applied VIISta

Ion Implanters

Improving Defect Reduction2

Page 3: Wireless Everywhere: Connecting the Chip Industry’s New

NaNOCHiPNaNOCHiP2 3NaNOCHiP2

ImProVIng DEFECT REDUCTION

As devices become smaller and more densely packed, and the complexity of integrated circuits grows, defect reduction becomes more challenging while remaining critical to chipmakers’ competitiveness. Foundry, memory and logic fabs require a method, skills, and specialized tools to eff ectively reduce defect levels with minimal disruption to production. A new defect-reduction service from the Applied Materials FabVantage™ Consulting team off ers a specialized methodology, process expertise, data analytic techniques, and diagnostic instruments that streamline identifi cation of root causes.

BY ROMAN MOSTOVOY, PhD

new fabVantage service Improves equipment Performance

and recipe tuning that disrupts production for several days.

defect-redUctIon methodoLogy

Given that each defect scenario is unique, expeditious discovery of the root cause depends on a structured approach that applies the same set of steps to each investigation (see fi gure 1). The Applied Materials FabVantage Consulting team, in collaboration with Applied’s process groups and fi eld support personnel, has developed a defect-reduction methodology that has been eff ectively used to improve baseline performance, reduce the rate of defect out-of-control (OOC) events, shorten PM green-to-green time, and extend mean time between cleans (MTBC).

NaNOCHiP 3

BY ROMAN MOSTOVOY, PhD

new fabVantage service Improves equipment Performance

and recipe tuning that disrupts production for several days.

defect-redUctIon methodoLogy

Given that each defect scenario is unique, expeditious discovery of the root cause depends on a structured approach that applies the same set of steps to each investigation (see fi gure 1). The Applied Materials FabVantage Consulting team, in collaboration with Applied’s process groups and fi eld support personnel, has developed a defect-reduction methodology that has been eff ectively used to improve baseline performance, reduce the rate of defect out-of-control (OOC) events, shorten PM green-to-green time, and extend mean time between cleans (MTBC).

Minimizing the number of defects on production wafers is critical for fab productivity and competitiveness. Understanding the defect-reduction process is essential for successful implementation and validation of corrective measures. To achieve the single-digit defect counts required at the 14nm technology node and below, manufacturers must take a structured approach to root-cause detection and correction that leverages a defect knowledge base, broad equipment design and process expertise, and proven best-known methods (BKMs), in addition to state-of-the-art metrology, inspection, and analysis.

Defect reduction is not a “one size fi ts all” undertaking. Numerous factors can contribute, including hardware confi guration and parts

cleanliness, process sequence and parameter settings, operation and maintenance procedures, calibration, and system software. These factors can aff ect the same process running in diff erent fabs to diff ering degrees. The diff erences create fab-specifi c defect populations that may be similar with respect to the type(s) of defect, but derive from diff erent—sometimes multiple—root causes. For example, failure modes responsible for defect excursion events may not be the same as the root causes of the primary defects present on every wafer. As a result, diagnosing the original source of defect or excursion creation can be a time- and labor-consuming combination of preventive maintenance (PM) work, parts replacement, hardware calibration,

Figure 1. Methodical determination of defects and root causes is an essential fi rst step in defi ning corrective actions.

DefectBenchmark

A STRUCTURED APPROACH TO DEFECT REDUCTION

DefectPareto

Diagnosticsand Root

CauseAnalysis

DefectReductionRoadmap

Implementation

Page 4: Wireless Everywhere: Connecting the Chip Industry’s New

NaNOCHiPNaNOCHiP4 5

ImProVIng DEFECT REDUCTION

NaNOCHiP4

ImProVIng DEFECT REDUCTIONDEFECT REDUCTIONDEFECT REDUCTIONDEFECT REDUCTION

Typically, skilled engineers perform initial benchmarking of current system performance on blanket monitor wafers (baseline) within a FabVantage 360™ evaluation (see fi gure 2). The benchmark measures a tool’s performance on several metrics and compares

it to BKMs and best in class. The assessment gives a holistic view of performance gaps and enables prioritization of solutions and quantifi cation of benefi ts. The unit process aspect of the assessment scores recipes, particle performance, and implementation of fault detection and classifi cation; these data become the basis of the assessment step shown in fi gure 2. The monitor wafers are run regularly over a period of several weeks so that time-variant defect mechanisms can be captured. Because they are often run as part of standard fab procedure, collecting this

Figure 3. Facility conditions, equipment, materials, and cleanliness of parts contribute the most to the high defect level of the tool’s baseline performance.

Baseline PartsCleanliness

PMQuality

ProcessSensitivity

FDCVariations

Materials In SituClean/Season

ProductInteractions

data does not appreciably detract from the process tool’s normal uptime.

FabVantage specialists use conventional and specialized instrumentation to obtain defect adder counts and their pattern on wafers. These data sets are then charted against wafer counts and key events, which in turn aids development of a Pareto chart illustrating the relative contribution of specifi c factors that generate defects (see fi gure 3).

If the defect Pareto shows PM to be a signifi cant contributor, PM diagnostics are performed using specialized instruments. Several

NaNOCHiP 5

chambers in the fab are inspected to determine particle densities on key chamber components and incoming parts, validate wet clean procedure compliance with Applied’s BKM, gather key PM-related system constants, and validate robot calibrations. In addition, hardware troubleshooting is conducted if evidence of hardware malfunction is observed. The manufacturing processes being run are also diagnosed if the Pareto points to them as a major contributory factor.

Once the tool-specifi c defect Pareto has been generated for baseline operating performance, the FabVantage team creates a project-specifi c “roadmap” for improvement (see fi gure 4). Defects are fi rst classifi ed into types by location, size, appearance, and composition. Then each defect type is analyzed using a combination of inspection and analysis technologies; team members’ thorough knowledge and experience of the tool and the type of process being run; customer-specifi c factors; and experiments designed to evaluate the defect contributions from diff erent sources. Identifi cation

of root cause is the product of all these factors.

Once the root causes of the most signifi cant contributors to the tool’s defect Pareto have been identifi ed, the FabVantage team proposes an implementation plan to address them. If the fab agrees to the plan, corrective measures may involve improvements to hardware, process, PM, or some combination of these. The eff ectiveness of the corrective measures is validated by a confi rmation run in which data is collected in the same manner as for the initial baseline assessment and reviewed to ensure that the goals of the implementation plan have been achieved. The result establishes a new defect Pareto corresponding to the improved performance and becomes the new production baseline as well as the roadmap for future defect reduction. Following validation by the confi rmation run, the same corrective measures are implemented on all aff ected tools in the fab. If the fab owner desires, their operation can be monitored by FabVantage team members on an ongoing basis.

demonstrated effectIVeness

The above methodology has been used and validated in a variety of scenarios, some of which we highlight here.

Reducing Particle CountsIn one case, the defect Pareto

generated from the tool baseline indicated that the tool itself was a major source of particles found on both sides of the wafers. Investigative images, metrology, and tool operation experiments revealed that the root cause was the materials used in the wafer transfer/handling equipment. They generated high defect counts in the following ways:

■ As wafers came in contact with rough surfaces on the equipment over an extended period of time, the surfaces degraded, creating particles that acted as abrasives and generated even more particles.

■ Equipment material was so hard or adhered to the wafer so strongly that scratching occurred on the back side of the wafers.

Figure 2. The assessment step in the Applied FabVantage approach to defect reduction identifi es root cause(s); customers can then elect for the team to implement corrective actions and maintain improved tool performance.

Benchmarking

PM Diagnosis

ProcessDiagnosis

Troubleshooting

HW and ProcessCIP

Implement PM

Optimize Cleans,Season

Validation

BKMs

Metrology

DefectCharacterization

Analytics

ASSESSMENT IMPLEMENTATION MONITORING

FabVantage 360

Figure 4. As shown in this example, the defect-reduction “roadmap” addresses defects systematically in order of contribution to overall counts. (Source: Applied Materials, Inc.)

Nor

mal

ized

Def

ect C

ount

0 2 4 6 8 10 12 14 16 18 20 22Work Week

120

100

80

60

40

20

0

Robot Blade

Robot Wrist

C, O, F/Fe, Al, Si

Ta

C, O, Si

C, F, Si

Gas Line Particles

Arcing ParticlesCross-

ContaminationParticles

Deposition Film Flake Particles

Page 5: Wireless Everywhere: Connecting the Chip Industry’s New

NaNOCHiPNaNOCHiP6 7

ImProVIng DEFECT REDUCTION

NaNOCHiP6

ImProVIng DEFECT REDUCTIONDEFECT REDUCTION

NaNOCHiP 7

■ Electrically non-conductive materials were becoming charged and, being unable to dissipate the charge, were causing an electrostatic attraction/repulsion eff ect that in turn generated additional charged particles.

■ Particle-producing wafer slippage was occurring because friction was not optimized.

Defect reduction therefore involved replacing the materials used in the aff ected parts of the tool. Smoother, electrically conductive materials with hardness less than that of silicon and low-adhesion/high-friction properties were used in new robot blades, lift pins, the pedestal, and lift fi ngers. Figure 5 shows the improvement in performance achieved through these hardware upgrades.

Improving UptimeAnother case addressed an

increase of in-fi lm defects over time,

Figure 6. Comparison of defect Paretos before and after implementing defect reduction shows a 10-fold and 2-fold improvement in Si/O/Al/F and Si/O defects, respectively. (Source: Applied Materials, Inc.)

Si/O

Si/O/Al/F

Carbon

Si/O/Al/Fe/NiBaseline

CIP

Si/O

Si/O/Al/F

Carbon

Si/O/Al/Fe/NiBaseline

CIP

Baseline

CIP

Si/O

Si/O/Al/F Si/O/Al/F

Si/O

0.0 5.0 10.0

Defect Type Per Wafer

which shortened chamber MTBC and reduced tool uptime. Baselining the tool’s performance, the in-fi lm monitor defect Pareto highlighted Si/O and Si/O/Al/F as the predominant defects of concern. Detailed inspection and failure analysis of components for one of the gas lines on multiple chambers revealed that these defects were accumulating on the gas line’s orifi ce and feedthrough, likely owing to the backfl ow of source radicals of AlF or O species during chamber cleaning. Also, source radicals could be reacting with residual moisture to generate O or ozone radicals, and resulting conglomerates of SiO particles.

Here the issue was remedied by modifying the process recipe. First, the back-diff usion of radicals to the gas line in question was mitigated by fl owing helium through the line during cleaning and also by maintaining positive helium fl ow through that line during all reactant gas introductions and transients. Second, an extended purge of inert gas was implemented to eliminate outgassing from the process chamber at low pressure. Two extended runs were completed to evaluate the performance of the defect-reduction measures:

Figure 7. Comparison of in-fi lm defect counts before and after implementing defect reduction. (Source: Applied Materials, Inc.)

Add

ers

1 250 500 750 1,000 1,250 1,500 1,750 2,000Wafer Count

100

80

60

40

20

0

BASELINE CIP

PHASEBaseline

CIP

AVE33.15.9

UCL50.413.2

DEFECT PERFORMANCE

a 2000-wafer run with baseline conditions and a 4000-wafer run with the new recipe. The latter suppressed mainframe exposure to outgassing and improved defect performance (see fi gures 6 and 7).

Increasing Mean Wafers Between Cleans

Yet another situation involved use of cleanliness control metrology to reduce defect counts and improve uptime and mean wafers between cleans. Insuffi cient cleaning often results in production delays while the process chamber is put through multiple cleaning/pumping cycles to pass defect qualifi cations. Here, the baseline performance Pareto pointed to the remote plasma source as one of the subsystems contributing signifi cantly to wafer defects. Consequently, attention focused on evaluating defect performance on remote plasma source parts received from diff erent cleaning suppliers.

A complementary evaluation of diff erent cleaning solvents for chambers and mainframes revealed that wipes wetted with deionized water (DI) and a mix of DI/isopropyl alcohol were equally eff ective in producing a 10-fold reduction in

Figure 8. Surface particle detector results before and after process chamber cleaning. (Source: Applied Materials, Inc.)

Def

/inc

h2

Bellows

• Slit Valve Cavities• Dome Ba�e• Chamber Bottom

4,500

4,000

3,500

3,000

2,500

2,000

1,500

1,000

500

0Before Clean After Clean

surface particles from ~ 2,500def/inch2 to ~ 250def/inch2. However, following this step by a second wipedown using another specialized solvent improved cleanliness even more (< 50 def/inch2), as shown in Figure 8. This 2-step procedure cut PM and post-PM recovery time by more than half, from 48 hours to 20 hours, helping improve productivity through increased tool availability.

sUmmary

The Applied Materials FabVantage Consulting team has developed and successfully demonstrated a defect-reduction methodology that applies a structured approach enabling corrective measures to be implemented rapidly. Customers can then elect to have the team continue to monitor production tools to maintain the improved level of performance. This methodical approach to defect reduction expedites ramping by reducing downtime and enhancing fab yield and output.

The author extends appreciation to Suketu Parikh for his assistance.

For additional information, contact [email protected] 5. Defect performance improves signifi cantly after hardware upgrades are implemented. (Source: Applied Materials, Inc.)

Add

ers

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 15 17 18 19 20 21 22 23 24 25 26 27 28 29 30Run #

50

45

40

35

30

25

20

15

10

5

0

-5

WAFER HANDLING HW CIP vs BKM DEFECT VARIABILITY DATA

Frontside @>35nmBackside @>65nm • For All Monitors: Defect Data Presented Per Pass

• BKM HW Red Circles• CIP HW No Circle

DEFECT REDUCTIONDEFECT REDUCTIONDEFECT REDUCTION

Page 6: Wireless Everywhere: Connecting the Chip Industry’s New

NaNOCHiPNaNOCHiP8 9NaNOCHiPNaNOCHiP8 9

“What is important is that we have been doing automotive for 25 years, we have a track record, and our customers are keeping track of what we can do.” X-FAB CEO Rudi De Winter

NeW GrOWtHX-faB riDeS iPO tO

X-FAB has benefi ted from the shift by other chip manufacturers to 300mm digital foundry services, opening up opportunities in products best suited to 200mm production. X-FAB expanded capacity from 54K 200mm-equivalent WSPM in 2014 to 94K WSPM at the end of 2016, even as utilization improved from 47% in 2012 to 79% in 2016.

And the growth in automotive expansion is likely to be good for the company’s bottom line. ASPs for automotive products at the foundry have increased slightly in recent years while communication-related ICs have seen steady ASP declines.

X-FAB’s overall revenues have roughly doubled over the past fi ve years, from $258.5M in 2012 to $512.9M in 2016 (including $31.6M from one quarter of operations at the Corbeil-Essonnes fab) and the company serves end markets with strong growth. IC Insights predicts a worldwide 9.8% compound annual growth rate (CAGR) for automotive device revenues from 2016–2021, and even higher 12.3% growth for medical devices.

With a successful initial public off ering (IPO) now behind it, X-FAB Silicon Foundries is readying a capacity expansion drive for increased MEMS and analog/mixed-signal IC production across the six fabs it operates.

X-FAB has a combined capacity of around 94K 200mm-equivalent wafer starts per month (WSPM), and employs approximately 3,800 employees worldwide. The IPO, conducted in April on the Euronext stock exchange in Paris, yielded about $426M, much of which will be invested in X-FAB operations in Corbeil-Essonnes, France; Kuching, Malaysia; and Lubbock, Texas, where a silicon carbide (SiC) power IC capability is starting to ramp (see sidebar on page 13). X-FAB’s facilities in Germany are also slated for expansion.

X-FAB CEO Rudi De Winter said the foundry’s traditional focus on automotive mixed-signal IC production positions it well for the transportation transitions underway, where new semiconductor solutions are needed for hybrid and electric vehicles (EVs) and advanced driver-assistance systems (ADAS).

De Winter is confi dent the additional capacity will be needed. “We have a strong pipeline of prototyping over several years and these are now going into production. Typically, we see a four-year period between prototyping and signifi cant volume growth. And we are seeing a diff erent mix of products, with more automotive.”

In September 2016, X-FAB acquired Altis Semiconductor after a Paris bankruptcy court approved X-FAB’s plans for continued investments and employee retention. The Altis fab in the Paris suburb of Corbeil-Essonnes, with a capacity of 35K 200mm WSPM and a copper back-end capability, increased X-FAB’s overall 200mm capacity by 60%. A $60M expansion is underway at X-FAB-France to convert its capacity to X-FAB’s technology mix. Beyond that, the site has unused space of roughly 9,000 square meters, which—if equipped—could bring total capacity of the site up to 55K WSPM.

“When we acquired the fab it was 66% loaded. It is a 130nm fab, so it was perfectly suited to our further expansion,” said De Winter, noting that the toolset at the Paris fab is similar to that at X-FAB’s Kuching, Malaysia, 200mm fab, also slated for expansion.

Corbeil-Essonnes, De Winter said, “has been running logic and RF parts, which we are still running, but we are going to add more automotive, where the process fl ows are somewhat diff erent. Our further automotive growth will be mapped into that factory. It has a quite-sizeable capacity, and our challenge is to fi ll that going forward.”

“Every new car worldwide has, on average, nine chips inside made by X-FAB."

Page 7: Wireless Everywhere: Connecting the Chip Industry’s New

NaNOCHiPNaNOCHiP10 11NaNOCHiPNaNOCHiP10 11

Medical applications—including lab-on-a-chip products—are expected to require additional MEMS and mixed-signal capacity. About 15% of the prototyping eff orts underway by X-FAB’s customers are in medical; currently, medical ICs account for about 3% of revenues.

X-FAB is a specialty foundry in that it eschews leading-edge digital CMOS production in favor of mixed-signal (analog-digital), MEMS, RF, and other processes. In automotive, for example, De Winter said X-FAB produces solutions with “more layers on average, higher voltages, a wider temperature range, more implants, some sensors, embedded fl ash, and so forth.”

X-FAB’s capacity has largely shifted to 200mm wafers, and the company is targeting investment of up to $120M in additional 200mm production capacity.

In addition to the 200mm investments in Corbeil-Essones, capacity expansions are planned for Dresden and Kuching that will add about 7,000 WSPM. The Kuching fab was acquired in 2006 when X-FAB took over loss-ridden 1st Silicon (Malaysia) Sdn., founded in 1998.

Similarly, Altis was jointly owned and operated by IBM and Infi neon until 2010, when a private investor purchased it in an eff ort to enter the foundry business. After mounting losses, Altis became insolvent and X-FAB stepped in last year.

mems PLUs cmos growIng

X-FAB got an early start in the MEMS sector and has been making automotive pressure sensors for more than 20 years. Another signifi cant move came in 2015, when X-FAB fully acquired MEMS Foundry Itzehoe GmbH (MFI). Currently, the MFI fab is strengthening its cooperation with the Fraunhofer Institute’s ISIT MEMS Group, and discussions are underway with Fraunhofer about how to best expand the partnership.

X-FAB also plans a signifi cant investment in a MEMS line at the Erfurt facility, as it moves toward its goal to triple MEMS revenues by 2021. With a base of pressure sensors and strain gauges, X-FAB runs about 10,000 MEMS wafers per month. While the majority of those wafers are 150mm, the mix is shifting toward 200mm.

Volker Herbig, vice president of the MEMS business unit, added, “We are confi dent we will achieve our revenue targets based on the very strong pipeline of products in the automotive, mobile communications and biomedical space.”

“The 200mm expansion at Erfurt, the details of which are still being discussed, could result in a 4X increase in capacity over what we presently have in 200mm MEMS,” said Herbig.

Combining CMOS functions with MEMS is key to X-FAB’s plans for MEMS growth, with wafer-level bonding playing a key role. “We are taking several diff erent directions,” Herbig said. “One is wafer-level packaging (WLP). A customer might have a microfl uidic MEMS, with some CMOS underneath, and they might need WLP to protect the sensor or cavity.”

Another direction is to scale up X-FAB’s through-silicon-via (TSV) capability. “We want to develop and bring to the market special TSVs optimized for sensor applications,” Herbig said.

“We also want to work on 3D integration. From time to time it makes sense for our customers to target monolithic integration of CMOS and MEMS. A lot of our business is in the integration of heterogeneous functions, which is why we are bringing new technologies, including micro transfer printing, to the market,” he said. In all of these areas, “interacting closely with the customer is key.”

Herbig noted that X-FAB and Fraunhofer engage in “extremely close collaboration” in the MEMS fi eld, sharing a clean room at Itzehoe, near Hamburg. “By combining the strengths of Fraunhofer ISIT in developing innovative technologies, with X-FAB’s capability of maturing those technologies for volume production, we have been able to attract a number of new customers,” he said.

recrUItIng taLent, fIndIng tooLs

Asked about an industry-wide scramble to attract technical talent, De Winter said X-FAB has been able to readily recruit engineers from universities located near the fabs it operates. The Corbeil-Essonnes subsidiary,

for example, is the only semiconductor company in the vicinity of Paris, and De Winter said X-FAB is an attractive employer for the young engineers graduating from universities in the Paris metropolitan region.

The shortage of refurbished equipment, however, is a challenge, but De Winter said that “we seem to manage to fi nd what we need.”

NeW GrOWtHX-faB riDeS iPO tO

Page 8: Wireless Everywhere: Connecting the Chip Industry’s New

NaNOCHiPNaNOCHiP12 1313NaNOCHiPNaNOCHiP12

Herbig said expanding MEMS capacity involves buying some tools that are MEMS specifi c, and in certain cases X-FAB is buying new 200mm equipment when used tools are not available. When customized solutions are created with key customers, he noted that keeping the utilization rates high for specialty tools is an ongoing challenge.

“In order to grow we need to invest,” Herbig said. “Our Chinese friends are buying a lot [of equipment], and what our operations people tell me is that the lead time for equipment is extending. The equipment can be expensive, and on the other side, we are operating in the consumer market where there is sometimes signifi cant pricing pressure.”

With its origins in the 1970s memory chip production era of Texas Instruments, X-FAB acquired the fab from TI in 1999 and converted it into an analog/mixed-signal facility, producing largely automotive-use ICs.

That business continues, but as new chip designs are targeted at 200mm (8-inch) fabs, the Lubbock fab is ensuring its long-term survival by off ering a 150mm SiC power foundry capability.

Companies making power modules for emerging markets such as solar energy or electric vehicles (EVs) are beginning to adopt SiC, attracted by reduced switching losses, higher power density and better heat dissipation.

X-FAB TEXASPioneers Silicon CarbideFoundry Service

Andy Wilson, business unit manager for X-FAB’s SiC foundry in Lubbock, Texas. “For us, this is a nice-sized market.”

De Winter said while it may be true that other semiconductor foundries “are now rushing into automotive,” X-FAB has an established track record with its customers. “What is important is that we have been doing automotive for 25 years, we have a track record, and our customers are keeping track of what we can do. They recognize us as a long-term, stable supplier. This long history is a plus for us, and that is why we have a good pipeline coming into production in coming years.”

Joanne Itow, foundry analyst at Semico Research (Phoenix, Arizona), said X-FAB has been a role model for other foundries seeking to expand their analog/mixed-signal production. That has intensifi ed the competition in certain areas.

“X-FAB used to be the specialty foundry everyone wanted to copy, so it is a good thing that they are broadening their off erings with MEMS, SiC, and automotive SOI. They are still an analog/mixed-signal company, but they are off ering a lot of diff erent solutions.”

MEMS is a challenging area, according to Itow, with “a lot of competition, with very specialized, custom processes.” Also, a certain fraction of the MEMS toolset, such as DRIE etch and wafer bonding, necessitates buying new tools because older generation equipment is not available, she said.

Itow added that it is not unusual that X-FAB is doing well. “More than a decade ago, they were seen as one of the premier analog/mixed-signal foundries. They don’t spend an exorbitant amount on R&D. They are slow and methodical to get things running, but that is normal for analog/mixed-signal.”

For additional information on X-FAB, contact the company at www.xfab.com

NeW GrOWtHX-faB riDeS iPO tO

SID

EBA

R

the fl atlands of northwest texas are perhaps best known for Buddy holly, cotton farming, and rodeo. But a legacy 150mm (6-inch) wafer fab in Lubbock, texas, is making its own mark: reinventing itself by moving into the forefront of silicon carbide (sic) power Ics.

General Electric, for example, has introduced a solar inverter that utilizes SiC power MOSFETs. (See related article at https://www.pv-tech.org/products/ges-advanced-silicon-carbide-technology-at-core-of-next-gen-1500-volt-centr)

Above 650 V, silicon-based insulated-gate bipolar transistors (IGBTs) now predominate, but Andy Wilson, manager of X-FAB Texas’s Silicon Carbide business unit, argues that those power ICs have “signifi cant switching losses that limit their operational frequency.”

An inverter converts power more effi ciently at the higher frequencies supported by SiC power devices, he said.

Page 9: Wireless Everywhere: Connecting the Chip Industry’s New

NaNOCHiPNaNOCHiP14 15

The wireless landscape is becoming far more sophisticated as Bluetooth Low Energy (BLE) and mesh networks such as Zigbee and Thread take hold.

wIreLesswIreLesswIreLessNEW GOLDEN AGEconnectIng the chIP IndUstry’s eVerywhere:

In electrical vehicles, for example, IGBTs are used in almost all motor controllers today, but Wilson said automakers are expected to convert to SiC-based solutions in the 2022–2025 timeframe, if not sooner. “If they can convert to SiC, they can improve the effi ciency, as well as reduce the size and weight, of the controller. That results in increased electric vehicle (EV) range—or in hybrids, improved fuel effi ciency.”

However, silicon-based IGBTs have a signifi cant price advantage, based in large part on the cost diff erential of the starting substrates.

Bonding silicon and carbon into a largely defect-free wafer is a major challenge. Even the 4-inch SiC wafers have much higher defects—and costs—than silicon wafers. But SiC wafer manufacturers are rapidly porting the learning gained in 4-inch production to 6-inch SiC wafers, which is where X-FAB is focused.

X-FAB’s Lubbock operation is “at the forefront of the transition from 4- to 6-inch wafers” for SiC IC production, Wilson said. With several SiC wafer vendors able to ship signifi cant quantities of 6-inch SiC substrates, he said “the 6-inch substrates are getting pretty close to the quality we are seeing today in 4-inch wafers, although there is still huge room for improvement.”

The market for 900 V and higher power ICs—dominated by silicon-based IGBTs—is in the $3B range. While SiC-based solutions are gaining a toehold, the integrated device manufacturers (IDMs) in the SiC power IC space, led by Infi neon, Mitsubishi, ST Microsystems, Rohm, and others, are the dominant players thus far.

strong growth eXPected

Rob Lineback, who tracks the power IC and power diode markets for IC Insights, said SiC power ICs are set for strong growth in the higher-voltage, higher-temperature markets. Spurred largely by EVs, SiC power IC and SiC power diodes could become roughly a half-billion-dollar market in fi ve years, with a 60% compound annual growth rate (CAGR) over the period, Lineback said.

“Automotive is one area where SiC may take hold. Quite a few Japanese companies are going after SiC, more than GaN (gallium nitride). A lot of it is aimed at EVs, because they can get the size of the motors smaller,” Lineback said.

X-FAB Texas is competing in the foundry portion of the market, working with startups as well as established players looking to extend their internal capability by accessing X-FAB’s SiC Foundry line, Wilson said. First development of SiC products at X-FAB began some time ago, in January 2014, and they are ramping to volumes now.

“We are not a TSMC or a GLOBALFOUNDRIES. For us, this is a market that is well matched for a company the size of X-FAB, something we believe we can develop into a nice-sized business,” he said.

“Our biggest challenge is how to establish a viable fabless model for power devices because foundry is not the dominant model in that sector,” Wilson said.

While most startups choose to remain quiet about their relationship with X-FAB, Wilson said Monolith Semiconductor, based in Round Rock, Texas, is public about its foundry relationship with the X-FAB-Lubbock operation. Monolith recently relocated from Ithaca, New York, to be closer to its foundry partner.

Much like the MEMS sector, SiC power startups seek to diff erentiate their products in both design and processes. For example, they may alter their implant schedules, or use a proprietary gate oxide or a unique passivation process.

X-FAB’s SiC eff ort has received key support from the PowerAmerica consortium, which is based in North Carolina and backed by $70M in funding over fi ve years from the U.S. Department of Energy. PowerAmerica,[1] which supports both SiC and gallium nitride (GaN) power semiconductor solutions, provided support that helped X-FAB establish an open SiC Foundry. “PowerAmerica has been a huge help. We’ve been able to accelerate our foundry off ering by a full two years with their support,” Wilson said.

There are relatively few 6-inch silicon fabs left, and Wilson said the SiC initiative is part of the Lubbock fab’s long-term business plan. “The reason this fab has stayed viable for so long is that it has continually reinvented itself. Silicon carbide is the next chapter for this facility.”

[1] PowerAmerica is a public (tax exempt) consortium, based at the North Carolina State University. It receives its funding from the U.S. Department of Energy.

14 NaNOCHiP

SID

EBA

R X-FAB TEXASPioneers Silicon CarbideFoundry Service

Page 10: Wireless Everywhere: Connecting the Chip Industry’s New

NaNOCHiPNaNOCHiP16 17

IoT Marketing Manager Tom Pannell, Jr., in the engineering lab at Silicon Labs, Austin, Texas.

bytes several times a day. The technology uses the industrial, scientifi c and medical (ISM) radio band to transmit a wide-reaching signal that passes freely through solid objects.

Lee Ratliff , a senior analyst specializing in low-power wireless semiconductors at market research fi rm IHS, said LPWAN cellular networks are now up and running, with companies large and small pioneering a variety of approaches, including LoRa, Sigfox, RPMA, LTE Cat-M1, and LTE CatNB1.

“These are long-range, low-power solutions that are looking very promising, and it could be that a large swathe of the indus-trial IoT will be using LPWAN. But it is relatively new, and has never been done in a widespread way before,” Ratliff said.

tracKIng eVery doLLy

Somewhat behind the scenes is the rise of proprietary wireless protocols in factories, including semiconductor fabs, said Tom Pannell, Jr., director of IoT marketing at Silicon Laboratories (Austin, Texas). “In factories we see more proprietary networks. A lot of factory automation is already wireless, but because the protocols—the standards-based products—were not there, many vendors developed propriety interfaces.”

At a recent technology event hosted by Bosch in Germany, much of the discussion centered on security. “Now that connectivity is a given, the issue is how do you make all these wireless devices secure?” Pannell said.

Safety, somewhat surprisingly, is another hot-button issue driving mesh networks, in which hundreds of items can be connected to a wireless mesh topology. “Safety demands that factory managers be able to detect something that is moving. So they want a wireless interface on everything. They can’t use GPS to know precisely where a hammer is, or how a lift truck or dolly is moving. Asset tracking is a good use case where wireless mesh starts to take off ,” he said.

Security and safety aside, the biggest driver, according to Pannell, is data analysis, “using wireless networking to collect data and provide data analytics that can solve specifi c problems.”5G broadband wireless will accelerate the deluge of data sent to the Cloud. (Source: GLOBALFOUNDRIES)

TRANSPORTING THE DELUGE OF CONSUMER AND INDUSTRIAL DATA TRAFFIC

• Searches

• Video

• Photos

• Emails

• Social Media/Tweets

• eCommerce Sales

• Apps

• Sensors and Controls

NETWORKS

Brontobyte• Hard drive would cover the earth 23,000 times

Zettabyte• 1.3ZB of tra­c annually by 2016

5G is as disruptive to wirelesstoday as data was to voice

Wired/Wireless1027

1024

1021

1018

1015

1012

10910106

Yottabyte• 250 trillion DVDs

Exabyte Petabyte

TerabyteGigabyte

Megabyte

When Silicon Valley tech entrepreneur Lucio Lanza was asked what the Next Big Thing is likely to be for the semiconductor industry, he gave exactly the right answer: “connectivity.”

And with the vast majority of connections now done over wireless networks, it is wireless connectivity that is changing the information landscape. Traffi c is doubling every two years, and an estimated 85% of bits are sent to the Cloud wirelessly.

Connectivity goes by other names, such as wireless mobile computing or the Internet of Everything. By whatever name,

said GLOBALFOUNDRIES Internet of Things Marketing Director Nitin Kulkarni, “connectivity represents the next golden age for the semiconductor industry.”

The ability to connect Internet of Things (IoT) devices will add, by Kulkarni’s estimate, $34B in semiconductor content over the next four years. When the IoT is lumped in with other connected systems, such as robotics, augmented reality, connected cars, and more, new semiconductor content worth $126B will be added in the next four years.

“Connectivity is the core driver of growth,” Kulkarni said. However, “most organizations do not have the skill sets in place” to connect mobile apps and edge-node devices. “They need to move, store, and

analyze data, turning it into relevant insights.”

moVe oVer, BIg three

Until now, the Wireless Big Three have been cellular, WiFi, and Bluetooth. But the wireless landscape is becoming far more sophisticated, as Bluetooth Low Energy (BLE), and mesh networks such as Zigbee and Thread, take hold. Eventually, 5G cellular is expected to play a big role as well.

Also trending are various low-power wide area networks (LPWANs) that can be used to connect objects that need to be continuously on, but emit very small amounts of data. Able to transmit over long distances at very little energy, LPWANs are being used for electricity meters, smartwatches, and appliances that might send just a few dozen

wIreLessNEW GOLDEN AGEconnectIng the chIP IndUstry’s eVerywhere:

Page 11: Wireless Everywhere: Connecting the Chip Industry’s New

NaNOCHiPNaNOCHiP18 19

The 5G standard creates very high bandwidth and ultra-low latencies, opening up new markets in automotive and machine-to-machine communications. (Source: IHS Markit, 2017)

Enhanced Mobile Broadband “Unlimited Experience”QoE: Data rates and capacity

Ultra-Reliable Low-Latency Communications “Instant Action”QoE: Reliability and low latency

Massive Machine-Type Communication “For Everything”QoE: Device density and cost

EXPANDING USE CASES ON THE PATH TO 5GNew Services and Industry Segments Drive Mobile Layer Innovations

Expanding range of mobile and wireless services with diverse and demanding performance requirements

Drive mobile layer innovations on the path to 5G

Coverage and Capacity Radio Network Performance Application-specific Packet Core

Centralized BBUs

Edge Cloud Core Cloud

Higher frequencies, massive MIMO,smaller cells and higher cell densities

Multi-cell interference managementand coordinated transmission

Service-specific core applications with cloud-native architecture

deliver optimized QoE and operational agility

antenna. Then all you need in the package is the SoC, a handful of passive components, and a crystal,” Ratliff said.

Linley Gwennap, principal analyst for Microprocessor Report, said BLE is “going to be critical for a lot of this smart home stuff that has to run on a small battery for months and years at a time.”

As some home owners connect their smoke alarms, light bulbs, and home security cameras to a home network, the market will require “very low power, very cheap solutions, with some way to connect them up to the Internet. You can’t connect everything over power-hungry WiFi,” Gwennap said.

While low-power wireless silicon is cheap and becoming

able to support multiple protocols (BLE and Zigbee, for example), the high-end wireless solutions are about bandwidth and performance. The new WiFi standards “wring out every bit of bandwidth” to deliver hundreds of megabytes throughout a home or offi ce, but the chipsets are complex, Ratliff said. The 4G LTE networks soon will be able to deliver up to 1-Gbps downloads to high-end smartphones.

The 5G cellular standard now being hammered out has a somewhat diff erent set of objectives. Rather than add even more bandwidth to cellphones, the 5G standard would support vehicle-to-vehicle communications and other dense IoT networks. GLOBALFOUNDRIES, for

example, is betting that 5G chipsets will take advantage of its fully depleted SOI technology, called 22 FDX, which supports high-frequency RF signals. Kulkarni said 5G networks will support “extremely high bandwidth, in the 10 Gbps range, with 1 millisecond latency, very high reliability and security.”

Stéphane Téral, who tracks 5G developments for IHS Markit, has been a telecommunications analyst for 28 years, but he remains cautious about how quickly 5G will come to the wider market.

The goal of 5G cellular, he said, is “massive interconnection of things to the network, to increase the density of the network, attaching as many things as we can.”

Volume shipments have been building for low-power wireless approaches, including BLE, Zigbee, ZWave, and Thread. Ratliff said nearly all “traditional” Bluetooth devices are used in just three platforms: smartphones, tablets, and laptops. BLE, by contrast, has a “long tail” with thousands of applications, led by fi tness trackers.

And the volumes are accelerating: BLE unit chip shipments in 2011 were in the hundreds-of-thousands range; now, they are in “multiple hundreds of millions a year, and growing at an astounding rate. When I look at our forecast, we see BLE units crossing a billion units a year, probably four years out,” he said.

10% off, anyone?

Retail stores, for example, are using BLE in beacons, small

devices that sell for $5 to $10 in volumes. Retailers place them throughout a store in order to notify shoppers (those carrying smartphones with the store’s app active) of items that are on sale, new recipe suggestions, and other helpful hints. A proximity-sensing beacon might suggest a new fl avor of ice cream when the shopper walks by the ice cream freezer, and then switch to a coff ee brand on sale when the shopper comes in proximity to the coff ee section.

“Now, brick-and-mortar stores can do the same thing as Amazon to track their shoppers’ behaviors and suggest items to buy. And it is very inexpensive in a large store,” Ratliff said.

For any battery-powered wireless device, power consumption is critical. BLE chips have improved, drawing 25–30 milliAmps (mA) a few years ago but only about 5 mA today. Most of that 5X improvement is due to more advanced process nodes—from design rules in the 180nm range several years ago to 40–55nm now, with sharply lower operating voltages or Vdd.

mesh wIth IP

Mesh is a technology that can be applied to any wireless technology, but Zigbee is the best-established thus far. Unlike a star topology where end nodes are connected point-to-point, mesh networks transfer packets throughout the mesh, without a “master” that can bring down the entire network. Commercial lighting is an ideal application. For example, if a

Already, the largest cellular carrier, AT&T, has more than 10M cars on its LTE network, largely for in-vehicle phone calls. With 5G, the goal is to have the cars themselves talk to each other to reduce accidents.

Walmart manager wants to dim some of the store’s lights that are connected on a Zigbee or Thread mesh network, the control signal passes through every node on the mesh. The delays are too short to be noticeable as the command passes through the spider web.

Similar to Zigbee, Thread is the most recent wireless technology to emerge for IoT, providing IP-based mesh networking and advanced security. Thread supports self-healing if one node goes down, and networks up to 250 nodes. For engineers accustomed to IP addressing, Thread simplifi es device commissioning and security, Pannell said.

Low-power wireless is key to connecting battery-powered “edge” devices. After being over-hyped, Ratliff said that IoT is “actually happening.” Agricultural fi elds under irrigation, remote oil fi elds being monitored, stores with hundreds of beacons, and smart lighting in an offi ce building are wireless IoT examples that often go unnoticed. “These multiple Internet-connected devices are happening, but in many cases happening under the radar, invisible to the consumer,” Ratliff said.

While the chipsets required to support cellular Long-Term Evolution (LTE) can be in the $15 range for high-end cellphones, according to a Yole Développement estimate, the BLE system-in-package solutions cost only a few dollars in volumes. “One of the pins goes out to an antenna, which can be a printed circuit board

wIreLessNEW GOLDEN AGEconnectIng the chIP IndUstry’s eVerywhere:

Page 12: Wireless Everywhere: Connecting the Chip Industry’s New

NaNOCHiPNaNOCHiP20 21

The value of RF and front-end module components is expected to double by 2022, compared to 2016, led by fi lters. (Source: Yole Développement report, “RF & Front-End Module Industry”)

ECOSYSTEM OF THE RF DEVICES AND FRONT-END MODULE INDUSTRY2016 – 2022 Forecast

$22,777MCAGR +14%

$16,311MCAGR +21%

$4,187MCAGR +1%

$272MCAGR +40%

$10,118M

2016 2022

$5,208M

$3,848M

$36M

$1,026M$2,014M

CAGR +12%

• The growth is not evenly distributed• Filters represent the biggest business in the RF front-end industry

$22,777MCAGR +14%

$16,311MCAGR +21%

$4,187MCAGR +1%

$272MCAGR +40%CAGR +40%

2022

$2,014MCAGR +12%

$272M$10,118M

2016

$5,208M

$3,848M

$36M$36M$36M$36M

$1,026M$1,026M

$36M$36MTotal RF components and FEM/PAMiD module manufacturersFiltersAntenna tunersSwitchesPAs and LNAs

diffi cult to tame. It works fi ne in the parking lot, but as soon as you hit a ceiling, or cloudy weather, diff erent kinds of propagation characteristics occur. This is where the heavy lifting is happening.”

But with enough engineering resources, and enough investments, some form of 5G will come to the market, starting with urban areas where bandwidth is at a premium.

Microprocessor Report’s Gwennap said he believes 5G will adopt some of the 4G LTE technologies in the initial phase, while engineers work on the millimeter-wave challenges.

“5G is going to happen, and it may happen quickly if the carriers take the LTE standard and rename it as 5G. There is a lot of skepticism around

5G now; it is a complicated standard, and the companies behind it are trying to do so many things,” Gwennap said.

For one thing, millimeter waves don’t go very far. While carriers can put an LTE cell tower to service a square mile in a town or city, the millimeter-wave-based 5G will require multiple 5G towers at closer distances. “The carriers can’t aff ord to put them everywhere, so they will put them where there is lots of demand. In the middle of Manhattan, you will be able to get super-fast service. But if you go out in to the suburbs, you are not going to see millimeter-wave,” Gwennap said.

If 5G makes steady progress, it will add to the already healthy demand for silicon used in smartphones and other wireless devices.

Yole estimates that front-end module components—fi lters, switches, power amplifi ers, and other devices—will roughly double between 2016 ($10.2B) and 2022 ($22.8B).

What is impressive is the range of new wireless technologies, stretching from low-power networks enabling IoT, to very high-performance solutions in the LTE and 5G cellular arena. As data moves from wireless networks to the cloud-based data centers, it will demand faster ICs across the board.

Taken together, wireless will indeed be a core driver of growth for the worldwide semiconductor industry.

For additional information, contact [email protected]

While some connections may be to a conventional cellphone tower, many 5G connections will be small-diameter antennas “that could be stuck on a wall or on the ceiling.” The market for 4G small-cell antennae is accelerating. IHS Markit estimates that in 2016, 1.7M units were shipped worldwide, generating revenue of $1.5B.

Already, the largest cellular carrier, AT&T, has more than 10M cars on its LTE network, largely for in-vehicle phone calls. With 5G, the goal is to have the cars themselves talk to each other to reduce accidents.

“As we reach critical mass with cars connected to the cellular network, and then connecting to each other, we need a serious network designed for that. Today, the cellular network is not designed for that,” he said. However, trying to use millimeter-wave 5G signals to target a moving car is highly problematic, he said.

In one sense, the wireless carriers are seriously interested in 5G, because the market for smartphones is nearing saturation. “The question is, how do you stay in business, or grow the revenues? You need a new land of opportunity, things that people are thinking about connecting. That is why new business models are being explored,” Téral said.

However, the technological hurdles are formidable. The 5G cellular standard relies on millimeter waves in the 30 GHz spectrum. “People who have been working on millimeter wave will tell you it is not easy to make it work, just because of the propagation characteristics,” Téral said.

“When you start playing at 30 GHz, the issues are very diff erent, the waves are very

The 5G standard will add hundreds of billions of dollars to the world economy once it becomes established, beginning in 2020. (Source: IHS Markit, 2017)

ANNUAL NET CONTRIBUTION OF 5G TO GLOBAL GROWTH2016 US$ Billions

2020

163

2021

175

2022

185

2023

181

2024

170

2025

168

2026

171

2027

181

2028

193

2029

202

2030

195

2031

194

2032

200

2033

207

2034

215

2035

223

2034 203520332032203120302029202820272026202520242023202220212020

250

200

150

100

50

0

Stéphane Téral, 5G analyst at IHS Markit

wIreLessNEW GOLDEN AGEconnectIng the chIP IndUstry’s eVerywhere:

Page 13: Wireless Everywhere: Connecting the Chip Industry’s New

NaNOCHiP 23NaNOCHiP 23

Now, a powerful answer has emerged in the form of machine learning: neural networks, or artifi cial intelligence (AI), are increasingly capable of ingesting voice, image, and many other forms of data and turning

Figure 1: Competition is heating up among processors aimed at neural networks, with power consumption being a key concern. (Source: Embedded Vision Alliance, 2017)

Tim

e

DedicatedCo-processor

PROCESSORS FOR DEEP LEARNING

Degree of Specialization

DedicatedCo-processor

ArchitectureFocusArchitectureFocus

ArchitectureEnhancements

NVIDIATegra X1

Movidius(now Intel)Myriad 2

Nervana(now Intel)

GoogleTensorProcessing Unit

ArchitectureEnhancements

Software Packages/Libraries, FrameworksSoftware Packages/Libraries, Frameworks

NoneNone

GoogleTensorProcessing Unit

GoogleTensorProcessing Unit

“We stand at the beginning of the next era, the AI computing era…. In this era, software writes itself and machines learn. Soon, hundreds of billions of devices will be infused with intelligence. AI will revolutionize every industry.”

—Jensen huang, ceo of nVIdIa corporation

it into valuable information. Neural networks and AI applications will be major driving forces for the next generation of semiconductor devices, and will add to the arsenal of data analysis techniques being deployed in semiconductor fabs.

Even as processor and algorithm design teams work to sort out the best technical approaches to neural networks, it is clear that we are at the start of something that will impact the semiconductor industry in ways yet to be fully understood (see fi gure 1).

As streams of data began multiplying over the past decade and the term “big data” became common, concerns mounted about how such large amounts of raw data could be turned into useful information.

BIg data aND neUraL NetWOrKS

BY DAVID

LAMMERS

NEW DRIVERS FOR THE SEMICONDUCTOR INDUSTRY

22 NaNOCHiP

Page 14: Wireless Everywhere: Connecting the Chip Industry’s New

NaNOCHiPNaNOCHiP24 25NaNOCHiP 25

And this is not a futuristic scenario: Tesla now off ers an augmented driving capability so that a car’s vision system can see two or three cars ahead and stop the vehicle before a pileup might occur.

“With Google and Facebook hiring so many people knowledgeable about neural networks, automotive customers are fi nding it diffi cult to hire people in this fi eld. It is a struggle to fi nd the right people,” Cooper said.

Not all inference engines will require such multi-core dedicated processing. When neural networks are trained to detect credit card fraud, for example, the results can be deployed with a conventional CPU acting as the inference engine, said David Kanter, principal analyst at Real World Technologies.

“Machine learning will be used in a myriad of ways, in autos, hospitals, and security systems, or to detect spam on the Internet. In some cases, machine learning is not that computationally heavy, not enough to justify a special piece of hardware,” he said.

In many cases, machine learning can be deployed in end-user systems with a small coprocessor added to the main processor, Kanter said. “The hardware is going to be somewhat diff erent from one application to the other.”

BoostIng chIP faB yIeLds

Could these techniques also work to boost yields in a semiconductor fab, or guide a chip designer?

Chris Rowen, a pioneer of the synthesizable microprocessor sector while at Tensilica (part of Cadence Design), now heads up a venture capital fi rm, Cognite Ventures, aimed at AI startups. “Manufacturing industries in general are just waking up to the potential in machine learning,” Rowen said (see fi gure 2).

NaNOCHiP24

Dave Anderson, president of SEMI’s Americas Operations, sees machine learning as a major driver for improving semiconductor device speeds going forward. Voice recognition, language translation, assisted driving, and medical diagnostics are just a few examples of how machine learning is changing the landscape.

“Visual analysis systems will all require a neural network behind them, and that involves a lot of compute power,” said Anderson, who earlier worked as a senior manager at SEMATECH.

While the semiconductor industry’s growth has been driven by personal computers, gaming and smartphones, future growth will come from the need to analyze large amounts of data quickly with neural networks operating in the Cloud and in user devices as well. “We are entering the data phase, with the chip industry poised for another period of rapid growth,” Anderson said.

what’s good? and what’s Bad?

Linley Gwennap, principal analyst at Microprocessor Report, said “we are in the very beginnings of the whole neural network thing.” Early neural networks have proven able to solve some problems at higher rates of success than humans.

Thus far, much of the network training process has been done on modifi ed graphics processors from NVIDIA Corporation, but Gwennap said the landscape is likely to change as processor design teams at both established processor vendors and startups work on “neural network processors designed from scratch.” Intel has made some key AI-related acquisitions, notably startup Nervana, Inc., and its executives have vowed to be leaders in the fi eld, Gwennap noted. He said the high-performance silicon used to train neural networks now accounts for only a few percent of the processor spending at data centers, but that could rise to 10–20% of what is now about a $10B market.

On the inference engine side (the AI processors used in drones, robots, cars, smartphones, and other end-user systems), Gwennap said semiconductor vendors need to be wary of the power consumed.

Gwennap is convinced neural networks will have a big impact on how data is analyzed. To date, software engineers have had to write complex applications in C-code and then spend considerable time tweaking their programs. Neural networks, by contrast, “program

themselves. They look at a big pile of data, and sort things out. They look at the patterns and fi gure out what’s good and what’s bad,” he said.

Is It a dog?

A neural network “is supposed to emulate the synapses of your brain,” said Gordon Cooper, product manager for the Synopsys embedded vision products based on synthesizable ARC processor cores. A convolutional neural network (CNN) is the current state of the art for visual processing, and it trains the neural network’s layers to recognize something by adjusting the weight between nodes. “For example, when shown an image, it must decide ‘yes or no: is it a dog?’ Depending on the answer, as the weights adjust, you are training the network.”

Much of the advanced driver-assistance systems (ADAS) phenomenon is based on the ability to train neural networks using high-performance computing systems and then deploy the pattern-recognition capability on higher-volume inference engines in the vehicles. Some of these inference engines will be small cores added to processors, but others will be high-performance ICs consuming signifi cant fab capacity.

Cooper said the inference engines in ADAS require powerful multi-core system-on-chip (SoC) solutions. ADAS vendors are protective of the particular methods they use to train their neural networks to recognize pedestrians and other obstacles. But all of them require fast silicon to do the inference processing on images coming into the vehicle.

Embedded vision works on the individual images in a video stream, on a frame-by-frame basis. “This is uncompressed, full-frame data. Depending on the megapixel rate of your camera, that is a lot of pixels,” Cooper said. Some customers use quad-core SoCs running as fast as 800 MHz to perform pattern recognition in the ADAS-equipped vehicles. “The ADAS system will need real processing horsepower to go make a decision,” he said.

Before an ADAS application can examine an image coming in from a car’s camera and force the car to stop, or not, the inference engine silicon must “fi gure out what is the region of interest for the image, evaluate diff erent candidates to see if it could be a pedestrian, and report: ‘yes or no, that is a pedestrian,’” Cooper said.

BIg data aND neUraL NetWOrKS NEW DRIVERS FOR THE SEMICONDUCTOR INDUSTRY

“Deep learning leaves domain knowledge on the table and therefore is generally not good for things like fault detection, predictive maintenance, and virtual metrology.”

— James moyne, engineering associate research scientist, University of michigan

Figure 2: Neural networks are behind automated speech recognition (ASR), which empowers a voice interface for consumer markets. (Source: Cognite Ventures)

EMBEDDED NEURAL NETWORK PRODUCT SEGMENTS

Vision• Multi-sensor, image, depth, speed• Environmental assessment• Full surround views

• Attention monitoring• Command interface• Multi-mode ASR

• Social photography• Augmented reality

Audio • Ultrasonic sensing

• Acoustic surveillance• Health and performance monitoring

• Access control• Sentiment analysis

NaturalLanguage

• Mood analysis• Command interface

• Real-time translation• Local bots• Enhanced search

• Mood analysis• Command interface

• ASR social media• Hands-free UI• Audio geolocation

AutonomousVehicles and Robotics

Monitoringand Surveillance

Human-MachineInterface

Personal DeviceEnhancement

Page 15: Wireless Everywhere: Connecting the Chip Industry’s New

NaNOCHiPNaNOCHiP26 27NaNOCHiP 27

Juan Rey, senior director of engineering at Mentor Graphics Corporation, said that “we do know that these neural network algorithms don’t care what they are recognizing. They need to be trained to diff erentiate a cat from a dog, so we know they should be able to be trained to recognize vias from trenches, or etches in a dual damascene process. Absolutely.”

Figure 4: Machine learning will complement domain knowledge of fab and equipment engineers in the Computational Process Control era. (Source: Applied Materials, Inc.)

COMPUTATIONAL PROCESS CONTROL (CPC)

EXPERTISEAnalytics, Modeling and

Algorithms

EQUIPMENTDesign, Materials and

Components

DATA ENGINESensors, Metrology and

Data Structure

PROCESSUnderstanding

Comprehensive knowledge network is essential to accelerate good die out

CPC

For Mentor, AI research is just starting, but a team is in place. “We are trying to look into these algorithms,” Rey said, adding that he would like to see the Semiconductor Research Corp. (SRC)—where he sits on an advisory board—put some of the consortium’s research funding into AI techniques.

NaNOCHiP26

Certainly, high-value industries like semiconductors “are in a strong position to use it. The benefi ts of being really in control of the process are so huge, and machine learning can introduce predictability into that manufacturing world.” (See fi gure 3.)

James Moyne, a University of Michigan engineering associate research scientist, said he believes AI techniques will best serve the semiconductor industry when they are used in conjunction with human experts.

“Neural net and AI techniques for big data, such as ‘deep learning,’ will impact semiconductor manufacturing, but it will be far from a panacea. Everyone is looking for a one-size-fi ts-all technique for these predictive analytics. However, deep learning leaves domain knowledge on the table and therefore is generally not good for things like fault detection, predictive maintenance, and virtual metrology,” he said.

Neural networks might work well as “a layer on top” that fi nds “odd anomalies” and then alerts an expert to investigate.

“We want to get people thinking about leveraging big data techniques, but we also want to help them understand that they are not a substitute for hard work at confi guration and domain knowledge. Eventually we’ll need to carve out the problem space and identify those areas where deep learning might be the best technique and where it is not,” he said.

Kirk Hasserjian, Applied Global Services (AGS) vice president of service product development, argues that “supervised” models incorporate the expertise of the equipment companies as well as the intimate process knowledge of the semiconductor companies. Speaking with Tech Design Forum’s correspondent Paul Dempsey at Semicon China earlier this year, Hasserjian said these supervised models currently are better at separating the signal from the noise.

Pure machine learning, which relies on “unsupervised” models of unlabeled data, “is essentially looking for groupings and trends, identifying anything that is anomalous,” Hasserjian said. “There’s quite a bit of data coming out of our processes and tools that you can use that modeling for.”[2]

Models, both supervised and unsupervised, are part of a larger data analysis framework, computational process control (CPC), being developed by Applied Materials, which impacts both the manufacturing and design processes.

Speaking at the 2016 Advanced Process Control meeting, Hasserjian said CPC—which includes prescriptive and predictive capabilities within a larger computational data analysis framework—is part of the larger evolution from statistical process control (SPC) and advanced process control (APC) (see fi gure 4).

BIg data aND neUraL NetWOrKS NEW DRIVERS FOR THE SEMICONDUCTOR INDUSTRY

Figure 3: Illustration of the drivers of electronic design evolving to cognitive computing applications. Cognitive computing[1] generally refers to the computer hardware/software that mimics the functioning of the human brain, often leveraging neural network and AI techniques. (Source: Cognite Ventures)

THE EVOLUTION OF ELECTRONIC DESIGNCognitive Computing Will Be a Long-Term Driver for Electronics

• Circuit Sim• Custom Layout• Extraction/DRC

• 3-State Sim• Logic Synthesis• Std Cell P&R• Static Timing• Formal Verification

• Optimizing Compiler• RTOS• Debugger/Profiler• MP Programming• App Dev Framework

• Parallel Stochastic Network Training• Network Structure Synthesis• Auto Data Labeling and Augmentation

1930 1940 1950 1960 1970 1980 1990 2000 2010 2020 2030 2040

Digital CircuitsDiscrete TTL RTL IP Reuse

Processor BasedAssembly HLL EcoSystem Open Source

CognitiveComputing

Analog CircuitsTube Discrete IC IP Reuse

Page 16: Wireless Everywhere: Connecting the Chip Industry’s New

NaNOCHiPNaNOCHiP28 29NaNOCHiP 29

Virtual reality

smartPhonesEVOLVE TO MEET THE DEMANDS OF

NaNOCHiP28

data QUaLIty needs worK

Most neural networks to date have excelled at working with sets of patterned data that have labels (a golden retriever versus a dachshund, or a cancerous tumor versus healthy tissue, for example). But Rowen said neural networks are becoming increasingly adept at taking raw, unlabeled data and coming up with meaningful solutions.

For many organizations, neural networks are like “a shiny new hammer” that companies are still trying to fi gure out how to use, Rowen said. But the possibilities are high for the technique to fi nd widespread use in the semiconductor industry, where even a 1% yield gain is worth many billions. “Neural networks can be used to take masses of data in situations where there is a clear idea of the outcome but no certainty of what the causality is. This kind of capability could be applied to a fab’s yield issues, where manual techniques often make it more diffi cult to drill down to the root cause,” Rowen said.

Neural networks can fi gure out “a complex model of causality; what causes a defect at this certain point. If you have enough yield examples, you can develop very good predictive models, with a high degree of statistical accuracy, based on the type of failure and the cause, and determine what you can do to prevent it. That is very hard to do with manual methods, or with previous statistical methods,” Rowen said.

Moyne said a central challenge facing the semi-conductor industry is to create higher-quality data sets, incorporating the several types of data captured in fabs today.

“In our industry, we have a lot of data-quality issues, and we have to do data fi ltering and feature extraction to augment our data techniques. Neural networks are very good for large data sets, a free-form method of looking for patterns that humans have no idea about. And they are really good when you are not looking for a perfect solution, where you don’t have to be right all the time, such as helping defi ne people’s preferences in order to put up Google ads.

“There is a place for these things, but because it leaves on the fl oor a lot of the domain knowledge, it is not going to be a cure-all solution,” Moyne said.

Jensen Huang, CEO of NVIDIA, sees big changes underway. Writing on that company’s blog, he argued that

“we stand at the beginning of the next era, the AI computing era…. In this era, software writes itself and machines learn. Soon, hundreds of billions of devices will be infused with intelligence. AI will revolutionize every industry.”

Raul Valdes-Perez, adjunct associate professor of computer science at Carnegie Mellon University, diff erentiates between machine learning versus machine discovery. Machine learning fi nds common patterns in data, and uses them to learn and adapt without being explicitly programmed. Machine discovery, Valdes-Perez said, takes it up to another level, with the algorithms assisting humans in “extracting potentially useful and novel knowledge from the common patterns found in the data.”

Moyne’s scenario—with domain experts using neural networks—is almost certainly the way AI will be used initially in a fi eld as complex as semiconductor manufacturing.

But already examples are surfacing of neural networks that are so much faster and cheaper than human experts that whole job categories are threatened. Stock traders, for example, are rapidly being replaced by computer scientists on Goldman Sachs’s securities trading fl oor. And radiologists—extensively trained doctors who spend decades learning how to read X-rays, MRIs, and other images—can no longer individually match the accuracy or speed of AI systems trained by the cumulative knowledge of multiple experts to recognize cancerous tumors, according to Siddhartha Mukherjee, an oncologist and author of the 2011 Pulitzer Prize-winning book, “The Emperor of All Maladies: A Biography of Cancer.”

In semiconductor manufacturing, Moyne said, some statistical techniques such as partial least squares regression (PLS) are more appropriate than neural networks for certain applications.

“Ultimately, it will be a combination. There is no one technique that will solve everything. The quality of the data and the existence of domain knowledge play a large role in what technique you choose. We will need them all,” Moyne said.

For additional information, contact [email protected]

[1] https://en.wikipedia.org/wiki/Cognitive_computing[2] http://www.techdesignforums.com/practice/technique/

computational-process-control-applied-materials/

BY KERRY CUNNINGHAM

BIg data aND neUraL NetWOrKS NEW DRIVERS FOR THE SEMICONDUCTOR INDUSTRY

Page 17: Wireless Everywhere: Connecting the Chip Industry’s New

NaNochipNaNochip30 31

The phone serves as both computer and display, showing a stereo pair of images. How successfully your brain is tricked into believing you are actually in another virtual world depends on how well the phone enables the VR application to create the impression of your presence there.

The display requirements needed to achieve a desirable state of presence include the following: low image “persistence” and high screen-refresh rate; a high frame rate to avoid latency effects; high screen resolution; and a large field of view (FOV). All of these are designed to take advantage of the tricks the mind uses to perceive depth of field and focus, and for true 3D vision.

Image PersIstence and screen refresh rate

Image persistence and the screen refresh rate are closely linked, and in both cases, faster is better when it comes to replicating the real world. The need for speed is also why displays built from organic light-emitting diodes (OLEDs) have advantages over liquid crystal displays (LCDs).

Persistence is the term used to identify the time it takes for a new image to replace the current one. The lower the persistence, the sharper the image will appear. If persistence is high, or “full,” the image will seem blurry.

The screen refresh rate, on the other hand, is the number of times the image on a display screen can be refreshed per second. The faster the screen can refresh, the lower persistence will be.

OLED displays have more than a 1000x faster response rate than LCD displays and are the standard for smartphone VR. Every millisecond is critical when trying to achieve a realistic state of presence in the virtual world.

OLED displays also eliminate motion blur and jitter, which have been linked to simulator sickness. In addition, VR-ready smartphones use OLED screens to meet the requirements for image quality, power efficiency (e.g., cooler and longer operation), and smaller form factors.

Latency

Another major issue for delivering truly realistic virtual reality is latency. Latency is defined as the time from when you move your head to when you actually see the correctly rendered view. Low latency is key to creating a believable virtual space.

More specifically, latency refers to the delay between an input and a response. In the real world, your head’s actual movement and the image of motion you perceive are in sync between the eye and the inner ear. By contrast, in virtual reality there is a delay between moving your head and the movement of the image in the VR headset. If the delay is too long, the VR immersion will feel unnatural.

Moreover, the disparity with your brain’s understanding of normal movement can lead to nausea or dizziness. To avoid this, and to achieve a smooth and natural VR experience, the latency (i.e., the frame rate for images) must be faster than 20 ms.

fIeLd of VIew

FOV is the extent of the observable environment at a given moment. It is one of the more important aspects of VR because the wider the FOV, the more likely the user will feel present in the experience. FOV comprises both monocular and binocular vision that work in tandem to determine depth of focus and 3D vision.

Full human eye FOV is 170° horizontal x 130° vertical. But in VR, the limiting factor in achieving full FOV is the lenses in the headset. To get a better FOV, you must either move closer to the lenses or increase their size (see figure 2).

~200° - 220°

~114°

Monocular Field of ViewBinocular Field of View

HUMAN

Figure 2. Field of view depends on both monocular and binocular vision. It is achieved in smartphone-based VR headsets by ensuring that the phone lies an optimal distance from the headset’s lenses, and that the lenses are large enough. (Source: vr-lens-lab.com)

Virtual reality

smartPhoneseVoLVe to meet the demands of

When you put on a virtual reality (VR) headset you are transported to another world, a place where reality

is just a whim of the imagination. What’s far from imaginary, though,

is the impact VR is having on all of us, whether we personally

use VR headsets or not.

Most people equate VR with gaming applications, but increasingly business, industry and government users are finding new applications for the evolving technology. The military, for example, has adopted VR for combat and training simulations. Healthcare providers utilize VR images from CAT scans to create 3D models of patients’ anatomy for diagnosis and treatment. Automobile manufacturers use VR in designing cars and to inspect automotive interiors and exteriors before they are manufactured.

These applications, and many more to come, will help drive the adoption of virtual reality and the devices used to access virtual environments. In fact, VR applications are now driving the evolution of smartphones like the one in your pocket or purse. Smartphones have become the single most important device in VR systems, in contrast to VR’s early days when bulky, dedicated headsets tethered to computers or consoles predominated.

So, if you like how much better and larger the display is on your current phone, or how much more memory it has for photos and apps, or how much longer its battery lasts, then get ready for even more improvements! As VR technologies push forward, these and other areas will continue to evolve.

smartPhone-Based headsets

Using a smartphone as the engine of a VR display has a compelling simplicity, and ultimately will allow VR to reach mass adoption because smartphones are so ubiquitous. There now are a number of wearable VR displays on the market that consist of a head-mounted box or case with focusing lenses, into which the user inserts a smartphone (see figure 1).Figure 1. VR display that uses a smartphone as the engine.

Page 18: Wireless Everywhere: Connecting the Chip Industry’s New

NaNOCHiPNaNOCHiP32 33

resoLUtIon

Screen resolution as measured by pixels per inch (ppi) is another important requirement for smartphone-based VR systems. Because smartphone displays are placed fairly close to the eye in VR headsets (see fi gure 3) and are magnifi ed by the headset’s lenses, pixels sometimes can be seen. Higher-density OLED screens eliminate this problem.

Vergence

Smartphone-based VR systems must also accommodate the vergence of our eyes. Vergence refers to the simultaneous turning of the pupils toward or away from one another as we focus on something. The closer an object is, the more our eyes will rotate inward, or converge, to keep it in the center of the FOV. When something is farther away, our eyes will rotate outward, or diverge, to keep it centered.

At an infi nite distance, the eyes are gazing in parallel.

This vergence gives the brain the geometric data it needs to triangulate and calculate the distance from us to the object: two angles (one from each eye) and our position. Most VR displays show a separate image to each eye in order to take full advantage of these depth cues. If these images are properly synced with each other and with the motion of the head, a tolerably convincing illusion of depth can be generated (see fi gure 4).

oLed dIsPLays

Applied Materials is a key supplier of the materials engineering solutions and manufacturing equipment required to build high-performance OLED displays. Applied’s technology is used to fabricate the key PECVD and PVD layers needed to build high-performance LTPS and MOx transistors that off er high electron

Polarizer EncapsulationOLEDEmitter

TFTBackplaneOLED

Figure 5. OLED materials are susceptible to degradation when exposed to environmental factors such as water and air. Thin-fi lm encapsulation of OLED emitters is key to building high-performance, durable and stable OLED displays.

AR Glasses > 2000 ppi

VR Goggles > 1200 ppi

VR Phone > 800 ppi

Smartphone > 600 ppi

Tablet/Laptop > 300 ppi

Monitor > 100 ppi

TV > 80 ppi

RESOLUTION A FUNCTION OF DISTANCE FROM EYE TO DISPLAY

Figure 3. In smartphone-based VR systems where the phone is close to the eye and the view of the screen is magnifi ed by optical lenses, screen resolution must be ≥800 ppi to eliminate pixilation.

2. Convergence

1. Retinal Disparity

HUMAN EYES USE MULTIPLE CUES TO “SEE” 3DAvoiding Cue Conflicts and Providing Natural and Consistent Stereo, Parallax and Focus Cues

Verg

ence

Dis

tanc

e

2. Accommodation/Focus

4. Others: Shading, Color, Texture, Size, Perspective, Gradient, Lighting...

1. Retinal Blur 3. Parallax and Occlusion

View from the left View from the right

Verg

ence

Dis

tanc

e

Foca

l Dis

tanc

e

BINOCULAR CUES MONOCULAR CUES

Vergence-Accommodation

Conflict

Figure 4. Human eyes use both binocular and monocular cues to focus, create depth perception and perceive distance. Near-eye imaging displays in VR systems use software to replicate these cues.

Virtual reality

smartPhoneseVoLVe to meet the demands of

Page 19: Wireless Everywhere: Connecting the Chip Industry’s New

NaNOCHiPNaNOCHiP34 35

mobility, highly reliable backplane performance, and OLED performance stability.

Applied Materials is also the leader in thin-fi lm encapsulation to protect the highly sensitive organic materials in OLED emitters from exposure to moisture and air (see fi gure 5).

Materials engineering solutions from Applied Materials will become even more important in the future with the growing requirements for higher

TransistorActiveArea

Repairable Defect Killer Defect

DEVICE PERFORMANCE AND YIELD REQUIREMENTS

OLEDLCD

3 TFTs150 ppi

12 TFTs300 ppi

48 TFTs600 ppi

14µm

42µm

28µm

85µm

169µm

169µm

LCD

Particles > 10x Density Reduction Uniformity 3x Improvement

Figure 6. As TFTs in OLED displays get smaller and devices get larger, particles that were not problematic in manufacturing processes before may become “killer defects” for smaller TFTs. Equipment manufacturers must therefore reduce both the number (density) and size of particles when scaling to higher resolution and smaller pixel sizes.

screen resolution and smaller pixels. For example, the impact of uniformity and particles on yield is signifi cantly magnifi ed as thin-fi lm transistors (TFTs) get smaller and devices get larger (see fi gure 6).

semIcondUctor reQUIrements

Displays are not the only smartphone component that VR requirements are driving forward. Given that a linear increase in screen resolution leads to a square

function increase in pixel density, phones will need to incorporate very fast processors built with higher-density processes—and more memory—to drive so much data at high resolution and high frame rates.

concLUsIon

Even if you’re not a fan of VR, you will benefi t from it. Smartphones will continue to evolve based on VR requirements for better picture quality, OLED displays for stunning color and small form factors, higher DRAM content to support improved resolution, and faster video download capabilities.

If you are a fan of VR, the new features just may trick your brain into believing you have been transported to another time and space.

For additional information, contact [email protected]

Virtual reality

smartPhoneseVoLVe to meet the demands of

BY DANIEL SIMON

Achieve 3–5% increased tool uptime and a 3–5% boost in productive hours for high-current, medium-current and high-energy tools

HIGHER PRODUCTIVITYFOR APPLIED VIISta™

ION IMPLANTERS

Page 20: Wireless Everywhere: Connecting the Chip Industry’s New

NaNOCHiPNaNOCHiP36 37

Figure 2. At left is a daily dashboard report showing ion source predictive maintenance (PdM) and beam-tuning status, and the health of major assemblies. When a yellow/red indicator shows a tool issue, Applied CEs use the drill-down UVA charts on the right to diagnose and quickly address the situation.

With actionable analytics, Applied CEs can observe and analyze real-time tool and production data and then compare it to known BKM values to pinpoint areas that can be improved.

Daily, weekly and on-demand reports provide critical information on all relevant conditions aff ecting productivity, such as incorrect recipe parameters, inconsistencies in tool

performance, and mechanical issues arising from a tool’s robotic components. Applied CEs use this information to optimize the customer’s implant tools and achieve better performance and more wafers out.

The daily reports summarize all UVAs and highlight tool issues, pointing to specifi c tool modules, recipes, etc. (see fi gure 2).

Figure 1. All required parts are included at no extra cost in the new Implant Applied Managed Service, such as alternative-materials parts that reduce maintenance needs and have a longer MTTF. Shown above are some of the ion source parts that may be included as needed.

Filament Clamps

Insulator

Cathode Nut

Cathode Holder Filament Cathode

Thus the need to achieve higher productivity from ion implanters reaches across the industry. In older fabs where space constraints prohibit installation of additional tools, the focus may be on boosting the output of existing implanters. High-volume fabs may be focused on high uptime and more wafers out to relieve tool throughput constraints. In all cases, manufacturers seeking a higher fi nancial return on investment (ROI) from their tools recognize that higher productivity is an eff ective way to achieve a lower overall cost of ownership.

To help customers increase the productivity of their ion implanters, Applied Materials has introduced Implant Applied Managed Service.™ This comprehensive service off ering provides a 3–5% increase in tool uptime with an additional 3–5% increase in productive hours for high-current, medium-current, and high-energy Applied Materials VIISta™ implanters. The result is a signifi cant total increase in wafer output for a customer’s fl eet of implant tools.

Applied Managed Service off erings are service agreements designed to increase a customer’s operational effi ciency by addressing specifi c corrective and preventive maintenance requirements to improve tool performance. They provide genuine Applied Materials non-consumable parts and managed inventory support. Priority support for non-consumable parts is provided, as is rapid on-site response for downs.

The two key performance indicators (KPIs) for the new Implant Applied Managed Service are uptime and output. These are tied to SEMI’s E10 Specifi cation for Defi nition and Measurement of Equipment Reliability, Availability, and Maintainability, and are commonly used to measure and benchmark tool and fab performance.

To illustrate the value of the new service, consider a fab with an output of 4.4M wafers/year from its fl eet of 6 ion implanters. A 4.2% increase in wafers out per year with Implant Applied Managed Service provides an additional 185,000 wafers per year for the fl eet. If each wafer is valued at $10, the benefi t of the wafers out from beam-tuning can be $1.8M.

mULtIPLe ProdUctIVIty ImProVements

The higher productivity enabled by the Implant Applied Managed Service is a result of the combined eff ects of multiple improvements. They include faster and better ion beam-tuning, optimized recipes, reduced variability among a fab’s tools, fewer potential bottlenecks in downstream tools, less downtime (both planned and unscheduled), greater predictive maintenance inputs, faster corrective action, and faster green-to-green times.

To accomplish all of this, Applied Materials will provide a wide array of resources based on customer requirements, including:

■ Advanced analytical solutions that enable more wafers out and more productive uptime.

■ Customer engineer (CE) tool-monitoring dashboards.

■ Alternative-materials parts that reduce maintenance requirements and increase mean time to failure (MTTF). (See fi gure 1.)

■ Guaranteed parts availability at no additional cost (for the platen, robotics and processors) and parts repair/refurbishment services.

■ Experienced engineers with advanced training in ion implantation applications and tools, enabled by algorithms and predictive models from the Applied Materials fi eld service server.

■ Advanced preventive maintenance (PM) and best-known methods (BKMs).

■ A FabVantage 360™ performance assessment (if needed to meet the KPIs specifi ed in the customer agreement).

The Implant Applied Managed Service makes use of a suite of sophisticated data analytics enabled by Applied’s fi eld service server, such as a proprietary fab dashboard and technical data warehouse that Applied CEs use to optimize tools for increased output and reliability.

Applied Materials advanced analytics encompass univariate analysis (UVA), tool matching, predictive maintenance, and advanced troubleshooting techniques. These analytical solutions are derived from Applied’s unique and extensive tool- and process knowledge base, refl ecting the company’s position both as the leading tool OEM and as a leading service provider to hundreds of fabs worldwide over the past 50 years.

Ion implant tools are essential for semiconductor manufacturing because dopants such as arsenic, boron and phosphorous must be implanted into silicon to fabricate transistor structures such as gates and wells. This holds true whether customers produce the most advanced 300mm digital logic and memory circuits; use 200mm processes to build analog, power and other products; or make CMOS image sensors.

Sour

ceH

ours

# V

iola

tions

By R

ecip

e#

Vio

latio

nsBy

Mod

ule

Cham

ber P

ress

ure

D2

Bott

om C

urre

nt

EPM

D2

Bott

om P

ower

Max

Date

Time Stamp

Run

Tool Name

PR Outgassing Causes D2 Bottom Arcing

EPM D2 Bottom Data Transformation

40u

35u

30u

25u

20u

15u

10u

5u

60

50

40

30

20

10

0

150

100

50

Transfer Ratios

Source

Scanner

Orienter

EPM

Decel

Beam Stability

0 5000 10000

12:00:00 12:00:15 12:00:30 12:00:45

15000 20000 25000 30000 35000 40000 45000 50000

1.2

1.1

1.0

0.9

0.8

0.7

0.6

0.5

0.4

0.3

0.2

0.1

• TRIDENT 1 – HIGH GLITCH COUNT FROM D2 BOTTOM• TRACE DATA SUGGEST PR OUTGASSING IS TRIGGERING A GLITCH• MAY BE DUE TO PROCESS CHAMBER LEAK

HIGHER PRODUCTIVITYFOR APPLIED VIIStaION IMPLANTERS

Page 21: Wireless Everywhere: Connecting the Chip Industry’s New

NaNOCHiPNaNOCHiP38 39

and (e) the dedication of specifi c CE skills and resources to address productivity issues.

In another case, Implant Applied Managed Service helped a 300mm customer meet upcoming production demands driven by the ramp-up of a new product. Ion implanter uptime was increased by 5.8% over a 3-month period by reducing system variability and the time needed for preventive/corrective maintenance, and by optimizing product recipes and parts (see fi gure 5).

This new managed service helped another customer attain a 4.2% increase in overall productive time across its fl eet of implanter tools. The result was achieved after Applied CEs performed data analytics using Applied’s proprietary FSS platform, which determined that the tools’ arc-to-beam current ratio was too high, and gas fl ow was too low, compared to reference data.

Once the recipe parameters were optimized, the beam-tune success rate went from 75% to 100%, and the

Figure 4. The new Implant Applied Managed Service helped one customer achieve >4% average uptime improvement across a fl eet of 6 tools.

Ave

rage

Upt

ime

May92.9

100.0

95.0

90.0

85.0

80.0

75.0

70.0

65.0

60.0

55.0

50.0

AVERAGE UPTIME

Jun92.2

Jul92.7

Aug93.3

Sep91.3

Oct93.5

Nov92.7

Dec92.5

Jan91.3

Figure 5. Implant Applied Managed Service helped a 300mm customer increase ion implanter uptime by 5.8% over a 3-month period, which enabled the fab to meet upcoming production demands driven by the ramp-up of a new product.

Ave

rage

Upt

ime

(%)

3-Month Baseline

88

86

84

82

80Month 1 Month 2 Month 3

Figure 6. Actual customer data following an Implant Applied Managed Service engagement. The beam-tune time was driven down for all tool recipes, and the beam-tune success rate was increased.

Mea

n (D

urat

ion)

Suc

cess

Succ

ess

%

4 5 6 7 8 9 10 11

0:06:40

0:05:50

0:05:00

0:04:10

0:03:20

0:02:30

105.00%

100.00%

95.00%

90.00%

85.00%

80.00%

75.00%

70.00%

65.00%

Month

Beam-tune success rateBeam-tune time

The weekly reports summarize the beam-tune success rate and the duration of beam-tuning, in order to localize and fi x tool and recipe issues (see fi gure 3).

demonstrated Performance

Implant Applied Managed Service has been successfully deployed at a number of customer fabs

Figure 3. Above on the left is a weekly dashboard report showing tool performance per tool and per recipe. Red, orange, and yellow squares indicate substandard performance. Applied CEs use data transformation at top right to derive tool recommendations listed at bottom right.

Reci

pe

Tool

IHC

.Arc

Volta

geA

ctua

lRea

dbac

kMax

Start Time

Tool

Tune Success %

Reci

pe

Tool

Tune Time

Arc VoltageData Analysis (UVA/DTs)

Recommended Action By Tool/Recipe

80

75

70

65

602016/06/04 12:00:00 2016/06/07 12:00:00 2016/06/10 12:00:00

IHC

.Dop

ant G

as F

low

Read

back

Max

Start Time

ToolGas Flow

80

75

70

65

602016/06/04 12:00:00 2016/06/08 12:00:00

Means/Duration

Success %

RECIPETOOL N RECOMMENDED ACTION29122522127121618623121111

Decrease gas flow to 1.25 – 1.5Increase arc voltage to 70, decrease gas flow to 1.25 – 1.5Increase arc voltage to 70

DRIVING ACTIONS BASED• TOOL PERFORMANCE• FLEET COMPARISON

around the world. These include fabs that manufacture memory devices, analog products, CMOS logic devices and CMOS imagers. Some customers mostly want to increase uptime from their implant tools, while the paramount need for others is to increase wafer output.

Figure 4 shows how one customer achieved a more than 4% average uptime improvement across a fl eet of 6 tools. The improvement over baseline performance (88%) was achieved through: (a) the use of beam-tune optimization; (b) 10 analytical models and tool health checks; (c) “refreshed” parts based on health-check data; (d) parts availability and increased inventories of spares;

time needed for the procedure was cut in half, from six minutes to three (see fi gure 6).

concLUsIon

Implant Applied Managed Service can deliver signifi cantly improved productivity to customers who use Applied Materials VIISta™ ion implanters. It is specifi cally designed to help them achieve greater wafer output, increased uptimes, reduced variability in uptimes across a fl eet of tools, and a greater ability to identify and address diffi cult problems.

This new off ering is part of a broad and ever-expanding portfolio of fl exible, innovative service products designed to ensure that customers’ Applied tools and fabs run as effi ciently and productively as possible.

For additional information, contact [email protected]

HIGHER PRODUCTIVITYFOR APPLIED VIIStaION IMPLANTERS

Page 22: Wireless Everywhere: Connecting the Chip Industry’s New

NaNOCHiP 41

WHat’SNeW iNmems?

While the MEMS market has matured in recent years, there’s still a lot of healthy growth ahead as new device technologies come to market and segment stalwarts (IMUs, RF MEMS, etc.) see device volumes rise with further adoption in end-user applications. Here, we briefl y review 2016 and discuss some recent additions to the MEMS segment: MEMS-based fi ngerprint sensor (FPS) and light detection and ranging (lidar) devices.

In 2016, MEMS device segment revenue was around $13 billion (CAGR ~ 13%, through 2021) with unit volume at just under 17 billion (CAGR ~ 21%, through 2021), as shown in fi gure 1. The majority of that device revenue was generated by growth in the consumer and automotive markets, each representing >50% and 25% of total device revenues, respectively. This is hardly surprising as mobile applications of MEMS have increased dramatically in recent years and the push towards advanced driver-assistance systems (ADAS) has also bolstered the use of MEMS in automotive applications.

fIngerPrInt sensors

Let’s fi rst take a look at the consumer segment and specifi cally, the increasingly prolifi c FPS device found on so many of today’s mobile devices. FPS devices are used in a number of security-centric applications. According to Yole Développement, their 2016 market value was $2.9B with roughly 800M units shipped. FPS devices are forecast to increase to over 2 billion units with a market value approaching $3.7B by 2022.

Currently, there are four diff erent fundamental operating FDS technologies: optical, capacitive, thermal and ultrasonic (see fi gure 2). The most prolifi c device technologies used today are capacitive-based. You’re probably most familiar with the capacitive FPS device in your smartphone or laptop computer.

Figure 1. Gyroscopes, accelerometers and the digital compass once led the MEMS revolution, but today’s device volume forecasts are dominated by the consumer communications sector, with microphones and RF fi lter devices constituting greater than two-thirds of all MEMS device volume by 2021. (Source: Yole Développement, 2016, “Status of MEMS Industry”)

CAGR ~ 21%

CAGR ~ 13%

Others

Environmental MEMS

Oscillators

RF MEMS

Microfluidics

PIR and Thermopiles

Microbolometers

Optical MEMS

Inertial Combos

Digital Compass

Gyroscopes

Accelerometers

Microphones

Pressure Sensors

Inkjet Heads

Uni

ts (M

)

40,000

30,000

20,000

10,000

0

MEMS Die Volume (2015 – 2021)

2015 2016 2017 2018 2019 2020 2021Years

Reve

nue

($M

)

$25,000

$20,000

$15,000

$10,000

$5,000

$0

MEMS Die Revenue (2015 – 2021)

2015 2016 2017 2018 2019 2020 2021Years

CAGR ~ 21%

CAGR ~ 13%

Figure 2. There are four well-known techniques for making FPS devices: optical, capacitive, thermal and ultrasonic. For today’s mobile applications, the capacitive type is most widely used, although piezo-based ultrasonic technologies are quickly gaining share in those markets. (Source: Yole Développement, 2017)

Mainly for industrial andhomeland security applications butconsumer applications are expected

Optical

COVER

ILLUMINATION

CMOSSENSOR

ASIC/CPU

TFT ACTIVE ARRAY CLASSIC

Mainly for consumer applications, though *thermal detection

could be used for specific applications

Thermal* UltrasonicCapacitive

40 NaNOCHiP

BY MIKE ROSA, PhD

Page 23: Wireless Everywhere: Connecting the Chip Industry’s New

NaNOCHiPNaNOCHiP42 43

Figure 3: Automotive applications are forecast to grow substantially by 2022. (Source: Yole Développement, 3D Imaging and Sensing Technologies Report, 2017)

Geographic Information

CMOS Image Sensors

Robotics

Automotive

System (GIS) and Military

and Industries

Reve

nue

1400

1200

1000

800

600

400

200

0

Lidar Market Forecast (US$M)

2015 2016 2017 20182011 2012 2013 2014 2019 2020 2021 2022Years

While each FPS technology has diff erent pixel counts and densities and overall levels of eff ectiveness, it’s the sensing area that ultimately determines utility and volume adoption in the consumer market. In this regard, capacitive and ultrasonic technologies beat out the competition with somewhat conservative sensing areas of ~28mm2 and ~36mm2, respectively. Combined with pixel counts north of 10K, pixel densities >500 ppi and extremely low power consumption in stand-by mode, it’s easy to see why these two technologies are prime candidates for use in mobile products.

Although capacitive devices already enjoy broad adoption, piezo-based ultrasonic devices are just now entering the market. With increased sensitivity capable of imaging both epidermal and dermal layers of the fi nger, piezo-based devices off er greater security and are

impervious to the eff ects of dust and moisture on the scanning surface.

Today, piezo-based FPS chips can be fabricated using either aluminum nitride (AlN), scandium-doped aluminum nitride (ScAlN) with scandium concentrations in the range of 20–30%, or lead zirconium titanate (PZT) piezo-materials, each with an increased electromechanical coupling coeffi cient (kt2). While the CMOS compatibility of the AlN family of fi lms is preferred, there are device architectures that might enable a PZT-based device by taking advantage of CMOS/sensor integration based on wafer bonding. Various deposition technologies can be utilized depending on the fi lm parameters of interest, but FPS chips will likely rely on physical vapor deposition (PVD) as a high-productivity manufacturing approach.

So, that leaves one big question: is this a 200mm or 300mm play? For now it seems 200mm (and small gen panel) is the way forward; however a 300mm play in the future should not be ruled out for this high-volume, increasingly ubiquitous device.

mems-Based LIdar

Light detection and ranging (lidar) mechanisms are another device-type in growing demand. They are currently used in geographic information sensor, military, CMOS image sensor and robotics applications. However, Yole Développement forecasts signifi cant growth over the next fi ve years in lidar mechanisms being widely used in the evolving autonomous vehicle area (see fi gure 3).

Lidar is a technique whereby laser light is used to illuminate a specifi c target and measure its distance from the source.

WHat’SNeW iNmems?

Encased in a spinning “can” mounted on the roof of a car, it is the mechanism by which many present-day autonomous vehicles “see” their surroundings. While eff ective, these “cans” are not attractive. Nor are they cheap: prices range from $10K–$30K per unit, and can climb up to $75K for the most advanced models.

To address this cost issue, several companies are touting discrete, MEMS-based lidar solutions with price points targeted below $100. With die sizes on the order of 25mm2, these devices are small 2D-scanning mirror architectures operated by a series of electromechanical comb-drive structures. There are several R&D eff orts underway to develop new and interesting technologies in this space. The Berkeley Sensor & Actuator Center (BSAC), for example, has its own approach for MEMS-based lidar.

Another lidar solution is an optical phased array (OPA)—a die-based mirror composed of many smaller refl ective micromechanical elements—which can carry out sophisticated beam forming, steering and tracking of multiple objects. Each mirror element in the OPA is ~2µm wide and 35µm long. The full 1D mirror device is capable of scan angles >22° at scanning speeds in excess of 500 kHz with operating voltages <10 V. While similar to the MEMS-based lidar devices with mirror elements manipulated by electromechanical comb structures, these comb-drive structures can be as thin as 300nm with equivalent 300nm spacing.

So, while metal deposition will be important in forming high-quality mirror surfaces for OPA devices, it seems that deep reactive ion etch (DRIE) will be the key enabling process, especially if device designs call

for reliable submicron process control.

While the future of lidar looks bright, there is ample competition between component OEMs looking to enable this exciting segment of the automotive industry. There is much work underway in this sector involving detection of vehicles, objects, pedestrians and even occupants. For example, recently, Bloomberg[1]

reported that Texas Instruments is introducing new automotive chips using radar technology that could challenge technologies such as lidar. So we will likely see many players in this fi eld with chip-based detection systems, from large suppliers to new upstart companies trying to leverage new technologies.

For additional information contact [email protected][1] https://www.bloomberg.com/

news/articles/2017-05-16/ti-aims-to-replace-big-radar-box-in-cars-with-tiny-chip

Page 24: Wireless Everywhere: Connecting the Chip Industry’s New

NaNOCHiPNaNOCHiP44 45NaNOCHiP 45NaNOCHiP44

When I set out this spring to interview several Applied Materials engineers for a Nanochip Express article about the company’s annual Engineering Technology (ET) conferences, I didn’t realize I would learn so much about how engineers think—and relate. I’ve been writing about the semiconductor industry for several decades, but most of my interviews have been with marketing people, industry analysts, and executives. With the ET conferences story, my assignment was to talk to engineers who had submitted papers about their work. The papers are delivered at one of several international regional ET events, or at the main US-based ET Conference, held this past year in Las Vegas.

Engineers, I am convinced, have a strong desire to tell their stories, to communicate the value of their work, no matter how technically arcane it might be. In a sense, that is what the ET conferences are all about: breaking down the silos that prevent knowledge from being more widely shared within Applied Materials, and then applying that knowledge to help customers run their tools and fabs more eff ectively.

A good example of this type of knowledge sharing comes from Kevin Sannes, who works in what is now a key area: matching the performance of multiple tools in a fab. “It is always competitive to get a paper accepted to the ET conferences,” said Sannes, who works in the FabVantage™ Consulting group at Applied Global Services (AGS). After 27 years at Applied, “I know a lot of people out in the fi eld for AGS, but Applied is a large company now and there are so many engineers in Santa Clara and elsewhere that I don’t interact with.”

Listening to Sannes describe the diff erent skills his team brings to bear to improve the performance matching of a fl eet of etchers, for example, is nothing short of inspiring. It makes me glad to be part of an industry unfazed by the technical challenges ahead of it.

Dermot Cantwell is another long-term Applied engineer who had a paper accepted for the 2016 Las Vegas event. He is part of the Common Systems Software group, which developed an application to aid the company’s process development teams by extracting more information from the data generated by Applied tools. The goal is to look, for example, at trace data about pressure—which by itself might have limited information on how

it impacts on-wafer performance—then determine how that data can be further analyzed and leveraged by process- and engineering teams.

Cantwell’s data mining work is at the center of the data-analysis movement sweeping multiple industries. An Irishman, he transmits enthusiasm for the work and delights in making it possible for other engineers to achieve their goals just a bit quicker than before.

“We created a piece of software where we take data already developed and extract a lot more information from it,” Cantwell said.

David Lammers is an Austin-based technology journalist.

tHe

laSt

WO

rD

DAVID LAMMERS

FOSTERING ENGINEERINGCOLLABORATION

Dermot Cantwell, Director, Applied Materials Common Systems Software Group

His comments bring to mind a recent McKinsey & Company study, a well-researched piece that concludes that the semiconductor industry needs to get its act together when it comes to data analytics, machine learning, and artifi cial intelligence. The study’s authors conclude that the industry needs to overcome its tendencies for companies to go it alone, operating in secrecy, and investing relatively little in data analytics. And with an estimated 10,000 data analysis engineers in all of the United States, they point out that the semiconductor industry faces a worsening shortage of just the kinds of software engineers it needs to improve its manufacturing effi ciencies.

This is a tremendously important subject. Historians of technology believe that it was the relatively free interchange of knowledge between scientists and engineers in Renaissance-era Western Europe that led to the Industrial Revolution there. The early iron makers in

England, for example, learned from the early science of metallurgy well ahead of other regions of the world. But once developed, they fi ercely protected their methods, slowing the spread of information and innovation.

Wendell Boyd is a senior AGS engineering manager who seems to intuitively understand these oft-opposing forces: the desire to share the technical advances he has come up with (for electrostatic chucks, or ESCs) while understanding that the intellectual property needs to be protected. His work has been awarded several patents for the development of sensors that measure changes to the dielectric

thickness, uniformity, and resistivity of the ESCs. “I believe our chucking-force sensor is going to

change our industry. It can really lower defects,” Boyd said. Being selected to tell his story in front of his peers means as much as the patent awards or customer adoptions. “Attending the ET conferences gives us a chance to share some of our successes, or even failures, so others don’t repeat the same mistakes. It helps us as engineers to grow and excel.”

Wendell Boyd, Applied Materials Senior AGS Engineering Manager

“I believe our chucking-force sensor is going to change our industry. It can really lower defects.”

— wendell Boyd, applied materials senior ags engineering manager

Page 25: Wireless Everywhere: Connecting the Chip Industry’s New

www.appliedmaterials.com

Printed on Recycled Paper

AGS-NFS-0717 7/17 600