27
WW Materials Market Forecast & Roadmaps CMC Conference 2018 Lita Shon - Roy President / CEO Karey Holland TECHCET/MegaFluid Systems April 2018 www.Techcet.com [email protected]

WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

  • Upload
    others

  • View
    2

  • Download
    1

Embed Size (px)

Citation preview

Page 1: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

WW Materials Market Forecast& Roadmaps

CMC Conference 2018Lita Shon-RoyPresident / CEOKarey Holland

TECHCET/MegaFluid SystemsApril 2018

[email protected]

Page 2: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

Analyze, Prepare & Move Forward

[email protected] 4/26/2018TECHCET CA LLC Copyright 2018 all rights reserved. www.techcet.com 2

Disclaimer: This presentation represents the interpretation and analysis of information generally available to the public or released by responsible agencies or individuals. Data was obtained from sources considered reliable. However, accuracy or completeness is not guaranteed. This report contains information generated by Techcet by way of primary and secondary market research methods.

Page 3: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

A Semiconductor Materials Markets & Supply Chain Advisory Firm

[email protected] 4/26/20183TECHCET CA LLC Copyright 2018 all rights reserved. www.techcet.com

For more info go to : www.cmcfabs.org

TECHCET started in 2000 to support the CMC hosted by Sematech / ISMI and the materials supply chain.

Supporting the CMC / SEMATECH for > 15 years

CMCFabs now includes:BroadcomCypressInfineonIntelGlobalFoundriesMicronNXPSamsungSematechST MicroelectronicsTexas InstrumentsTowerJazzPanasonic& Other tbd

A Fab Centric Organization

Page 4: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

OutlineTECHCET’s MegaTrends & Driverso Chinao WW Economy

WW Materials Trends – Wafers / Mix

Materials Roadmap

[email protected] 4/26/2018 4TECHCET CA LLC Copyright 2018 all rights reserved. www.techcet.com

Page 5: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

WW Materials Trends Update

TECHCET CA LLC Copyright 2018 all rights reserved. www.techcet.com 5

For more information on TECHCET’s Wafer reports please go to www.techcet.com

Page 6: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

TECHCET MegaTrendsTwo key drivers of materials Growth: o Legacy (> 65nm)

• IoT / Consumero Leading Edge

• Big Data and AI

China a market disrupter / game changer

Economies - Huge influencing factor

[email protected] 4/26/2018TECHCET CA LLC Copyright 2018 all rights reserved. www.techcet.com 6

Page 7: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

MegaTrends DetailsLegacy Fabs producing devices 150/200mm are at maximum capacity and continue to growo LE150 mm wafers saw a huge growth in 2017/2016 of ~ 19%o 200mm healthy growth but constrained by availability ~15%

45% of all devices are 65nm and larger nodes

[email protected] 4/26/2018TECHCET CA LLC Copyright 2018 all rights reserved. www.techcet.com 7

Page 8: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

[email protected] 4/26/2018 8TECHCET CA LLC Copyright 2018 all rights reserved. www.techcet.com

65nm 90nm 130nm 150-180 nm 0.25-0.80 um 1-3um 4-20 um

Analog/Mixed Signal Discrete/Diode/Rectifier/Thryristor/Other Discrete/LED Discrete/Opto

Discrete/Power LED Logic/MCU/MPU/LSISystem/Other Logic/Opto

Logic/SOI MEMORY/DRAM Memory/Flash-1DNAND Memory/Flash-3D NAND

Memory/MRAM MEMS (Sensors?) MEMS/Opto MEMS/RF

MEMS/SOI

40M

20M

Source: TECHCET, SEMI

Legacy Device Mix growing 6-7%/yr(MSI)

Logic/ MCU’s

Analog/ MixSig

Discrete Devices-various types

Discrete Devices-various types

Page 9: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

ChinaChina is becoming a market disrupter - coming on strongo Huge government & private investments driving new fab growth

• Phase I: $21B (65% to be allotted for IC mfg) Population of up and coming consumers the big carrot -> 1.4B people

• 20 new 200 mm fabs being build 2017-2019o China makes ~16% of the chips they consumeo Major share of raw materials marketso Chip trade balance will be impacted by these fabs looking to sell

Tariffs on products imported from China and those exported from China will continue to be a concern. o Tariffs - a concern for buyer and sellers

[email protected] 4/26/2018 9TECHCET CA LLC Copyright 2018 all rights reserved. www.techcet.com

Page 10: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

China’s influence on the Metals & MineralsChina’s raw materials resources will be the limiting gate for metals/sputter target production as China’s economy further expands

China domestic raw materials sources of fluorspar, phosphor rock continuing concerns. o Anhydrous hydrofluoric acid price showed a sharp rise in the first half of

2017 in China. The average price increased from 6,366 yuan/ton at the beginning of the year to 11,090 yuan/ton at the end of June, up 74.19%.

TECHCET CA LLC Copyright 2018 all rights reserved. www.techcet.com [email protected] 4/26/2018 10

From TECHCET’s Critical Materials Report™ on Sputter Targets and Wet Chemicals

China SuppliesX % of WW Supply

ConsumeY% of WW Supply

Major semi-raw material supply

country

Aluminum 54% 63% Various

Titanium 33% 63% China

Tungsten 82% 48% China

Rare Earths 82% Nd China

Page 11: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

MegaTrends Details –Leading Edge (< 45nm)

Logic devices MSI growing at 6% CAGR (2017-2022)

Memory growth (DRAM/NAND) – 3D device ramps will continue to accelerate materials growth at 6.5% CAGR

EUV materials challenges and opportunities

Novel materials not expected until 5nm or later

China – 13 new 300mm fabs coming on by 2019

[email protected] 4/26/2018 11TECHCET CA LLC Copyright 2018 all rights reserved. www.techcet.com

Page 12: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

How long can I ride this wave?

[email protected] 4/26/2018TECHCET CA LLC Copyright 2018 all rights reserved. www.techcet.com 12

Page 13: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

GDP Growth Expected to Moderate

[email protected] 4/26/2018 13TECHCET CA LLC Copyright 2018 all rights reserved. www.techcet.com

China

USGermanyJapan

Page 14: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

The Market is hot but will it last?

[email protected] 4/26/2018 14TECHCET CA LLC Copyright 2018 all rights reserved. www.techcet.com

Source: US Congressional Budget Office’s June 2017 report, An Update to the Budget and Economic Outlook: 2017 to 2027. www.cbo.gov/publication/52801 and TECHCET

0.0

0.5

1.0

1.5

2.0

2.5

3.0

3.5

0

5000

10000

15000

20000

25000

2016 2017 2018 2019 2020 2021 2022 2023 2024 2025 2026 2027 2028

Perc

enta

ge C

hang

e Yr

/Yr

$B U

SDUS Real GDP

Billions of 2009 dollars Percentage change, annual rate

Page 15: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

OutlineTECHCET’s MegaTrends & Driverso Chinao WW Economy

WW Materials Trends – Wafers / Mix

Materials Roadmap

[email protected] 4/26/2018 15TECHCET CA LLC Copyright 2018 all rights reserved. www.techcet.com

Page 16: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

[email protected] 4/26/2018TECHCET CA LLC Copyright 2018 all rights reserved. www.techcet.com 16

0

5,000

10,000

15,000

20,000

25,000

30,000

35,000

40,000

45,000

50,000

Q114 Q214 Q314 Q414 Q115 Q215 Q315 Q415 Q116 Q216 Q316 Q416 Q117 Q217 Q317 Q417

1000

’sWafer Shipments by Diameter

300mm 200mm <150mm

Page 17: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

0

1000

2000

3000

4000

5000

6000

7000

8000

2015 2016 2017 2018 2019 2020

KPSM

200mm Supply Demand

Demand 200mm Supply [email protected] 4/26/2018TECHCET CA LLC Copyright 2018 all rights reserved.

www.techcet.com 17

Page 18: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

The Silicon Wafer Market: $8.7B

[email protected] 4/26/2018 18TECHCET CA LLC Copyright 2018 all rights reserved. www.techcet.com

S E H30%

SUMCO26%

Siltronic15%

Global Wafers

17%

SK Siltron9%

Waferworks3%

SEH and SUMCO still remain dominant

Supply running close to supply causing leadtimes and tightness

Page 19: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

Semiconductor Materials Market Forecast

TECHCET CA LLC Copyright 2018 all rights reserved. t h t

[email protected] 4/26/2018 19

TECHCET Forecast 2016 2017 2018 est 2018/17

Bulk/Rare Gases $1,359 $1,450 $1,505 3.8%

Spec Gas $2,919 $3,115 $3,330 5.9%

Liquide Precursors $730 $820 $920 12.2%

Sputter Targets $540 $583 $621 6.5%

Metal Chemicals (FE) $407 $448 $491 9.6%

Wet Chemicals $1,360 $1,455 $1,550 6.5%

CMP Pads & Slurry $2,220 $2,418 $2,626 8.6%

Photoresists / Ancillaries $3,387 $3,625 $3,840 5.9%

Eqt Parts: CMP $572 $641 $714 11.4%

Eqt Parts: Si $380 $467 $550 17.8%

Eqt Parts: Quartz $803 $956 $1,023 7.0%

Eqt Parts: SiC / Ceramics $1,154 $1,245 $1,347 8.2%

Packaging Materials* $15,493 $16,252 $16,709 2.8%

Silicon Wafers $7,201 $8,710 $10,701 23.0%Total $37,789 $41,357 $45,927 11.3%

All revenue data from TECHCET with the exception of packaging materials, which is Courtesy of TechSearch International

$14,883M

$3,634M

$16,709M$10,701M

Page 20: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

Filters & Foups &

containers (est)

3%

FE Materials

31%

Eq. Consuma

bles7%

Packaging35%

Silicon Wafers

24%

SemiconductorMaterials $46B est.

Materials Markets

Bulk/Rare Gas8%

Spec Gas18%

Liquide Precursors

5%Sputter Targets

3%Metal Chemicals

(FE)3%

Wet Chemicals

8%

CMP Pads & Slurry

14%

Photoresists / Ancillaries

21%

Eqt Parts: CMP

4%

Eqt Parts: Si

3%

Eqt Parts:

Quartz6%

Eqt Parts: SiC / Ceramics

7%

FE Materials/Components $18.4B est.

Page 21: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

OutlineTECHCET’s MegaTrends & Driverso Chinao WW Economy

WW Materials Trends – Wafers / Mix

Materials Roadmap

[email protected] 4/26/2018 21TECHCET CA LLC Copyright 2018 all rights reserved. www.techcet.com

Page 22: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

20nm Planar SOI Hκ/MG

22

IC Technology Roadmap Evolutions/RevolutionsNote “Node” is “nm” performance, physical is GLph

3D Cross PointReRAM & maybe others?

Non-Volatile 80-30nm features3D NAND (BiCS, TCAT, etc.)

Non-Volatile 1X & 1Z nmShrink Planar NAND

Non-Volatile <10nm CNT? PCM

10nm transistor FinFET w/ Co

14nm TriGate 14/16nm FinFET-STI

DRAM 32-28nmVertical Capacitors

Continue DRAM Shrink w/ MPUHoney comb cell + Air-gap spacer

DRAM 26-16nmHκMG +

Si Fin

Charge Trap Flash in Vertical Planealso called 3D or V-NAND

3D/V-NAND Extend >5 yrs (longer than first expected)

16 to 512? Layers

2016 2017 2018 2019 2020www.Techcet.com

2015

5nm Gate all Around

2021

7nm transistorFinFET

[email protected] 4/26/2018TECHCET CA LLC Copyright 2018 all rights reserved. www.techcet.com

Page 23: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

Mid 1990’s Early 2000’s 2010 2013 2018Mid- 1980’s 2021

AirGap

CoWPCap

Rapidly Increasing Changes Required to Shrink Logic & RAM

CMP Ox & W

Cu Wiring

300mm Wafers

ALD High k

193nm Litho

Litho Immersion

248nm Litho

Al Replacement Gate & Al CMP

Phase Shift Litho

PDSOI

STI & CMP

ALD Barrier Metals

Lower k + porous Low k

Dbl Pattern

LithoEUV Litho

TFET

Graphene

Si Nanowires

Self Assembly

CNT

350nm 250nm 180nm 130nm 90nm 65nm 45nm 32nm 22nm 14nm 10nm 7nm 5nm 3nm?

2018-05-17

Fin Transistor

ETSOI

Co CVD/ ALD/ EP

III-V on Si (InP, InAlAs,

InGaAs)

Ge Epi channel

New Metal Barriers &

conductors?S&D

Raised

Strained Si (Ge)

CVD/ALD WNiPtSi

Low k

Tri/Quad Pattern

LithoCFET VFET

Nanowire FET

BEOL Materials

Process Technology

FEOL Materials

LithoTechnology

Color Key:

23

www.Techcet.com

[email protected] 4/26/2018

TECHCET CA LLC Copyright 2018 all rights reserved

Page 24: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

Summary & AssessmentChina growing semiconductor competency disrupting the market landscapte

Geopolitics will continue to cause strain between US and China resulting in higher tariffs on both sides

2018 expected to be a good year although 4Q2018 growth may start to moderate – caution recommended to prevent excessive inventory build

Legacy Materials growth in parallel to Leading edge

‘New’ Materials will continue to enable advanced deviceso novel materials <5nmo cobalt at 7nmo EUV materials opportunities

The market landscape is changing!

[email protected] 4/26/2018TECHCET CA LLC Copyright 2018 all rights reserved. www.techcet.com 24

Page 25: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

TECHCET’s Critical Materials Reports™ & Services

TECHCET CA LLC Copyright 2018 all rights reserved. www.techcet.com

25

TECHCET’s Services

Training: Materials Markets & Technology

Custom Consulting Projects

Critical Materials Council (CMC)

CMC Fabs Membership

CMC Associate Membership

Critical Materials Reports 2017-18

1 CMP Consumables (Pads/Slurry)2 CMP Equipt Consumables (filters, conditioners, etc.)

3 CVD /ALD Hi K Precursors4 CVD DIELECTRIC Precursors 5 Device Trends & Materials Requirements 6 Equipt Components –Quartz*7 Equipt Components -Ceramics & SiC*8 Gases - Electronic Specialty & Bulk9 Gases - Neon / Xenon10 Metal Plating Chemicals11 Photoresist, Ancillaries & Extension Materials12 Targets13 Silicon Wafers14 Wet Chem & Specialty Cleans (incl. CMP)

15 China Market Brief: Electronics Market Trends*

Page 26: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

Dean Freeman – Sr. Technology Analyst• Gartner Group, Novellus/Lam, WJ, Texas Instruments

H.T. Jeong – Korea Technical Accounts Manager• Renotek, Seojin Electronics

Bruce Adams – Sr. Market Analyst• Matheson Gas, Air Products, & Chemicals, Honeywell

Yu Bibby, Ph. D. – Sr. Technology Analyst• UV Global, ipCapital Group, Wilkes University

Kuang-Han Ke – Sr. Market Analyst• Gransystems, Applied Materials

Jonas, Sundqvist, Ph.D. – Sr. Technology Analyst• Fraunhofer, Quimonda

►Dan P. Tracy – Sr. Market Analyst• SEMI, Rose Associates,

Techcet Group Analysts (& Experience)

►Lita Shon-Roy – President / CEO• Rasirc/Matheson Gas, IPEC/Athens, Air Products, Rockwell/

Brooktree, Hughes Aircraft

►Karey Holland, Ph.D. – Chief Technical Officer• MegaFluid Systems, FEI, NexPlanar, IPEC, Motorola, IBM

►Ed Korczynski – Director, Marketing / Sr. Analyst• Solid State Technology, Intermolecular, Nanomarkets, Applied

Materials

►Diane Scott, Ph.D. – Manager, Technical Accounts, Sr. Technology Analyst

• NexPlanar, CMP Solutions, Rohm & Haas / Dow, 3M

► Tim Dyer – Sr. Technology Analyst• Elcon, Matsci, Morgan Ceramics, IPEC/Speedfam

Terry Francis – Sr. Technology Analyst• Matheson Gases, Applied Materials, Air Products

TECHCET CA LLC Copyright 2018 all rights reserved. www.techcet.com

[email protected] 4/26/2018

Page 27: WW Materials Market Forecast & Roadmaps€¦ · China is becoming a market disrupter - coming on strong o Huge government & private investments driving new fab growth • Phase I:

Thank you!www.TECHCET.com

ww.cmcfabs.org

[email protected]+1-480-382-8336, x 101

www.Techcet.com

[email protected] 4/26/2018TECHCET CA LLC Copyright 2018 all rights reserved. www.techcet.com 27