Upload
tranque
View
263
Download
5
Embed Size (px)
Citation preview
Electrical (0544) 2011-2011
項次 勾選 論文號碼1 AAC34973332 AAC35005353 AAC3455327
4 AAC1507610
5 AACMR81158
6 AAC3483225
7 AAC3468073
8 AAC3464414
9 AAC3496695
10 AAC3500198
11 AAC3489091
12 AAC1504583
13 AAC3479570
14 AACMR76883
15 AAC1502440
16 AACNR75272
17 AAC3471499
18 AAC3455293
19 AAC3500053
20 AACMR82455
21 AAC1492470
22 AAC3500629
23 AAC1501121
24 AAC1492496
25 AAC3477029
26 AAC1495079
27 AAC150648828 AAC3507207
29 AAC3482001
30 AAC3487243
31 AAC3477960
32 AAC3445217
33 AAC1496012
34 AAC1500654
35 AAC1500357
36 AAC150631337 AAC1492491
38 AAC3477395
39 AAC149877640 AAC149949941 AAC349909142 AAC345651943 AAC350441044 AAC3498323
45 AAC3503031
46 AAC3503769
47 AAC3499200
48 AAC3494519
49 AACNR78279
50 AAC1508911
51 AAC3495316
52 AAC3507481
53 AAC3503010
54 AAC3502361
55 AAC3507625
56 AAC3495776
57 AAC3498654
58 AAC3499868
59 AAC3494893
60 AAC3502582
61 AAC3505545
62 AAC3503113
63 AAC3502662
64 AAC3508365
65 AAC3507856
66 AAC3508385
67 AACNR83025
68 AAC3500430
69 AAC3506822
70 AAC3500327
71 AAC3500680
72 AACMR82742
73 AACMR81456
74 AAC3499165
75 AACNR83373
76 AACMR83579
77 AACMR82970
78 AACNR82990
79 AAC150568780 AAC3499857
81 AACMR80905
82 AACNR71397
83 AAC3497519
84 AACMR76159
85 AACMR76234
86 AACMR76162
87 AACMR83061
88 AAC3493515
89 AAC3496586
90 AACMR76931
91 AAC0828126
92 AAC0823571
93 AAC3514941
94 AACMR81936
95 AAC350462796 AACMR73210
97 AACMR76889
98 AACMR74047
99 AACMR83058100 AAC3486225
101 AAC0824234
102 AAC1504368
103 AACMR82490104 AACNR74534105 AACNR81551
106 AAC3500528
107 AACMR75544
108 AAC3476753109 AAC1501645
110 AACNR79956
111 AACMR82728
112 AAC0823453
113 AAC3504574114 AAC3472051
115 AACMR82562
116 AACMR76178
117 AACMR81709118 AACNR77643
119 AAC3488789
120 AAC3471250
121 AAC0824224
122 AAC0828031
123 AAC3504791
124 AAC3476324125 AAC3500596
126 AACNR71394
127 AAC3488705
128 AAC1495882
129 AACNR83280
130 AAC3467329
131 AAC0828314
132 AAC3476233
133 AACNR82438134 AACNR77515135 AAC3486286
136 AACMR79867
137 AAC3484848138 AAC3476586
139 AACMR76136
140 AACNR83241
141 AAC0828155
142 AAC3471826
143 AACMR75238
144 AAC3504512
145 AAC3452622
146 AACMR83050
147 AACMR75982148 AACMR73202149 AAC3492839
150 AAC3471974
151 AAC3491533
152 AACMR81677
153 AAC3459172
154 AAC1498867
155 AACMR79758
156 AAC0823501
157 AACMR80783
158 AACMR70900
159 AACMR82966
160 AAC3471846
161 AACMR69833
162 AAC3491539
163 AAC1499872
164 AACMR83031
165 AACMR81678166 AACMR83032
167 AACNR83234
168 AACMR76942
169 AAC0823857
170 AAC3485525171 AACNR77807172 AACMR79552173 AAC1501593174 AACMR70912
175 AACMR80782
176 AAC1501694
177 AACMR74048
178 AAC3467253179 AAC3500637
180 AACNR79925
181 AACNR77838
182 AACMR76141183 AACMR70939
184 AAC0823493
185 AACMR82713
186 AACMR69363
187 AAC1501671188 AAC3497044
189 AACMR76885
190 AACMR82470191 AAC3498003
192 AACMR71959
193 AAC3472328194 AAC3436470
195 AACNR77816
196 AACNR83224
197 AACMR75260
198 AAC3501705199 AACNR77544
200 AACMR79745
201 AACMR82503
202 AAC3501325
203 AACNR81549
204 AACMR75203
205 AACMR79532
206 AACMR74464
207 AACMR83046
208 AAC3445699
209 AAC3484651
210 AAC3501415
211 AACMR82593
212 AAC1493278
213 AACNR77711
214 AAC3501417215 AAC3496969
216 AAC3425602
217 AACMR83030
218 AACMR82564
219 AACMR82691
220 AACNR79530
221 AAC3492769222 AAC3492082
223 AACMR82597
224 AACNR78371225 AAC3464091
226 AACNR81542
227 AACMR82578
228 AACNR73282229 AAC3497543230 AACNR75651
231 AACMR82686
232 AACNR81540
233 AAC0823511
234 AACMR81905
235 AAC1504377
236 AACNR73269
237 AAC1501508
238 AACMR74997
239 AAC1507902
240 AAC0823454
241 AAC3471813
242 AACMR79539
243 AACMR79535
244 AACMR83068
245 AACNR75329
246 AAC1511448
247 AACMR76878
248 AACMR80995
249 AACNR73281
250 AAC0823803
251 AACNR77687
252 AACNR81847
253 AAC3480254254 AAC3464071255 AAC1504385
256 AACMR83060
257 AACMR83122
258 AAC3492208259 AACNR79636260 AAC1507985
261 AACNR77561
262 AAC1507968
263 AACNR74015
264 AAC3462066
265 AACMR82560
266 AAC3452662
267 AACMR76124
268 AACMR82563
269 AACMR75044
270 AAC3500562
271 AACMR75028272 AACNR77696
273 AACMR79548
274 AAC3500059275 AACMR83054
276 AACMR76090
277 AAC0823358
278 AACNR81755
279 AACMR79541280 AAC3479591281 AACMR81663282 AACMR75869283 AAC3484758284 AAC3492543285 AACNR78478286 AAC3452674
287 AAC0828325
288 AACMR79538
289 AACMR83029
290 AACMR79533
291 AACMR83044
292 AACMR73253293 AAC3472191294 AACNR74248295 AACNR74789
296 AACMR81816
297 AAC3458663298 AAC3492971
299 AACNR74009
300 AACMR81917
301 AACMR79544302 AACNR77685303 AACMR76080
304 AACMR81168
305 AACNR74596
306 AACMR81831
307 AACNR74586308 AAC3464483309 AAC3480260
310 AACMR79542
311 AAC3484136312 AAC3463865
313 AAC1504383
314 AACMR77176
315 AAC1504354
316 AAC1504353
317 AACMR77087
318 AACNR79733
319 AACMR76339320 AACMR83035321 AACMR76089
322 AAC0828184
323 AACMR77085
324 AACMR77148
325 AACMR71987
326 AAC3496161327 AAC3472303328 AAC3458660329 AAC1498831
330 AACMR81664
331 AACMR80768
332 AACMR82461
333 AACNR77630
334 AACNR80374335 AACNR61992336 AACMR76188
337 AAC3464484
338 AAC0823782
339 AACMR82209
340 AAC3493162
341 AACMR74174
342 AACNR81768
343 AACMR79708
344 AACMR81662
345 AACMR82978
346 AACMR79509
347 AACNR82995
348 AAC3501696349 AAC3476468
350 AACMR81717
351 AACMR82203
352 AACMR83040
353 AACMR83137
354 AAC0823518
355 AAC3484761356 AAC3437784357 AAC3459404358 AAC3483648
359 AACMR80268
360 AACMR76607361 AACNR77726
362 AACNR81779
363 AAC3455999
364 AACMR74729
365 AACMR79687
366 AAC0828152
367 AAC3467653368 AACMR79554369 AAC3455749370 AAC3464154371 AACMR75250372 AACMR77219
373 AAC0828150
374 AAC0823459
375 AAC1498809
376 AACMR82212
377 AACNR79717378 AACMR76023
379 AAC0828182
380 AACNR79677
381 AACNR81852
382 AAC3491786
383 AACMR79550
384 AAC1492865
385 AACNR73310
386 AAC3497094
387 AACMR75852
388 AAC3497522389 AACMR79681
390 AACMR77213
391 AACMR83123
392 AACMR83034
393 AACMR74732
394 AACMR76135395 AACNR77710396 AAC1498864397 AACMR75918398 AACMR77211399 AACMR77098400 AACMR76476
401 AAC3488763
402 AACMR76560
403 AACMR74429
404 AAC3455997405 AAC3514934
406 AACMR71988
407 AACMR76060
408 AACMR74811
409 AACMR71444
410 AAC0828097
411 AAC0828137
412 AACNR77957
413 AAC3479946
414 AAC3501798
415 AAC3452708416 AAC3476340
417 AAC0828094
418 AAC3472053419 AACMR76156420 AACMR75008
421 AACNR75271
422 AACMR74808423 AAC1511415
424 AACMR83052
425 AAC3451100
426 AACMR79872
427 AAC3459278428 AACNR78484
429 AAC0828156
430 AAC0823848
431 AACMR80309
432 AAC3476273
433 AACMR81716434 AACMR79590435 AACNR77824436 AACMR76071437 AACMR74815
438 AACMR74046
439 AAC3507078
440 AACNR83004
441 AAC3501863
442 AAC3500334
443 AAC3497261
444 AAC3506285
445 AAC3499716
446 AAC3500323
447 AAC3505192
448 AACMR77044
449 AAC3506827
450 AAC1508038
451 AAC3503038452 AAC3507204
453 AACMR83588
454 AAC1507781
455 AAC3471970
456 AACMR76839
457 AAC3463269
458 AAC3468592
459 AAC3442792
460 AAC3472214
461 AAC3500530
462 AAC3479754
463 AAC3483814
464 AAC1489861
465 AAC0824250
466 AAC3471989
467 AAC3463771468 AAC3485672
469 AAC3504578
470 AAC3497990
471 AAC3504515
472 AAC3474073
473 AAC3467331
474 AACNR78228
475 AAC1504203476 AAC1492777
477 AACNR83291
478 AACMR82727
479 AACNR77843
480 AAC3492776
481 AACNR78249
482 AAC1501576483 AAC3465802
484 AAC3467238
485 AAC3492846486 AAC3500593487 AAC3477082
488 AAC3500641
489 AACMR76597490 AAC1501587
491 AAC3467641
492 AAC3510619
493 AAC3472614
494 AAC3497050
495 AACNR77727
496 AAC3476559497 AAC3497303498 AAC3486192499 AAC3484906
500 AACNR77735
501 AAC3497757
502 AAC3471364
503 AAC3486354
504 AAC3476966505 AAC3476216
506 AAC3471676
507 AAC3463760
508 AAC3479887
509 AACMR82496
510 AAC3514553
511 AAC3467610
512 AACNR82996
513 AAC3503558
514 AAC1504945515 AAC3486337
516 AAC3476117
517 AAC3500631
518 AAC3500816
519 AAC1495863
520 AAC1507615521 AAC3476341
522 AAC3500645
523 AAC3492882
524 AACMR76598
525 AAC3496611526 AAC3500642
527 AAC3459484
528 AAC3486355
529 AAC3472502
530 AAC3488574
531 AAC3492969
532 AAC1507614
533 AAC3492884
534 AAC3472055
535 AAC3486758
536 AAC3493442
537 AACMR81177
538 AAC3500608
539 AAC3484410
540 AAC3471279
541 AAC3500814
542 AAC3471267
543 AAC3476833
544 AAC3463776545 AAC3506879546 AAC3496602
547 AAC3501573
548 AAC3504506
549 AAC3476564
550 AAC1504378
551 AAC3497079
552 AAC1492706
553 AAC3496844
554 AAC3500554
555 AAC3455090
556 AAC1500355
557 AAC3496380
558 AAC3486335
559 AAC3501704
560 AAC3493413
561 AAC1490861
562 AAC3472643
563 AAC3472046
564 AAC3500643565 AAC3500060
566 AACMR81955
567 AAC3462068
568 AAC1496027
569 AACMR76418
570 AAC3484085
571 AAC3486336
572 AAC3476088
573 AAC1507660
574 AAC3503308
575 AAC1496037
576 AACNR78002577 AAC3472499
578 AAC3504505
579 AACNR83017
580 AAC0824114
581 AAC3463157
582 AAC1496035
583 AACNR77546
584 AACNR78020
585 AAC3500538
586 AAC3478872
587 AAC3484913
588 AAC1493292
589 AAC1500359
590 AACMR82705
591 AAC3476590
592 AAC3503749
593 AAC3497949
594 AAC3463297
595 AAC3464055
596 AAC3442098
597 AAC1507885
598 AACNR78383
599 AACNR79944
600 AAC3451966
601 AAC3464121
602 AAC3501934
603 AAC3471368
604 AAC1496022
605 AAC1511471
606 AAC0823460
607 AAC0824145
608 AAC3480108
609 AAC3480152
610 AAC3497755
611 AAC1496021
612 AAC3452544613 AAC3500548614 AAC3473707
615 AAC1504370
616 AAC1504365
617 AAC3463521
618 AAC3496385
619 AAC1511441620 AACMR76631
621 AACNR83001
622 AAC3501832
623 AAC3497756
624 AAC1507427
625 AAC3484134
626 AAC3476267
627 AAC3493048
628 AAC3500058629 AAC3497545630 AAC3452717
631 AAC0823786
632 AAC1501087
633 AAC1498851
634 AAC3489268
635 AACNR81547
636 AAC3485265637 AAC3493218
638 AAC3501985
639 AAC3471296
640 AAC3489089
641 AAC3500056
642 AAC3480230
643 AAC3500549644 AAC3458885645 AACNR81756
646 AAC1493410
647 AAC3462145
648 AAC0828382
649 AAC3497707
650 AAC3484148
651 AAC3455292
652 AAC3501352
653 AACNR83403
654 AAC3492073
655 AAC3497244
656 AAC3492133
657 AAC3484959
658 AAC1504015
659 AAC0823502
660 AAC3458806
661 AAC3491992
662 AAC3451587663 AAC3484759664 AAC3486176
665 AAC0823863
666 AAC3453474
667 AAC3486740
668 AAC3446140
669 AAC3492954670 AAC3496877671 AAC3464481672 AACNR78070
673 AAC3510900
674 AACMR76648675 AAC3497279
676 AAC3496737
677 AAC3500102
678 AAC1493295
679 AAC3453492
680 AACMR81162
681 AAC3458659682 AAC3463155
683 AAC0823461
684 AAC1496030
685 AACMR81957
686 AAC3472611
687 AAC3484102
688 AAC3471856689 AACNR78210
690 AACMR76634
691 AAC0823851
692 AAC0823452
693 AAC3500118
694 AAC3492003
695 AACNR75275696 AAC3468074697 AAC3496003
698 AAC3514977
699 AACMR75939
700 AAC1504425
701 AACMR82778
702 AAC3452547
703 AAC3493166
704 AAC3472500
705 AAC1504375
706 AACNR78356
707 AAC3491955
708 AACMR80231
709 AAC3497465
710 AAC3476638
711 AAC3496413712 AAC1504794
713 AAC3492004
714 AAC3480109
715 AAC3472313
716 AAC3480588
717 AACMR82200
718 AAC3472648
719 AAC3493409
720 AAC1507696
721 AAC3455980722 AAC3506880
723 AAC0823850
724 AAC3430438725 AAC3459392
726 AAC3496888
727 AAC3484063728 AAC3493054729 AAC3485570
730 AAC0823805
731 AAC0823791
732 AACNR78033
733 AAC3500385734 AAC3492545735 AAC3479727
736 AAC0823785
737 AACMR76401
738 AACMR76129
739 AAC3484880
740 AAC3486703
741 AAC3502262
742 AAC3493297743 AAC3494055744 AAC3485332745 AAC3441913
746 AACNR78438
747 AAC3459393
748 AACNR78074
749 AAC3492964750 AAC3467658751 AAC3501808752 AAC1498818
753 AAC3500614
754 AAC3472438
755 AAC3485434
756 AAC3464039
757 AAC3500064
758 AACMR76372
759 AAC3496769
760 AAC3497524
761 AAC3476554
762 AAC3481309
763 AACMR75848764 AAC1507602
765 AAC3515179
766 AAC3479782
767 AAC3458860768 AACNR78251
769 AAC3496963
770 AAC3476814
771 AAC3463551
772 AAC3471269
773 AAC3500027
774 AAC3477114
775 AACMR83355
776 AAC3501731
777 AAC3486256
778 AACNR82289
779 AAC3452614
780 AAC3497292
781 AAC3504507
782 AAC1504381
783 AAC3459277
784 AACNR79950
785 AAC3501177
786 AAC3455155
787 AAC3459034
788 AAC3471444
789 AACMR76782
790 AAC3475796
791 AAC3462431
792 AAC3471146
793 AAC3486326
794 AAC1511452
795 AACNR83405
796 AAC3506808
797 AAC3510915
798 AAC1509905
799 AAC3506828
800 AAC1508718
801 AAC3510635
802 AAC3506811
803 AAC3507075
804 AAC3510560
805 AAC3506825
806 AAC1508783
807 AACMR76907
808 AAC1507256
809 AAC3494437
810 AAC1506509
811 AAC3491188
812 AAC3506515
813 AAC1509512814 AAC1509376815 AAC1510504
816 AAC3500994
817 AAC3500518
818 AAC3486330
819 AAC3484675
820 AAC3479654
821 AAC3503208
822 AACNR79954
823 AACNR82989
824 AAC3464616
825 AAC3503856
826 AACNR79946
827 AAC3483810
828 AAC3500506
829 AAC3467324
830 AAC3491925831 AAC3492845
832 AAC3501193
833 AACNR80379
834 AAC3497065
835 AAC1502285836 AAC1502841
837 AAC3483805
838 AAC1503522839 AAC3502136
840 AAC3484097
841 AAC3463429
842 AACMR81149
843 AAC3459475
844 AAC3458669
845 AAC3477047
846 AAC3503702
847 AAC3467643
848 AAC3475876
849 AACNR78323
850 AAC3472193
851 AAC1501585
852 AAC3484891
853 AAC3500483
854 AAC3459189
855 AAC3501127
856 AAC1491751
857 AAC3514554
858 AAC3471276
859 AAC3475799
860 AAC3500880
861 AAC3493467
862 AAC3501171
863 AAC3483790
864 AAC1492296
865 AAC1500341
866 AAC3506867
867 AAC3501732
868 AAC3486619
869 AAC3493433
870 AAC1500363
871 AAC3477076872 AAC3447465
873 AAC3492336
874 AAC1504804
875 AAC3459180
876 AAC1496019
877 AAC3477098
878 AAC3500981
879 AAC1507882
880 AAC3496147
881 AAC3497942
882 AAC1506914
883 AAC3500499
884 AAC3497046
885 AAC1500338
886 AAC3476240887 AAC1492716
888 AAC1494243
889 AAC3464140
890 AAC3484166
891 AAC1503460
892 AAC3501275
893 AACMR76882
894 AAC3486167
895 AAC3496763
896 AAC3484652
897 AAC1500344
898 AAC3497728
899 AAC3480089
900 AAC3496158
901 AAC3483084
902 AAC3488571
903 AAC3515180
904 AAC3496738
905 AAC0824129
906 AAC3497751
907 AAC3478781
908 AAC1493324
909 AAC3484091
910 AAC3503326
911 AAC3492342
912 AAC3501935
913 AAC1504575
914 AAC3500615
915 AAC3464085
916 AAC3485263
917 AAC3492855
918 AAC0824139
919 AAC0824106
920 AAC3486247
921 AAC1506782
922 AAC3500542
923 AAC3492847
924 AAC3477025925 AAC3465953926 AAC3481560
927 AAC3459224
928 AAC3472124
929 AAC3492836
930 AAC1505901
931 AAC3514946
932 AAC1501556
933 AAC3492316
934 AAC3496008
935 AAC3497761
936 AAC3464112
937 AAC3455104
938 AAC3492487
939 AAC1507819
940 AAC1487662
941 AAC3492008
942 AAC3452663
943 AAC1497776
944 AAC3444904
945 AAC3483382
946 AAC3483398
947 AAC3483395
948 AAC3463096
949 AAC3471432
950 AAC1489703
951 AAC3500590
952 AAC3500190
953 AAC3492005
954 AAC3497269
955 AAC3455975
956 AACMR81918
957 AACMR82688
958 AAC3493530
959 AAC3472133
960 AAC3480895961 AACNR78011962 AACMR76035
963 AAC3492757
964 AAC3496704
965 AAC3479560
966 AAC3464494967 AAC3500304
968 AAC3500121
969 AAC1504356
970 AACNR80241
971 AAC3471354
972 AAC1493797
973 AAC3496597
974 AAC3455346975 AAC3472300
976 AAC1496143
977 AAC1507312
978 AAC1504863
979 AAC3497334
980 AAC3514980
981 AAC3479650
982 AAC3504713
983 AAC0823860
984 AAC1500102
985 AAC3437778
986 AACNR77792
987 AAC3451098
988 AAC3501799
989 AAC1493282
990 AAC1504352
991 AAC1502719
992 AACMR76335
993 AAC3484106
994 AAC1496141
995 AAC3514939
996 AAC3466139
997 AAC3452345
998 AAC3515178
999 AAC3472677
1000 AAC3481310
1001 AAC3493539
1002 AAC3475883
1003 AAC3452260
1004 AAC3464061
1005 AAC1503310
1006 AAC3477013
1007 AACMR81833
1008 AAC3462767
1009 AACNR80361
1010 AAC3488239
1011 AAC3491997
1012 AAC1506125
1013 AACMR81946
1014 AAC3455345
1015 AAC3503890
1016 AAC3471114
1017 AAC1502159
1018 AAC3459546
1019 AAC3479783
1020 AAC3484150
1021 AAC3483258
1022 AAC1506140
1023 AACMR75973
1024 AAC1494906
1025 AAC3500877
1026 AAC3484882
1027 AAC3463154
1028 AAC3497518
1029 AACMR81244
1030 AAC14885221031 AAC1491728
1032 AAC3466218
1033 AAC3497454
1034 AAC3475881
1035 AAC3484864
1036 AAC1499451
1037 AAC3493262
1038 AACMR76834
1039 AACNR75276
1040 AAC3486232
1041 AAC3445899
1042 AAC3492006
1043 AAC3459395
1044 AAC3476416
1045 AAC3464072
1046 AAC3459398
1047 AAC34724411048 AAC3497296
1049 AAC3500577
1050 AAC3480098
1051 AACMR71184
1052 AAC1500329
1053 AACMR76822
1054 AAC3493417
1055 AAC34767411056 AACNR81776
1057 AACMR82211
1058 AAC1502782
1059 AAC0823855
1060 AAC3485010
1061 AAC3482632
1062 AAC3437879
1063 AACMR76724
1064 AACMR76616
1065 AAC3462578
1066 AACNR75290
1067 AAC3492001
1068 AAC3492324
1069 AAC3479971
1070 AACNR78025
1071 AAC3506876
1072 AACMR82204
1073 AACMR76824
1074 AAC3472504
1075 AAC3472733
1076 AACNR81786
1077 AAC3480178
1078 AAC3491999
1079 AAC3504629
1080 AAC3503894
1081 AAC3506859
1082 AAC1504759
1083 AAC3500371
1084 AAC0823783
1085 AAC3515184
1086 AAC3500391
1087 AAC3484411
1088 AAC3484071
1089 AAC3463526
1090 AACNR61993
1091 AAC3458606
1092 AACMR74458
1093 AAC3497266
1094 AACMR80282
1095 AACNR78056
1096 AACNR75065
1097 AAC3483634
1098 AAC3483207
1099 AACMR80317
1100 AAC15048921101 AAC34852791102 AACNR78111
1103 AAC1488165
1104 AAC1498820
1105 AAC3459460
1106 AAC1504867
1107 AACMR76585
1108 AACNR78078
1109 AACMR80293
1110 AAC1497223
1111 AAC3496400
1112 AAC3459097
1113 AAC3480723
1114 AAC3483641
1115 AAC1498868
1116 AAC3500504
1117 AAC1507740
1118 AAC3486310
1119 AAC3476539
1120 AACMR75900
1121 AAC0823450
1122 AACMR76786
1123 AAC3492948
1124 AAC3445676
1125 AAC3500289
1126 AAC34641051127 AAC3463801
1128 AACMR75831
1129 AAC3504711
1130 AAC3500510
1131 AAC1504899
1132 AAC1498853
1133 AAC3471514
1134 AAC3471150
1135 AAC3493449
1136 AAC3467252
1137 AAC3485495
1138 AAC3497933
1139 AACMR767591140 AAC34762521141 AAC3459463
1142 AAC3515182
1143 AACNR80385
1144 AAC3459260
1145 AAC34837771146 AAC3479655
1147 AAC3497063
1148 AAC3471809
1149 AAC3458661
1150 AAC3458608
1151 AAC3500507
1152 AAC3507030
1153 AAC3502856
1154 AAC1510228
1155 AAC1509522
1156 AAC1509321
1157 AAC1503878
1158 AAC1508888
1159 AAC1508758
1160 AAC3502313
1161 AAC35049001162 AAC1508101
1163 AAC1509595
1164 AAC1509789
1165 AAC3510634
1166 AAC1509951
1167 AAC1506995
1168 AAC35065121169 AACMR76227
1170 AAC1508023
1171 AAC1508034
1172 AAC3510636
1173 AAC1509464
1174 AAC1509211
1175 AAC15104651176 AAC1509268
1177 AAC3493832
1178 AAC35106301179 AAC1509481
1180 AAC1508220
1181 AAC3503815
1182 AAC3495185
1183 AAC1510143
1184 AAC1508166
1185 AAC1508852
1186 AAC1508596
1187 AAC1510445
1188 AAC1509186
1189 AACMR835581190 AAC1506523
1191 AAC1509505
1192 AAC15095591193 AAC34946491194 AAC1509651
1195 AAC1510628
1196 AAC3498566
1197 AAC3498597
1198 AAC1506681
1199 AAC1510304
1200 AAC15057881201 AAC3502585
1202 AAC1509396
1203 AAC3499997
1204 AAC1509937
1205 AAC1509511
1206 AAC1510374
1207 AACMR76223
1208 AAC1505781
1209 AAC3502514
1210 AAC3471159
1211 AAC3458085
1212 AAC1492119
1213 AAC3486283
1214 AAC1491865
1215 AAC3460772
1216 AAC15031981217 AAC14951191218 AAC1505586
1219 AAC1496809
1220 AAC1506482
1221 AAC3483278
1222 AAC1495338
1223 AAC1506376
1224 AAC14986181225 AAC14981451226 AAC34842041227 AAC34988961228 AAC3486910
1229 AACNR79955
1230 AAC14950751231 AAC3444315
1232 AAC1497427
1233 AAC1503864
1234 AAC3449340
1235 AAC1507437
1236 AAC3459495
1237 AAC3491995
1238 AACMR79749
1239 AAC15064841240 AAC3501693
1241 AAC1493667
1242 AAC3483030
1243 AAC3477444
1244 AAC1506705
1245 AAC3503883
1246 AAC3503754
1247 AAC1497064
1248 AAC1506002
1249 AAC0828044
1250 AAC3479189
1251 AAC34896791252 AAC3460454
1253 AACNR83274
1254 AACMR82739
1255 AAC1501255
1256 AAC3480077
1257 AAC1506697
1258 AAC1506052
1259 AAC3504514
1260 AAC1506306
1261 AAC3477963
1262 AAC3451613
1263 AAC1502881
1264 AAC3474897
1265 AAC1493656
1266 AAC1499984
1267 AAC34533161268 AAC1500301
1269 AAC1499848
1270 AAC1506744
1271 AAC3480228
1272 AAC3477889
1273 AAC1494247
1274 AAC1494497
1275 AAC1489717
1276 AAC3500604
1277 AAC1505656
1278 AAC3487421
1279 AAC1496334
1280 AAC1493879
1281 AAC3475804
1282 AAC1506779
1283 AAC3491287
1284 AAC3449365
1285 AAC3472437
1286 AAC3500618
1287 AAC3484157
1288 AAC15026331289 AAC15068881290 AAC34674731291 AAC1501296
1292 AAC3449333
1293 AAC3479895
1294 AAC1498533
1295 AAC1506781
1296 AAC3497940
1297 AAC3499557
1298 AAC3461737
1299 AAC1503396
1300 AAC3503634
1301 AAC1491912
1302 AAC3494821
1303 AACMR76462
1304 AAC1491809
1305 AAC3472635
1306 AAC3478035
1307 AAC3483074
1308 AAC1501291
1309 AAC1492863
1310 AAC15067361311 AAC14952641312 AAC1506885
1313 AAC3480341
1314 AAC14957441315 AAC34780361316 AAC3469445
1317 AAC3479646
1318 AAC3497438
1319 AAC3454332
1320 AAC3481020
1321 AAC3491626
1322 AACNR83010
1323 AAC1502032
1324 AAC1493945
1325 AAC3449675
1326 AAC3492556
1327 AAC3501932
1328 AAC3444360
1329 AAC3458657
1330 AAC1502746
1331 AAC14957231332 AAC14999361333 AAC3474462
1334 AAC3503503
1335 AAC1498359
1336 AAC3496101
1337 AAC1496344
1338 AAC3430469
1339 AAC3500149
1340 AAC1507838
1341 AAC1497347
1342 AAC1497467
1343 AACNR78225
1344 AAC1496526
1345 AACMR76296
1346 AAC1503103
1347 AAC1506163
1348 AAC14907311349 AAC3514982
1350 AACMR81206
1351 AAC3465381
1352 AAC3514956
1353 AAC1498795
1354 AAC1500665
1355 AAC3492007
1356 AAC34822271357 AAC1500606
1358 AAC3500279
1359 AAC3485908
1360 AAC1494224
1361 AAC3493330
1362 AAC3504712
1363 AAC1502065
1364 AAC1494694
1365 AAC1496028
1366 AACMR76022
1367 AAC1490761
1368 AAC3501507
1369 AAC1502780
1370 AAC3492413
1371 AAC14892921372 AAC3476253
1373 AAC1497177
1374 AAC1502751
1375 AAC3499054
1376 AAC1502382
1377 AAC1493672
1378 AAC3487868
1379 AAC3471325
1380 AAC3496778
1381 AAC1502299
1382 AAC1502755
1383 AAC1494700
1384 AAC3492952
1385 AAC1506144
1386 AAC3454446
1387 AAC1506139
1388 AAC1500238
1389 AAC3503705
1390 AAC3444946
1391 AAC3510899
1392 AAC3479446
1393 AAC3430447
1394 AACMR76647
1395 AAC1505976
1396 AAC3514973
1397 AAC3497451
1398 AAC3465344
1399 AAC3502147
1400 AAC3503860
1401 AAC3506870
1402 AAC1495191
1403 AAC15059251404 AAC35003731405 AAC15002251406 AAC3474540
1407 AAC3464110
1408 AAC3459399
1409 AAC1502692
1410 AAC3503216
1411 AAC3477010
1412 AAC3506861
1413 AAC3476257
1414 AAC3483205
1415 AAC3494806
1416 AAC1502752
1417 AAC1505746
1418 AACMR82552
1419 AAC1494341
1420 AAC3500372
1421 AAC3476823
1422 AACMR76288
1423 AAC3480083
1424 AAC3477077
1425 AAC3503522
1426 AAC34765991427 AACNR78061
1428 AAC3492000
1429 AAC3465588
1430 AAC3449188
1431 AAC3477423
1432 AAC1489718
1433 AAC3500174
1434 AAC3493372
1435 AACNR82441
1436 AAC3483924
1437 AAC1491884
1438 AACMR76373
1439 AACMR76760
1440 AAC3493378
1441 AAC3485198
1442 AAC34907921443 AAC1500257
1444 AAC3491990
1445 AAC3451744
1446 AAC15029051447 AAC3493458
1448 AACMR76651
1449 AAC3503718
1450 AAC1503530
1451 AAC3515183
1452 AAC3500069
1453 AAC1507432
1454 AACNR75312
1455 AAC1506758
1456 AAC1489725
1457 AAC0824240
1458 AAC1503403
1459 AAC3476475
1460 AAC3472107
1461 AACMR80301
1462 AAC1506765
1463 AAC3444951
1464 AAC1500254
1465 AAC3501175
1466 AAC1494399
1467 AAC3503647
1468 AAC3493221
1469 AAC3494933
1470 AAC1494300
1471 AACMR80295
1472 AAC3458601
1473 AAC35004781474 AAC1502263
1475 AAC1501563
1476 AAC3497936
1477 AAC3501802
1478 AAC3500285
1479 AAC3484691
1480 AAC1490573
1481 AACMR76830
1482 AACMR80307
1483 AAC3515185
1484 AAC3484066
1485 AAC3500526
1486 AAC3459181
1487 AAC3479653
1488 AAC3471142
1489 AACMR80321
1490 AAC34765851491 AAC3453047
1492 AACNR78402
1493 AAC3471143
1494 AAC1505478
1495 AAC3501522
1496 AAC1500331
1497 AAC1507436
1498 AAC3503678
1499 AAC1511398
1500 AAC3501602
1501 AAC3487931
1502 AACNR78194
1503 AAC0823462
1504 AACMR83556
1505 AAC3488530
1506 AACMR76903
1507 AAC1491863
1508 AAC3478525
1509 AAC1494411
1510 AAC3463830
1511 AACMR76900
1512 AAC3478530
1513 AAC3458945
1514 AAC3510539
1515 AAC3503288
1516 AAC1506428
1517 AAC1509439
1518 AAC3474593
1519 AAC1506438
1520 AAC3503298
1521 AAC1509935
1522 AAC1509360
1523 AAC3503630
1524 AAC1508179
1525 AAC3507869
1526 AAC1508143
1527 AAC3505152
1528 AAC1508371
1529 AAC1509137
1530 AAC3502314
1531 AAC3495236
1532 AAC35077621533 AAC15090981534 AAC3494682
1535 AAC1509085
1536 AAC3502674
1537 AAC1508697
1538 AAC1509730
1539 AAC3508191
1540 AAC3505667
1541 AAC1508362
1542 AAC3503000
1543 AAC3503585
1544 AAC1507037
1545 AAC3505471
1546 AAC3499820
1547 AAC3507186
1548 AAC1509154
1549 AAC1509765
1550 AAC15091671551 AAC3504457
1552 AAC3507662
1553 AAC1506310
1554 AAC3495832
1555 AAC3508956
1556 AAC1505105
1557 AAC15086221558 AAC3499875
1559 AAC1509180
1560 AAC3509356
1561 AAC3507768
1562 AAC1508031
1563 AAC1508185
1564 AAC34985311565 AAC3506020
1566 AAC3498571
1567 AAC35025871568 AAC3505382
1569 AAC3503265
1570 AAC1510470
1571 AAC1509593
1572 AAC3503281
1573 AAC3495318
1574 AAC1509426
1575 AAC3487360
1576 AAC1503005
1577 AAC1502589
1578 AAC1491855
1579 AAC3478352
1580 AAC1506303
1581 AAC1497294
1582 AAC3457132
1583 AAC1498610
1584 AAC3498904
1585 AAC1491843
1586 AAC1506729
1587 AAC3487870
1588 AAC1500291
1589 AAC34532901590 AAC1499738
1591 AAC3499906
1592 AAC3498122
1593 AAC1494417
1594 AAC34533131595 AAC15004371596 AAC3474517
1597 AAC3469473
1598 AAC3451732
1599 AAC1505061
1600 AAC3492896
1601 AACMR76855
1602 AAC3469777
1603 AAC3464839
1604 AAC1494898
1605 AAC1495425
1606 AAC1499847
1607 AAC3487280
1608 AAC1495210
1609 AAC3473903
1610 AAC1499820
1611 AAC3494672
1612 AAC1505542
1613 AAC1498611
1614 AAC3499018
1615 AAC1494384
1616 AAC1506188
1617 AAC1499530
1618 AAC1500548
1619 AAC34873401620 AAC14907361621 AAC14945261622 AAC3498796
1623 AAC1501968
1624 AAC15035781625 AAC3475129
1626 AAC1492319
1627 AAC3477834
1628 AAC3496088
1629 AAC3449149
1630 AAC1495149
1631 AAC1506702
1632 AAC3498182
1633 AAC1506031
1634 AAC3487876
1635 AAC1506051
1636 AAC1506725
1637 AAC3478018
1638 AACMR61739
1639 AAC1501953
1640 AAC3484064
1641 AAC3457461
1642 AAC34989881643 AAC34738411644 AAC14911461645 AAC1507160
1646 AAC3474606
1647 AAC1495146
1648 AAC14944981649 AAC3481558
1650 AAC1506272
1651 AAC1499788
1652 AACMR76874
1653 AAC3460845
1654 AAC1500173
1655 AAC1500965
1656 AAC1503402
1657 AAC1499550
1658 AAC3487947
1659 AAC1501993
1660 AAC1496395
1661 AAC3456832
1662 AAC1501005
1663 AAC1488893
1664 AAC1500170
1665 AAC1494249
1666 AAC1496936
1667 AAC3474621
1668 AAC1498626
1669 AAC1495442
1670 AAC3439724
1671 AAC1502824
1672 AAC1502626
1673 AAC3475083
1674 AAC1499487
1675 AAC1501114
1676 AAC3486863
1677 AAC1495040
1678 AAC1492317
1679 AAC3482791
1680 AAC3467856
1681 AAC1498746
1682 AAC3497328
1683 AAC3449953
1684 AAC3497760
1685 AAC1498617
1686 AAC3497665
1687 AAC1489260
1688 AAC1494331
1689 AAC1499661
1690 AAC3495809
1691 AAC1499914
1692 AAC1491023
1693 AAC3487187
1694 AAC3467467
1695 AAC34883471696 AAC1492313
1697 AACNR82987
1698 AAC1501856
1699 AAC1492064
1700 AAC3469776
1701 AAC1493788
1702 AAC1505076
1703 AAC3486268
1704 AACNR79928
1705 AAC3466128
1706 AAC3503535
1707 AAC1497267
1708 AAC1500794
1709 AAC1494385
1710 AAC1493793
1711 AAC14985491712 AAC3469543
1713 AAC1500519
1714 AAC1490796
1715 AAC1489744
1716 AAC3459233
1717 AAC15000921718 AAC3494456
1719 AAC1492580
1720 AAC3487904
1721 AAC3468694
1722 AAC1505554
1723 AAC1489418
1724 AAC1494520
1725 AAC1491392
1726 AAC1498099
1727 AAC3450232
1728 AAC1502695
1729 AAC1506184
1730 AAC3439982
1731 AAC1503014
1732 AAC1508383
1733 AAC1498793
1734 AAC15003131735 AAC3469155
1736 AAC1506268
1737 AAC1502284
1738 AAC3492782
1739 AAC15063111740 AAC3489945
1741 AAC3493720
1742 AAC3456743
1743 AAC3477443
1744 AAC3459036
1745 AAC1493668
1746 AAC15071451747 AAC1510264
1748 AAC1490915
1749 AAC3449356
1750 AAC1510085
1751 AAC1493586
1752 AAC1491833
1753 AAC34535401754 AAC1494388
1755 AAC1500604
1756 AAC1503160
1757 AAC3465960
1758 AAC3471123
1759 AAC1492411
1760 AAC1491858
1761 AAC34692821762 AAC34747041763 AAC3465981
1764 AAC3453522
1765 AAC3473734
1766 AAC3465955
1767 AAC1500118
1768 AAC14987791769 AAC1502126
1770 AAC1510043
1771 AAC1494367
1772 AAC1503345
1773 AAC3450437
1774 AAC3493355
1775 AAC1506321
1776 AAC3453727
1777 AAC3453472
1778 AAC1503365
1779 AAC3478560
1780 AACMR762701781 AAC3499360
1782 AAC3465366
1783 AAC3465324
1784 AAC1505434
1785 AAC1506153
1786 AAC1492392
1787 AAC1491908
1788 AAC3492002
1789 AAC1502710
1790 AAC1497596
1791 AAC1491136
1792 AAC3481974
1793 AAC3515154
1794 AACNR78322
1795 AAC3473515
1796 AAC1506189
1797 AAC1497059
1798 AAC3444362
1799 AAC3496565
1800 AAC3473838
1801 AAC3481618
1802 AACNR83311
1803 AAC1499886
1804 AAC1495414
1805 AAC3458051
1806 AAC3498177
1807 AAC34504671808 AAC3493604
1809 AAC1508095
1810 AAC1498242
1811 AAC1497281
1812 AAC1495416
1813 AAC3485943
1814 AAC3496276
1815 AAC3499438
1816 AAC1497810
1817 AAC3454663
1818 AAC3482182
1819 AAC3474895
1820 AAC1501921
1821 AACMR76370
1822 AAC15026071823 AAC1506767
1824 AAC1491962
1825 AAC1493911
1826 AAC1502610
1827 AAC3499439
1828 AAC3500297
1829 AAC14981591830 AAC34987531831 AAC15033361832 AAC15023471833 AAC3473873
1834 AAC1506649
1835 AAC1493878
1836 AAC1503380
1837 AAC3455158
1838 AAC3449341
1839 AAC3491330
1840 AAC1491018
1841 AAC3486939
1842 AAC3489397
1843 AAC1491885
1844 AAC1493783
1845 AAC3479808
1846 AAC3454702
1847 AAC3498871
1848 AAC3497629
1849 AAC1498176
1850 AAC3479195
1851 AAC1499641
1852 AAC34879801853 AAC1503658
1854 AAC1490734
1855 AAC1488785
1856 AAC1492129
1857 AAC3477913
1858 AAC1492126
1859 AAC3503774
1860 AAC3508635
1861 AAC3507661
1862 AAC3495221
1863 AAC3504108
1864 AAC1510674
1865 AAC35076511866 AAC3508872
1867 AAC1509584
1868 AAC3498606
1869 AAC1509950
1870 AAC3499321
1871 AAC35076661872 AAC3508026
1873 AAC3504459
1874 AAC3509324
1875 AAC35079601876 AAC35080071877 AAC1509271
1878 AAC3505610
1879 AAC3502591
1880 AAC3505609
1881 AAC3507658
1882 AAC3498402
1883 AAC35056571884 AAC3499840
1885 AAC3498704
1886 AAC3508201
1887 AAC3505283
1888 AAC1509252
1889 AAC3508744
1890 AAC1507288
1891 AAC3507655
1892 AAC1505784
1893 AAC1510618
1894 AAC3495779
1895 AAC1510646
1896 AAC3498565
1897 AAC1508161
1898 AAC1508129
1899 AAC3498585
1900 AAC3505389
1901 AAC1508104
1902 AAC3508419
1903 AAC3507677
1904 AAC1510944
1905 AAC3508547
1906 AAC3498598
1907 AAC3504141
1908 AAC3503291
1909 AAC3504493
1910 AAC1496795
1911 AAC3450460
1912 AAC3461644
1913 AAC3453030
1914 AAC1491788
1915 AAC3495364
1916 AAC1506701
1917 AAC3479236
1918 AAC3482055
1919 AAC3461101
1920 AAC1503750
1921 AAC1505262
1922 AAC3494643
1923 AAC3474398
1924 AAC3493976
1925 AAC3499093
1926 AAC3443957
1927 AAC1499885
1928 AAC1493940
1929 AAC3478976
1930 AAC3465149
1931 AAC1488885
1932 AACNR61938
1933 AAC1497255
1934 AAC1489698
1935 AAC1502758
1936 AAC1501978
1937 AAC3495343
1938 AAC3489657
1939 AAC3461679
1940 AAC1495499
1941 AAC1494804
1942 AAC1506908
1943 AAC3495750
1944 AAC3460762
1945 AAC1498232
1946 AAC3472756
1947 AAC1505264
1948 AAC3481140
1949 AAC1506406
1950 AAC3474698
1951 AAC3494590
1952 AAC1492170
1953 AAC3488374
1954 AAC1507481
1955 AAC3479128
1956 AAC3488229
1957 AAC3448976
1958 AAC3478000
1959 AAC1490579
1960 AAC1493655
1961 AAC3494092
1962 AAC3479256
1963 AAC1505514
1964 AAC1490941
1965 AAC1502825
1966 AAC3454058
1967 AAC3482482
1968 AAC3490688
1969 AAC3469115
1970 AAC1510258
1971 AAC3487248
1972 AAC3498851
1973 AAC1505953
1974 AAC3487429
1975 AAC3494217
1976 AAC3493992
1977 AAC3479066
1978 AAC3473716
1979 AAC3482052
1980 AAC3469024
1981 AAC3494178
1982 AAC34754571983 AAC3461872
1984 AAC1503177
1985 AAC3480925
1986 AAC3507321
1987 AAC3473415
1988 AAC3490837
1989 AAC1505974
1990 AAC1502085
1991 AAC1500509
1992 AAC34947271993 AAC3469540
1994 AAC3493975
1995 AAC34523621996 AAC3482185
1997 AAC3478366
1998 AAC3474817
1999 AAC3498313
2000 AAC3461433
2001 AAC1503097
2002 AAC34872012003 AAC3494161
2004 AAC3474108
2005 AAC3481198
2006 AAC3460364
2007 AAC3478552
2008 AAC1495731
2009 AAC3449848
2010 AAC3459774
2011 AAC34683842012 AAC3461295
2013 AAC3498829
2014 AAC3499023
2015 AAC1496034
2016 AAC3499415
2017 AAC1499732
2018 AAC3481988
2019 AAC1502217
2020 AAC3445415
2021 AAC3466602
2022 AAC3461230
2023 AAC3454719
2024 AAC1495258
2025 AAC1503439
2026 AAC3466978
2027 AAC1492692
2028 AAC3499084
2029 AAC1505902
2030 AAC15033352031 AAC1493769
2032 AAC1495192
2033 AAC3453732
2034 AAC3469746
2035 AAC3440278
2036 AAC3488461
2037 AAC1506284
2038 AAC3440329
2039 AACNR77971
2040 AAC3461249
2041 AAC1505923
2042 AAC3473984
2043 AAC1495561
2044 AAC1498415
2045 AAC3473218
2046 AAC3477703
2047 AAC1505091
2048 AAC3465726
2049 AAC34905012050 AACNR77961
2051 AAC3488431
2052 AAC3477938
2053 AAC34878572054 AAC3453228
2055 AAC3450622
2056 AAC34731032057 AAC14996892058 AAC1505049
2059 AAC1500400
2060 AAC1503392
2061 AAC3458011
2062 AAC34562292063 AAC3482385
2064 AAC3474487
2065 AAC34504962066 AAC3493816
2067 AAC3481983
2068 AAC1492097
2069 AAC3469218
2070 AAC3451717
2071 AAC3466085
2072 AAC1503159
2073 AAC3481980
2074 AAC1495361
2075 AAC3475341
2076 AAC3488240
2077 AAC3466872
2078 AAC1502693
2079 AAC34736812080 AAC3487760
2081 AAC1496892
2082 AAC3495351
2083 AAC3494571
2084 AAC1503700
2085 AAC1500515
2086 AAC3454967
2087 AAC1494016
2088 AAC34731062089 AAC34875592090 AAC34777182091 AAC3469496
2092 AAC1493986
2093 AAC3489419
2094 AAC3454813
2095 AAC3486947
2096 AAC3482628
2097 AAC3466591
2098 AAC3474686
2099 AAC1503702
2100 AAC3450797
2101 AAC3487093
2102 AAC3506467
2103 AAC1508945
2104 AAC3468381
2105 AAC3490676
2106 AAC3498499
2107 AAC1497506
2108 AAC1498321
2109 AAC1510063
2110 AAC3461868
2111 AAC1506314
2112 AAC35064572113 AAC34779112114 AAC3482842
2115 AAC1506742
2116 AAC3469666
2117 AAC1505564
2118 AAC3478941
2119 AAC3474631
2120 AAC3487879
2121 AAC34818692122 AAC3454883
2123 AAC3482197
2124 AAC34530062125 AAC3481327
2126 AAC1493580
2127 AAC3461418
2128 AAC3461319
2129 AAC3481043
2130 AAC1506171
2131 AAC3465686
2132 AAC1500520
2133 AAC1490730
2134 AAC3465342
2135 AAC3456171
2136 AAC3457994
2137 AAC3473558
2138 AAC3473215
2139 AAC1497852
2140 AAC1498603
2141 AAC3477027
2142 AAC3477955
2143 AAC1501275
2144 AAC3502528
2145 AAC1494605
2146 AAC3469165
2147 AAC3477385
2148 AAC3475275
2149 AAC34945602150 AAC3473592
2151 AAC1499787
2152 AAC14943632153 AAC3499020
2154 AAC1505675
2155 AAC3495700
2156 AAC3487707
2157 AAC3473938
2158 AAC3494544
2159 AAC3487083
2160 AAC1495385
2161 AAC3466985
2162 AAC3494095
2163 AAC1505476
2164 AAC3474673
2165 AAC1492429
2166 AAC3474039
2167 AAC1497306
2168 AAC3488428
2169 AAC3488375
2170 AAC1505569
2171 AAC1498125
2172 AAC3488472
2173 AAC1502292
2174 AAC3477442
2175 AAC1505707
2176 AAC3474791
2177 AAC3466118
2178 AAC1503214
2179 AAC1498755
2180 AAC1502705
2181 AAC3487864
2182 AAC1506959
2183 AAC3461877
2184 AAC3456423
2185 AAC1503659
2186 AAC1496593
2187 AAC1492796
2188 AAC3482023
2189 AAC1499830
2190 AAC3445166
2191 AAC3506332
2192 AAC34664482193 AAC1500178
2194 AAC1503013
2195 AAC1499664
2196 AAC3499457
2197 AAC1499497
2198 AAC1503641
2199 AAC1506342
2200 AAC3509407
2201 AAC1499781
2202 AAC34906892203 AAC34958682204 AAC3477736
2205 AAC3450095
2206 AAC3507276
2207 AAC3495598
2208 AAC1496899
2209 AAC3474024
2210 AAC3504322
2211 AAC3445182
2212 AAC1497173
2213 AAC1502735
2214 AAC3498050
2215 AAC3458105
2216 AAC1493784
2217 AAC1495187
2218 AAC3507609
2219 AAC3505340
2220 AAC3505866
2221 AAC3507721
2222 AAC3508596
2223 AAC3505178
2224 AAC35044262225 AAC3507182
2226 AAC1509110
2227 AAC3509103
2228 AAC3508165
2229 AAC3499329
2230 AAC3503421
2231 AAC3507422
2232 AAC35032452233 AACNR77924
2234 AAC3498315
2235 AAC3493745
2236 AAC3507620
2237 AAC3499653
2238 AAC3507674
2239 AAC3508032
2240 AAC3503035
2241 AAC3499864
2242 AAC3498563
2243 AAC1508131
2244 AAC3505697
2245 AAC3498593
2246 AAC3507907
2247 AAC3507922
2248 AAC3507628
2249 AAC3498726
2250 AAC3508609
2251 AAC3508138
2252 AAC3505347
2253 AAC15097242254 AAC3502992
2255 AAC3495041
2256 AAC34992612257 AAC34984952258 AAC3508117
2259 AAC3495134
2260 AAC35078722261 AAC35071362262 AAC3499122
2263 AAC3505013
2264 AAC3490825
2265 AAC1508157
2266 AAC3508340
2267 AAC3504356
2268 AAC3495195
2269 AAC3502413
2270 AAC3505096
2271 AAC3503172
2272 AAC3458459
2273 AAC3456789
2274 AAC3491427
2275 AAC3468936
2276 AAC3489629
2277 AAC3457747
2278 AAC3459873
2279 AAC3494991
2280 AAC3458259
2281 AAC3458457
2282 AAC3454035
2283 AAC3461301
2284 AAC3488095
2285 AAC3450141
2286 AAC3481379
2287 AAC3477671
2288 AAC3466686
2289 AAC3495774
2290 AAC1503715
2291 AAC3450506
2292 AAC3458285
2293 AAC3477675
2294 AAC3489520
2295 AAC3443792
2296 AAC3495795
2297 AAC3502473
2298 AACNR61943
2299 AAC3466251
2300 AAC3482015
2301 AAC3473072
2302 AAC3449204
2303 AAC3479242
2304 AAC34776702305 AAC3461805
2306 AAC3450470
2307 AAC3461904
2308 AAC3491056
2309 AAC3450370
2310 AAC3443834
2311 AAC3502471
2312 AAC3494196
2313 AAC1503509
2314 AAC3453738
2315 AAC3479149
2316 AAC3474677
2317 AAC3488130
2318 AAC3453761
2319 AAC3477950
2320 AAC3468953
2321 AAC3479120
2322 AAC3488247
2323 AAC3465803
2324 AAC3466951
2325 AAC3458189
2326 AAC3464643
2327 AAC3461178
2328 AAC3479064
2329 AAC3453830
2330 AAC3456523
2331 AAC3465255
2332 AAC3491438
2333 AAC3489500
2334 AAC3461164
2335 AAC3491441
2336 AAC3465576
2337 AAC3487412
2338 AAC3450489
2339 AAC3456376
2340 AAC3494227
2341 AAC3449208
2342 AAC3507232
2343 AAC3458361
2344 AAC3461223
2345 AAC3481992
2346 AAC3507262
2347 AAC3469506
2348 AAC3477772
2349 AAC3479147
2350 AAC34579582351 AAC3450282
2352 AAC3473688
2353 AAC3474317
2354 AAC3468365
2355 AAC3465916
2356 AAC3445437
2357 AAC3459772
2358 AAC3457799
2359 AAC3453154
2360 AAC3460358
2361 AAC3481998
2362 AACNR77963
2363 AAC3468397
2364 AAC3491387
2365 AAC3478381
2366 AAC3473987
2367 AAC34614492368 AAC3478554
2369 AAC3459840
2370 AAC3503784
2371 AAC3478970
2372 AAC3481062
2373 AAC3449898
2374 AAC3495015
2375 AAC3461228
2376 AAC3454479
2377 AAC3465011
2378 AAC3479266
2379 AAC3495679
2380 AAC3495076
2381 AAC3481844
2382 AAC3477927
2383 AAC3461248
2384 AAC3460969
2385 AAC3478133
2386 AAC3469820
2387 AAC3478003
2388 AAC3458424
2389 AAC3459824
2390 AAC3473364
2391 AAC3494565
2392 AAC3503927
2393 AAC3475483
2394 AAC3477919
2395 AAC34868542396 AAC3486987
2397 AAC3461078
2398 AAC3507923
2399 AAC3478022
2400 AAC3465621
2401 AAC3506319
2402 AAC3456225
2403 AAC3468308
2404 AAC3465619
2405 AAC3491368
2406 AAC3459938
2407 AAC3473705
2408 AAC1493791
2409 AAC3487962
2410 AAC3468389
2411 AAC3473047
2412 AAC1508782
2413 AAC3477933
2414 AAC3491415
2415 AAC3457920
2416 AAC3495106
2417 AAC1490907
2418 AAC3482040
2419 AAC3489709
2420 AAC3472972
2421 AAC3473019
2422 AAC3473086
2423 AAC3495379
2424 AAC3494207
2425 AAC3475032
2426 AAC3465936
2427 AAC3449129
2428 AAC3482288
2429 AAC3474687
2430 AAC3461432
2431 AAC3495797
2432 AAC3458046
2433 AAC3440464
2434 AAC1497949
2435 AAC3454393
2436 AAC3474055
2437 AAC3453065
2438 AAC3479420
2439 AAC3488004
2440 AAC3495399
2441 AAC3465869
2442 AAC3459144
2443 AAC3461265
2444 AAC3475447
2445 AAC3469875
2446 AAC3450324
2447 AAC3507333
2448 AAC3461369
2449 AAC3490880
2450 AAC3487363
2451 AAC3460942
2452 AAC3489532
2453 AAC3494525
2454 AAC3466933
2455 AAC1489011
2456 AAC3459137
2457 AAC3478943
2458 AAC3450147
2459 AAC3473700
2460 AAC3477944
2461 AAC3495695
2462 AAC1501132
2463 AAC3468401
2464 AAC1497050
2465 AAC3495703
2466 AAC1492764
2467 AAC3481989
2468 AAC3478905
2469 AAC3460788
2470 AAC3487911
2471 AAC3495706
2472 AAC1494886
2473 AACMR76297
2474 AAC3459139
2475 AAC3473056
2476 AAC1505244
2477 AAC3444517
2478 AAC1505297
2479 AAC3490344
2480 AAC3495068
2481 AAC3440320
2482 AAC3478651
2483 AAC3498275
2484 AAC3459138
2485 AAC3479417
2486 AAC1506309
2487 AAC3473517
2488 AAC3489841
2489 AAC3449903
2490 AAC3478716
2491 AAC3494018
2492 AAC3453688
2493 AAC3458286
2494 AAC3444936
2495 AAC3481929
2496 AAC3466175
2497 AAC3489922
2498 AAC3477369
2499 AAC3481960
2500 AAC3490860
2501 AAC3489969
2502 AAC3489944
2503 AAC1495021
2504 AAC3490325
2505 AAC3482459
2506 AAC3460666
2507 AAC3452912
2508 AAC1505988
2509 AAC3478304
2510 AAC3495696
2511 AAC3460314
2512 AAC3490291
2513 AAC1499494
2514 AAC3478851
2515 AAC3469605
2516 AAC3506106
2517 AAC1506661
2518 AAC3457997
2519 AAC3461304
2520 AAC3452985
2521 AAC3449638
2522 AAC3499040
2523 AAC3469239
2524 AAC3478931
2525 AAC1496535
2526 AAC3479273
2527 AAC3488409
2528 AAC3474618
2529 AAC3487128
2530 AAC3473293
2531 AAC3487160
2532 AAC3504330
2533 AAC3488042
2534 AAC3490839
2535 AAC3477899
2536 AAC3457185
2537 AAC1502492
2538 AAC3465749
2539 AAC3458082
2540 AAC3494002
2541 AAC3460576
2542 AAC3473049
2543 AAC3473968
2544 AAC3491419
2545 AAC34444572546 AAC34688202547 AAC34734322548 AAC3498221
2549 AAC3478957
2550 AAC3506336
2551 AAC3477350
2552 AAC3489990
2553 AAC3458330
2554 AAC3473420
2555 AAC3487231
2556 AAC1492136
2557 AAC3498252
2558 AAC3506797
2559 AAC3505698
2560 AAC3499372
2561 AAC1507798
2562 AACNR83296
2563 AAC3507201
2564 AACNR82979
2565 AAC3510537
2566 AAC3502548
2567 AAC3503829
2568 AAC3510643
2569 AAC3507853
2570 AACMR82707
2571 AAC3507637
2572 AAC1509382
2573 AAC3510631
2574 AAC3505688
2575 AAC3493740
2576 AAC1510602
2577 AAC1509912
2578 AAC3503046
2579 AAC3503251
2580 AAC3500679
2581 AAC3508004
2582 AAC1508963
2583 AACMR76914
2584 AAC3510640
2585 AAC3503002
2586 AAC3506807
2587 AAC3491377
2588 AAC3500431
2589 AAC3508552
2590 AACMR82606
2591 AAC1508864
2592 AAC35087142593 AAC1509304
2594 AAC0828398
2595 AACMR81667
2596 AAC3501184
2597 AAC0823728
2598 AAC0823779
2599 AACMR79587
2600 AAC1504949
2601 AAC3503537
2602 AAC0823859
2603 AAC3493105
2604 AAC3476569
2605 AAC3459965
2606 AAC1506467
2607 AAC0828119
2608 AAC0823505
2609 AAC3445746
2610 AAC3497507
2611 AAC3469286
2612 AAC3485686
2613 AACMR80273
2614 AAC3466255
2615 AAC3497434
2616 AACMR768482617 AAC1506718
2618 AACMR83039
2619 AAC1507812
2620 AAC3492139
2621 AAC0828035
2622 AAC34895032623 AAC1492526
2624 AAC34979942625 AAC3458621
2626 AAC1496007
2627 AAC3503886
2628 AAC0823494
2629 AAC3464487
2630 AAC3504782
2631 AAC1498423
2632 AAC0823504
2633 AAC3467242
2634 AAC1493625
2635 AAC1498848
2636 AAC3472060
2637 AAC3486329
2638 AAC3501277
2639 AAC3496601
2640 AAC3503872
2641 AAC3498901
2642 AAC3497023
2643 AACNR78196
2644 AAC0823447
2645 AAC3476349
2646 AAC3484100
2647 AAC3458892
2648 AAC3503843
2649 AAC3462202
2650 AAC1494223
2651 AAC34638342652 AAC3450087
2653 AAC3497427
2654 AACMR81714
2655 AAC3450735
2656 AAC3460124
2657 AAC1499491
2658 AAC3473703
2659 AACNR75282
2660 AAC3464509
2661 AAC3503578
2662 AAC1498847
2663 AAC14932702664 AAC3471366
2665 AAC3467601
2666 AACMR83043
2667 AAC1504206
2668 AAC3500364
2669 AAC3476234
2670 AAC3501807
2671 AAC3436262
2672 AAC3484039
2673 AAC3458610
2674 AACMR76904
2675 AAC3451546
2676 AAC3485729
2677 AAC1505606
2678 AAC3500529
2679 AAC0823456
2680 AAC1491233
2681 AAC3467198
2682 AAC3463054
2683 AACMR79546
2684 AAC3464141
2685 AAC3478991
2686 AACMR82583
2687 AAC3503650
2688 AAC3471810
2689 AAC3479708
2690 AAC0823849
2691 AAC1501061
2692 AAC3500569
2693 AACMR830422694 AACMR80013
2695 AAC3473712
2696 AAC3485669
2697 AAC3463782
2698 AAC3439954
2699 AAC3496153
2700 AAC34773962701 AAC3476489
2702 AAC3468077
2703 AAC3463793
2704 AAC3501241
2705 AAC3464041
2706 AAC3496775
2707 AAC3486611
2708 AAC34675372709 AAC3493220
2710 AAC3469581
2711 AACMR82608
2712 AAC3500286
2713 AAC34641512714 AAC3500106
2715 AAC3479972
2716 AAC3496696
2717 AAC35000542718 AAC3500163
2719 AAC3500113
2720 AACMR81679
2721 AAC3484062
2722 AACMR83064
2723 AAC1498283
2724 AAC3509105
2725 AACMR80270
2726 AAC1496029
2727 AACMR82689
2728 AAC14988962729 AACMR76125
2730 AAC3493426
2731 AAC3483799
2732 AAC3501196
2733 AAC3459265
2734 AAC3490157
2735 AAC3492844
2736 AAC1501458
2737 AAC0823798
2738 AAC3497435
2739 AACNR77747
2740 AAC1494220
2741 AAC3462067
2742 AAC1507320
2743 AAC3514966
2744 AAC3483394
2745 AAC3461067
2746 AAC35045172747 AAC3479565
2748 AAC3488682
2749 AAC35091022750 AAC34848532751 AAC3500246
2752 AAC3497087
2753 AACNR71409
2754 AAC1498878
2755 AAC3482437
2756 AAC15008132757 AACNR78354
2758 AAC3471796
2759 AAC3442786
2760 AAC3460951
2761 AAC0824375
2762 AAC3497066
2763 AAC3452703
2764 AAC3453217
2765 AAC3497162
2766 AAC3503873
2767 AAC3478961
2768 AACNR78122
2769 AAC3491998
2770 AAC3488010
2771 AAC3453618
2772 AAC3497935
2773 AAC3500565
2774 AAC1506895
2775 AAC3492777
2776 AAC3495422
2777 AAC3454502
2778 AAC3464362
2779 AAC3456159
2780 AAC3473752
2781 AAC1507994
2782 AACMR80316
2783 AAC3502484
2784 AAC34676332785 AAC1507217
2786 AAC3452553
2787 AAC3476461
2788 AAC3454833
2789 AAC1507899
2790 AAC3492358
2791 AAC3450451
2792 AAC3463605
2793 AAC3475014
2794 AAC3489620
2795 AACNR83297
2796 AAC3474334
2797 AAC3492481
2798 AAC3463850
2799 AAC1495516
2800 AAC3476587
2801 AAC3454170
2802 AAC3480357
2803 AAC35010892804 AAC3485341
2805 AAC3478615
2806 AAC3486614
2807 AAC3486205
2808 AAC3492357
2809 AAC3459212
2810 AAC34773512811 AAC1502760
2812 AAC3445005
2813 AAC0828011
2814 AAC3484041
2815 AAC3465171
2816 AACNR79942
2817 AAC3497267
2818 AAC1504014
2819 AAC1505124
2820 AAC3476205
2821 AAC3460283
2822 AAC0828115
2823 AAC1498278
2824 AAC3483253
2825 AAC1506005
2826 AAC3486187
2827 AAC1507496
2828 AAC3460943
2829 AAC3471782
2830 AACMR81183
2831 AAC1492134
2832 AAC3479349
2833 AAC3476321
2834 AAC3501343
2835 AAC3458853
2836 AAC1506890
2837 AAC3496393
2838 AAC3467630
2839 AAC3430368
2840 AAC34801742841 AAC1492158
2842 AAC3471270
2843 AAC1499653
2844 AAC3484647
2845 AAC3476121
2846 AAC3461423
2847 AAC3459474
2848 AAC34771182849 AACMR82508
2850 AAC0823754
2851 AAC3498838
2852 AAC3514550
2853 AAC3486332
2854 AAC3445763
2855 AAC15006222856 AAC3476472
2857 AAC3454369
2858 AAC3458855
2859 AAC3471348
2860 AAC3491648
2861 AAC34588722862 AAC15064872863 AAC3484898
2864 AAC1507566
2865 AACNR78327
2866 AACMR80289
2867 AAC3482431
2868 AACMR76671
2869 AAC3497049
2870 AAC1507881
2871 AAC3471331
2872 AAC0823789
2873 AAC3464132
2874 AAC3463364
2875 AAC3471447
2876 AACMR764002877 AAC34856772878 AAC3471298
2879 AAC3485437
2880 AACNR78326
2881 AAC3504710
2882 AAC0823513
2883 AAC3444339
2884 AAC3462213
2885 AAC3502516
2886 AAC1496196
2887 AAC35108392888 AAC3500486
2889 AAC3463804
2890 AACMR76876
2891 AAC1495437
2892 AAC3476337
2893 AAC3487774
2894 AAC3459396
2895 AAC3466278
2896 AAC3486220
2897 AAC0828234
2898 AAC3485175
2899 AAC3493107
2900 AAC3463791
2901 AAC0828333
2902 AAC3463884
2903 AAC3485912
2904 AAC3500492
2905 AAC3492773
2906 AAC3463278
2907 AAC1501714
2908 AAC0823793
2909 AAC0823796
2910 AAC1504146
2911 AACNR745982912 AAC3485257
2913 AAC0823780
2914 AAC34879152915 AACNR805542916 AAC3497542
2917 AAC1507394
2918 AAC3452480
2919 AAC3458890
2920 AACNR73947
2921 AAC3469203
2922 AAC3483679
2923 AAC3483830
2924 AAC3493046
2925 AAC0823861
2926 AAC3507608
2927 AAC1508867
2928 AAC1509416
2929 AAC1509076
2930 AAC3502303
2931 AAC3509098
2932 AAC3508028
2933 AAC3498205
2934 AAC3507235
2935 AAC3495180
2936 AAC1506789
2937 AAC3493764
2938 AAC3507890
2939 AAC3507656
2940 AAC3505614
2941 AAC3508549
2942 AAC3506141
2943 AAC3504943
2944 AAC3505662
2945 AAC1510336
2946 AAC3507567
2947 AACMR76912
2948 AAC3508968
2949 AAC3503166
2950 AAC3508381
2951 AAC3493737
2952 AAC3508103
2953 AAC1510967
2954 AAC3503273
2955 AAC3504205
2956 AAC3494671
2957 AAC3504076
2958 AAC3506149
2959 AAC3506158
2960 AAC1506431
2961 AAC1509487
2962 AAC3498054
2963 AAC1509949
2964 AAC3503168
2965 AAC1505783
2966 AAC3498554
2967 AACMR76384
2968 AAC3503249
2969 AAC1510620
2970 AAC1509419
2971 AAC3505255
2972 AAC1510626
2973 AAC1507241
2974 AAC3498403
2975 AAC3507850
2976 AAC3503383
2977 AAC3499802
2978 AAC3499332
2979 AAC3507168
2980 AAC3505286
2981 AAC3509028
2982 AAC35075042983 AAC35079882984 AAC3499306
2985 AAC1511020
2986 AAC3505035
2987 AAC1508151
2988 AAC3509433
2989 AAC3488768
2990 AAC3453893
2991 AAC1501185
2992 AAC3487548
2993 AAC3506333
2994 AAC1500155
2995 AAC3479346
2996 AAC1507155
2997 AAC3469971
2998 AAC34778172999 AAC3473908
3000 AAC3479132
3001 AAC15055323002 AAC3502457
3003 AAC3490992
3004 AAC1497490
3005 AAC1500044
3006 AAC1499956
3007 AACNR78324
3008 AAC3468482
3009 AAC3472890
3010 AAC3468183
3011 AAC34657913012 AAC3468225
3013 AAC3502195
3014 AAC3478633
3015 AAC1501955
3016 AAC3458486
3017 AAC3482607
3018 AAC3488310
3019 AAC1505908
3020 AAC14935883021 AAC3489711
3022 AAC3488424
3023 AAC3465144
3024 AAC1498458
3025 AAC1506791
3026 AAC1491180
3027 AAC3469771
3028 AAC3460618
3029 AAC1497468
3030 AAC3495784
3031 AAC1499867
3032 AAC3473855
3033 AAC3477631
3034 AAC1506930
3035 AAC0828326
3036 AAC1506664
3037 AAC1494783
3038 AAC1497845
3039 AAC1496622
3040 AAC1507157
3041 AAC3466279
3042 AAC3465560
3043 AAC3481170
3044 AAC3474253
3045 AAC3499213
3046 AAC1506547
3047 AAC3469584
3048 AAC3506114
3049 AAC3454442
3050 AAC1495070
3051 AAC3482218
3052 AAC3456976
3053 AAC3438832
3054 AAC1502133
3055 AAC3476630
3056 AAC1501062
3057 AAC3487991
3058 AAC3494528
3059 AAC34690913060 AAC1506111
3061 AAC3491255
3062 AAC3498120
3063 AAC3479191
3064 AAC1500569
3065 AAC34560873066 AAC1495654
3067 AAC1510029
3068 AAC15067493069 AAC3493904
3070 AAC3460110
3071 AAC1491615
3072 AAC34904503073 AAC34882863074 AAC1495558
3075 AAC3490305
3076 AAC3479548
3077 AAC3503729
3078 AAC1492594
3079 AAC1503740
3080 AAC3498125
3081 AAC3464726
3082 AAC1498314
3083 AAC1494229
3084 AAC1491457
3085 AAC3468628
3086 AAC3461296
3087 AAC1508071
3088 AAC3479063
3089 AAC34874303090 AAC1507153
3091 AAC3457285
3092 AAC0823795
3093 AAC3499522
3094 AAC3453406
3095 AAC3474406
3096 AAC1494167
3097 AAC3478047
3098 AAC1495180
3099 AAC3489994
3100 AAC14943093101 AAC34610133102 AAC34443493103 AAC3449950
3104 AAC3477612
3105 AAC3476597
3106 AAC3454763
3107 AAC34736463108 AAC1499974
3109 AAC1506822
3110 AAC3477952
3111 AAC1494879
3112 AAC3478506
3113 AAC3488169
3114 AAC1502694
3115 AAC3481611
3116 AAC3474031
3117 AAC3495583
3118 AAC3453819
3119 AAC3469457
3120 AAC1507039
3121 AAC3460313
3122 AAC3456094
3123 AAC1498463
3124 AAC14981743125 AAC3499102
3126 AAC3487875
3127 AAC1503661
3128 AAC14926323129 AAC3464686
3130 AAC3487322
3131 AAC1508484
3132 AAC3488464
3133 AAC3481314
3134 AAC3478604
3135 AAC1510044
3136 AAC3506112
3137 AAC1508384
3138 AAC1490750
3139 AAC1500514
3140 AAC1497271
3141 AAC3487474
3142 AAC3507010
3143 AAC3496050
3144 AAC3479211
3145 AAC3473736
3146 AAC3465966
3147 AAC1505497
3148 AAC3498354
3149 AAC3482458
3150 AAC1490700
3151 AAC3481990
3152 AAC1502540
3153 AAC1501102
3154 AAC34811953155 AAC3498231
3156 AAC3504282
3157 AAC3490418
3158 AACNR78195
3159 AAC3466236
3160 AAC3497088
3161 AAC3477922
3162 AAC3460602
3163 AAC1502434
3164 AAC3481952
3165 AAC1500540
3166 AAC3456402
3167 AAC1500906
3168 AAC3489613
3169 AAC3487772
3170 AAC3464060
3171 AAC3476742
3172 AAC3478837
3173 AAC3453762
3174 AAC1490920
3175 AAC3457569
3176 AAC1490897
3177 AAC1492511
3178 AAC1505568
3179 AAC1502151
3180 AAC3465201
3181 AAC1505213
3182 AAC1497665
3183 AAC35043163184 AAC3499039
3185 AAC1505500
3186 AAC3497248
3187 AAC3498128
3188 AAC34985083189 AAC3487129
3190 AAC3454098
3191 AAC3490347
3192 AAC3460620
3193 AAC3439891
3194 AAC35060553195 AAC3454807
3196 AAC3474180
3197 AAC3457219
3198 AAC1502875
3199 AAC1501153
3200 AAC1502152
3201 AAC1506842
3202 AAC3457875
3203 AAC3462120
3204 AAC1501810
3205 AAC1498543
3206 AAC3494614
3207 AAC3488331
3208 AAC1494860
3209 AACMR76666
3210 AAC1506979
3211 AAC3479068
3212 AAC1496937
3213 AAC1488487
3214 AAC3495708
3215 AAC3466070
3216 AAC34580753217 AAC34580803218 AAC1492383
3219 AAC3453077
3220 AAC3488007
3221 AAC3487370
3222 AAC3489645
3223 AAC3461561
3224 AAC3469068
3225 AAC3505301
3226 AAC3483782
3227 AAC1497313
3228 AAC3465173
3229 AAC3491262
3230 AACMR76890
3231 AAC3481653
3232 AAC3443964
3233 AAC1491222
3234 AAC3474670
3235 AAC1506825
3236 AAC3487318
3237 AAC3507324
Electrical (0544) 2011-2011
論文名稱N-Body: Social Mobility Model for Wireless Ad Hoc Network ResearchFrequency synthesis applications of silicon germanium BiCMOS processesPractical Identification of Timed Event Systems
System-aware design of energy-efficient high-speed i/o links
Cryptographic primitives for secure software defined radio platforms
Junction-Level Thermal Analysis of Three Dimensional Integrated Circuits
An Integrated Active Reflector for Phase-Sweep Cooperative Diversity
Application of the Hindmarsh-Rose Neural Model in Electronic Circuits
A new distributed framework for cyber attack detection and classificationSpintronic memory and logic: From atoms to systems
Scaling Mesa Indium Phosphide DHBTs to Record Bandwidths
Practical Coding Schemes for Multi-User Communications
An EEG-based dual-channel imaginary motion classification for brain computer interfaceEffective Design of Multiplexing Networks for Applications in Communications SatellitesProbabilistic Estimation of the Number of Frequency-Hopping Transmitters and its Application on CompressionIntelligent Data-driven Classification and Forecasting Processes for Complex Engineering and Social SystemsPartial Network Coding with Cooperation: A Study over Multi-hop Communications in Wireless Networks
Wideband phased array & rectenna design and modeling for wireless power transmission
New Data Processing Approach for Vegetation Classification using Multiwavelength Airborne Polarimetric Lidar
Developpement d'une plateforme materielle pour l'implementation des techniques de decomposition de signaux pour les amplificateurs de puissance a deux branchesNetwork Topology Optimization with Alternating Current Optimal Power Flow
Three-dimensional face recognition enhancement using extreme expression rejectionSynthesis of ALD zinc oxide and thin film materials optimization for UV photodetector applicationsSynthesis of graphene by chemical vapor deposition and its application in electronics and sensingAutomatic Detection of Fiducial Markers from Electronic Portal Images of Prostate RadiotherapyMeasurement of thermal impedance of deep ultraviolet light emitting diodesAcoustic wave biosensor arrays for the simultaneous detection of multiple cancer biomarkersComprehensive Testing And Performance Analysis Of Sensors In Lab-On-A-Chip For Biomedical Applications
Physics-based inverse processing and multipath exploitation for through-wall radar imagingModeling of the impact of electrical stressors on the degradation process of power mosfets
Event based measurement and analysis of internet network traffic
A variable pilot insertion approach to channel estimation in OFDM systemsBand selection techniques for hyperspectral chemical agent detection
Dimmer for LED retrofit using current reductionGas sensor using platinum nanowiresNanoscale SRAM Variability and OptimizationHigh Wall Plug Efficiency Quantum Cascade LasersElectrohydrodynamic Air Movers for Thermal ManagementCross-Layer Design and Optimization of Short Range Wireless Networks
Quantitative ultrasound imaging of in vivo breast tumors
Machines that Understand Music
Optimization of parametric discrete orthogonal transforms and applications
Nanoscale insights on one- and two-dimensional material structures
Extrinsic spin relaxation in silicon spin transport devices
Tree Search Based MIMO Detectors: Algorithms and VLSI Architectures
Adaptive MIMO Radar for Target Detection, Estimation, and Tracking
Competitive Tests and Estimators for Properties of Distributions
Resource Allocation and Service Competition in Spectrum Markets
An extended fuzzy discrete event system for HIV/AIDS treatment regimen selectionDiagnosing Alzheimer's Disease Using Machine Learning Techniques on Neuroimaging DataUltrasound strain imaging using sector arrays for monitoring prostate ablation therapyRead counts at multiple attenuation level algorithm as an object localization technique using passive RFID tags
Optoelectronics Devices Based on Zinc Oxide Thin Films and Nanostructures
Advanced 3D Microfabrication and Demonstration of Arrayed Electrowetting Microprisms
Multi-Input Multi-Output Repetitive Control Theory And Taylor Series Based Repetitive Control DesignTowards More Reliable MAC and PHY Layer Designs for High QoS Achievements for Safety Messaging in DSRC SystemsNovel Encapsulation of Oxidizer Applied to Galvanic Cells: Aluminum / Hydrogen Peroxide Galvanic Cell as a Case Study
Assessing and enabling independent component analysis as a hyperspectral unmixing approachAnalysis and Design of Proportionate-Type Normalized Least Mean Square AlgorithmsA Jitter-Cleaning Fractional-N Frequency Synthesizer with 10 Hz--40 kHz Digitally Programmable Loop Bandwidth
Design and fabrication of a prototype aluminum nitride-based pressure sensor with finite element analysis and validationModeling of Perceptual Video Quality Considering Impact of Spatial, Temporal, and Amplitude Resolutions
Channel capacity, signaling design, and statistical detection for multiple-antenna channels
Terahertz time domain methods for material characterization of layered dielectric media
Sparse reconstruction in monostatic and multistatic SAR
Demand side management in the smart grid
Topics in Genomic Signal Processing
PLL FM Demodulator with Synchronous FilterCoding Techniques for Advanced Wireless Communication Systems
Efficiency enhancement techniques for switched mode power electronics
Multi-user communication over wireless channels with unknown fading
Sonar image modeling for texture discrimination and classification
Hybrid synchronous / asynchronous designAlarm limits, deadbands and chattering
Integration d'un amplificateur RF reconfigurable en frequence
Advances in modeling and signal processing for bit-patterned magnetic recording channels with written-in errorsIntra-Chip Free-Space Optical Interconnect: System, Device, Integration and PrototypingSubstrate integrated waveguide devices and receiver systems for millimeter-wave applicationsAdvanced numerical modeling and characterization of infrared focal plane arraysRadio frequency pulsed signal transmitter utilizing radioisotope-powered self-triggered electrostatic discharge systemFrequency domain laser ultrasonics: Optical transduction of acoustic waves and nanomechanical devices
Ultraviolet Stabilization and Performance Enhancement of Nanostructured Humidity Sensors
Soft-computing based intelligent adaptive control design of complex dynamic systemsOn-Chip Circuits for Jitter Measurement and Signal Capture with Sub-Picosecond ResolutionOLSR-based network discovery in situational awareness system for tactical MANETsMiniaturization techniques of substrate integrated waveguide based on multilayered printed circuit board platform
Time Series Novelty Detection with Application to Production Sensor SystemsGrey box modelling and advanced control scheme for building heating systemsArchitecture Analysis and Block Design in Modern Communication Radio TransceiversEqualization of Integrated Optical Photodiodes using an Infinite Impulse Response Decision Feedback EqualizerImplementing a Preconditioned Iterative Linear Solver Using Massively Parallel Graphics Processing Units
Coordinated Multi-Point Transmission for Interference Mitigation in Cellular Distributed Antenna SystemsImproving the Throughput of Single-hop Networks via Multi Antenna Techniques
Design and implementation of a cognitive wireless sensor network: Application to environment monitoringAlgebraic Relaxations and Hardness Results in Polynomial Optimization and Lyapunov analysisThermal Conductivity from First-Principles in Bulk, Disordered, and Nanostructured Materials
A Pre-Placement Individual Net Length Estimation Model and an Application for Modern Circuits
A Low Cost and Low Phase Noise Oscillator for E-band ApplicationsAutomated fault location in smart distribution systems
Directional relaying for power networks
An approach to storage virtualization
A Study of Miniature Methods of Terahertz SpectroscopyTheory of Non-equilibrium Vertex CorrectionStable High Order Methods for Circuit Simulation
Implementation efficace de la FFT pour des communications OFDM
Broadband, Volumetric Negative-Refractive-Index MediaTraffic Optimization for Multimodal Cooperative Networks
Ultra Wide-Bandwidth Micro Energy Harvester
Three Dimensional Passive Image Sensing and VisualizationSpace time coding for polynomial phase modulated signals
Low-Complexity Distributed Source CodingCompletion Delay Minimization for Instantly Decodable Network Coding
Vertically aligned nanocomposite thin films
Conic Optimization of Electric Power Systems
Capacity-Achieving Schemes for Finite-State ChannelsDirect dynamic control of impedance for VAR and harmonic compensation
Plasma-processing-induced damage of thin dielectric films
Blood Vessel Mapping for Biometric Applications
Tunable electro-optic thin film stack for hyperspectral imaging
Automatic human behavior tracking and analysis
Social-based trustworthy data forwarding in vehicular delay tolerant networks
EM simulation using the Laguerre-FDTD scheme for multiscale three-dimensional interconnections
Passive millimeter-wave imaging based on subharmonic self-oscillating mixingPlanification d'un reseau de quatrieme generation a partir d'un reseau de troisieme generation
Extraction des sous-graphes : Identification des microarchitectures dans les logiciels evolutifs orientes objetsCombinational multiphoton scanning microscopy and multiphoton surgery of mouse arteries
Design of a low power switched-capacitor pipeline analog-to-digital converter
Design and development of carbon nanotube based electrodes for electroanalytical applications
Energy-efficient Signal Processing for CMOS and Beyond: From Algorithm to Architecture
Modelling high frequency ultrasound scattering from cells and ultrasound contrast agents
Controle et diagnostic decentralises des systemes a evenements discrets: Approche multi-decisionnelle
Epitaxial Germanium/III-V Heterostructures: MOCVD Growth, Characterization, and Applications
Channel Estimation for OFDM in Fast Fading ChannelsCapacity Allocation in Service Overlay NetworksOutage capacity and code design for dying channels
Classification of human movement using a wearable tri-axial accelerometer
Advanced dielectrics for gallium nitride power electronicsAutomatic Tuning of Digital Circuits
Nonparametric Choice Modeling: Applications to Operations Management
Robust visual recognition with locally adaptive regression kernels
Studies of metal contacts on p-type copper indium diselenideDevelopment of Photonic Crystal Display DevicesNanoscale Lasers with Optical Microcavities
A Compressive Phase-Locked Loop
Exponential Bounds On Error Probability With Feedback
Imperfect channel knowledge for interference avoidance
Non-linear Model Predictive Control for Autonomous Vehicles
Nonlinear nanoprobes for characterizing ultrafast optical near field
3D minutiae extraction in 3D fingerprint scans
Development of prosthetic skin
Label-Free Biosensing Using Gold Coated Polysilicon Gate BioFETsInvestigation of a Novel Software Based Laboratory Jammer Architecture
Resolution enhancement of ultrasonic signals using autoregressive spectral extrapolationMethods and Design Techniques for the Miniaturization of an L-Band System-on-Package Receiver Front-End
GPS/INS Integration Aided with Gyroscope-Free IMU for Pedestrian ApplicationsComputer aided diagnosis in mammography with content-based image retrievalSolvent-casting of chalcogenide glasses and their applications in mid-infrared opticsApplication of a High Q, Low Cost Hemispherical Cavity Resonator to Microwave Oscillators
Performance optimization of organic solar cells by simulation and characterization3D facial shape estimation from a single image under arbitrary pose and illuminationEstimating Human Limb Motion from Video Sequences with Anatomical KnowledgeRF-MEMS BAW resonators---Effective parameters modeling & characterization
Prototype de polarimetre micro-onde portable pour la detection a distance des temperatures de brillance
Communication system for the remote hybrid power system in Ramea Newfoundland
Unequal error protection framework for robust image transmission using multiresolution modulations
Techniques for Co-Allocation of Resources in Grids Processing Advance Reservation Requests
Source-Channel Mappings with Applications to Compressed Sensing
A Partial Order Approach to Decentralized Control
Designing Body Sensor Networks for Energy Efficiency and PerformanceMultiple-Antenna Communications with Limited Channel State InformationA Robust Modular Oversampling Beamformer ArchitectureThe design of an 866 MHZ CMOS voltage-controlled oscillatorHarmonic Current Control in a High-Power Current Source Rectifier System
Constellation Shaping for Communication Channels with Quantized Outputs
Anomaly detection in data networksMaximally smooth transition: The Gluskabi raccordation
Analyse formelle d'orchestrations de Services Web
A Spin-Torque Transfer MRAM in 90nm CMOSMidgap states in gapped graphene induced by short-range impurities
Excitation and Readout Designs for High Field Spectroscopic Imaging
Outage Probability in Multimodal NetworksWaveform design for active sensing systems --- A computational approach
Cooperative Strategies in the UWB TRPC NetworksOptimal control of mobile malware epidemics
A Switched-Capacitor RF Power Amplification TechniqueMolecular beam epitaxial growth and characterization of nitride nanowires
Dynamic Inter-Cell Interference Coordination in Cellular OFDMA Networks
MEMS-based Optical Focusing and Micromechanical TestingManaging Uncertainty in Robust Controller Implementation
Reflection for Subwavelength Annular Mode in Metals
Quantifying failure propagation in electric power transmission systems
Hybrid RF-Digital Feed-Forward Filter for High-Order Frequency Agile Filtering
Dynamic response analysis of transmission towers after conductor breakage using ADINA
Novel Opposition-Based Sampling Methods for Efficiently Solving Challenging Optimization Problems
On Improving Multi-Channel Wireless Networks through Network Coding and Dynamic Resource Allocation
Bi-stable radiofrequency rectangular waveguide switch with magnetic actuationDesign of Optimal Frameworks for Wideband/Multichannel Spectrum Sensing in Cognitive Radio Networks
Modelisation electrothermique de transistors en technologie nitrure de gallium
Analysis of Improvement for Turn on/off Performance of the MOSFET Gate Drive Waveform
A new family of transformerless modular dc-dc converters for high power applications
Enhanced GNSS Signal Detection Performance Utilizing Polarization Diversity
Systeme d'amelioration de l'intelligibilite de signaux de parole fortement bruites
Interference from Large Wireless Networks under Correlated Shadowing
Development of efficient deep ultraviolet light emitting diodes
Dynamic thermal management for microprocessors through task scheduling
Experimental studies of the electromagnetic properties of metamaterials
Multiridgelets for texture analysisPiezoelectric Wide-Bandwidth Vibration Energy Harvester---Smart Sand
Novel Approaches to the Design of Phased Array AntennasActive Storage Networks: Topology, Routing and Application
Optimization of rateless coded systems for wireless multimedia multicastFiltered tractography
Etude comparative de planificateurs appliques au domaine des jeux video
Iterative Receivers for Interference Limited EnvironmentsVernier Ring Time-to-Digital Converter Based Digital Phase Locked LoopLow power design methodologies in analog blocks of CMOS image sensors
Monolithic Integration of GaN HEMT with Silicon MOS Technology
Fractionally Spaced Equalization for High-Speed Links
Analysis of the Vascular Architecture in Fundus Images of the Retina
A new dawn to WiMAX and LTE
Efficient Radiometric Signature Methods for Cognitive Radio Devices
Feedback-Controlled Implantable Neural Gastrointestinal Electrical StimulatorRigorous Investigation of AlGaN/GaN Heterostructure Surface Treatments with Si Thin FilmsDigital Signal Processing Assessment for Optical Coherent Receiver using Dual-Polarization Quadrature Phase Shift Keying ModulationTargeted Optimization of Computational and Classification Performance of a Protein-Protein Interaction Predictor
Competition and Equilibrium in Resource Constrained Cognitive Radio Networks
Matrices cellulaires reconfigurables en point flottant dediees au traitement des signaux
Efficient Time-Domain Modeling of Periodic-Structure-Based Microwave and Optical Geometries
Low-power double-sampled delta-sigma modulator for broadband applications60 GHz System-on-Package Frequency Synthesizer and Phase Lock Loop OptimizationTransformees orthogonales de l'analyse spectrale pour le filtrage et la compression des imagesAnalyse des proprietes structurelles et computationnelles des clones logicielsEfficient Motion Estimation and Mode Decision Algorithms for Advanced Video Coding
Fusion d'analyseurs syntaxiques pour la production d'une analyse syntaxique robuste
Enhanced Distributed Simulation Interoperability and Algorithms Using Web Services
Pixel and readout circuit of a wide dynamic range linear-logarithmic current-mode image sensor
Genetic algorithms for scheduling in multiuser MIMO wireless communication systems
Impacts of Geomagnetic storms on Trans-Canadian Grids
Fault estimation for satellite attitude control systems
Performance Limits of RF Power CMOS
Patch-based image denoising and its performance limits
SOI Back Reflectors for Tb Doped Oxide Electroluminescent Devices
Robust Positioning and Optimization Techniques in Mobile Robotic Networks
Matlab implementation of a tornado forward error correction code
Characterization and modification of obliquely deposited nanostructures
Sampling and Quantization for Optimal Reconstruction
Low-rate feedback and low-complexity schemes in wireless communicationsOn applications of puncturing in error-correction codingSilicon carbide JFET device modeling
Improving SLI Performance in Optically Challenging EnvironmentsRadio Resource Management in OFDMA-based Cellular Relay NetworksOptimal charging scheduling for battery electric vehicles under smart grid
Modeling and design of intra-cavity frequency doubled green lasers
Liquid crystal sensor microchip
System Optimization and Protocol Design for Vehicular Networks
Wavelet-Based Traffic Matrix ModelingInterprocedural Static Single Assignment Form
Reverse Engineering of Java Programs through Static and Dynamic Analysis to generate Scenario DiagramsJitter Transfer and Jitter Tolerance Analysis of Bang-Bang Clock and Data Recovery Circuits
Signatures des circuits ASIC---approche pour determination des pannes systematiquesApplication des systemes de calcul a haute performance dans les etudes electrothermiques a l'echelle nanoscopique
Wavelength Conversion in Domain-Disordered Quasi-Phase Matching Superlattice WaveguidesWide Dynamic Range RF Front-End Circuits for DVB-H Mobile TV Applications
Enhanced Topology Control Interference Aware Channel Assignment AlgorithmCell Based Synthesized Low Noise All Digital Frequency Synthesizer, 0.13mum CMOS and FPGA Implementations
A Sparse Auditory Envelope Representation with Iterative Reconstruction for Audio CodingA variable duty cycle maximum power point tracking algorithm for wind energy conversion systems
Mesures et identification des parametres de diverses configurations de transformateurs triphases et etude des courants magnetisants
Burst-train generation for femtosecond laser filamentation-driven micromachiningDefense contre l'attaque d'analyse de trafic dans les reseaux de capteurs sans fil (WSN)Multiple pass strategies for improving accuracy in a voice search applicationCooperative communication in wireless networks: Algorithms, protocols and systems
Shape Engineered Nanoparticle Fabrication for Biomedical ApplicationsUsing Reinforcement Learning in Multi-Robot SLAM
Limited-Area Growth of Germanium and Silicon-Germanium on Silicon
A Benchmarking Suite for Comparing Middleware PerformanceShape Dynamic AnalysisSolutions for radar pulse deinterleavingLocal Stopping Rules for Gossip AlgorithmsReconfigurable Antennas for Wireless Network SecurityModeling and simulation of graphene nanoribbon electronicsComponents and Techniques for High-Speed Optical CommunicationsHigh-Density Spring Interconnects for High-Power Electronics Packaging
Single-crystal Germanium Growth on Amorphous Silicon
A Smart Home Networking Simulation for Energy Saving
Silicon Hybrid Plasmonic Waveguides and Passive DevicesFPGA-Based Pulse Processing for Positron Emission TomographySenseFace: Towards a Context-Aware Social Network FrameworkStochastic Decoding of Low-Density Parity-Check Codes
Computer Aided Diagnosis for Cone Beam Breast CTSequential Decision Making in Decentralized Systems
Subthreshold CMOS logic design using parallel transistor stacksCompact antennas and superlenses using transmission-line metamaterialsASPIRE: Adaptive Service Provider Infrastructure for VANETs
Energy-Efficient Relay Cooperation for Lifetime Maximization
Scalable multimedia communication using network coding
Track fusion in multisensor-multitarget trackingUltra Low Power CMOS DesignShannon Theory for Compressed Sensing
Automatic Planning of 3G UMTS All-IP Release 4 Networks with Realistic Traffic
Evaluation of UWB Beamformers in a Wireless Channel and Potential Microwave Implementations
Beamforming of Broadband Bandpass Signals using Multidimensional FIR Filters
Improved Pressure Sensitive Paint Measurement Using Natural Feature Tracking and Piecewise Linear ResectionMMIC based Doherty Amplifiers for High-Frequency Wireless Communications
New Iterative Decoding Algorithms for Low-Density Parity-Check (LDPC) Codes
TCG-Based Placement Design Handling Complex Topological Constraints for Integrated Circuit Layouts
Core-Shell Heterostructured Nanowires on Foreign Substrates for use in Opto-Electronic ApplicationsWide-band Low-Noise CMOS Amplification Stage for a Square Kilometre Array Receiver
Effectiveness of Reactive Power Sources for Power System Performance Enhancement
Direct AC control of grid assetsHigh Performance IC Clock Networks with Grid and Tree Topologies
Comparative Performance Study of LTE Uplink Schedulers
Data synchronization in mobile databases
Circuit and system modeling for nanomaterial based devices
Objective Assessment of Dysarthric Speech Intelligibility
Fault Diagnosis of Sampled Data SystemsObservable Autonomous SLAM in Two-Dimensional Dynamic EnvironmentsNetwork Clustering in Vehicular Communication Networks
Robust Lossy Source Coding for Correlated Fading Channels
Spatial acoustic signal processing for immersive communicationCutting the Last Cord with Wireless PowerTransient and Permanent Error Management for Networks-on-ChipAugmenting Wireless Security Using Zero-Forcing Beamforming
Design Methodology for the Control of Planar Transformer Parasitics
Single and Multiple User Pair Cooperation Schemes with Delay Issues
Time Synchronization and Localization in Wireless NetworksDual Domain Echo Cancellation for Discrete Multitone SystemsFAx86: An Open-Source FPGA-Accelerated x86 Full-System Emulator
High-Index-Contrast Electromechanical Optical Switches
Wireless signals and male fertility
Relay Attack in RFiD Systems Analysis and Modeling
Adaptive Random Linear Network Coding with Controlled Forwarding for Wireless Broadcast
Study of parallel MATLAB techniques and its application to image processing
Photonic generation and processing of microwave arbitrary waveforms based on advanced fiber Bragg gratings
Effects of energy storage on mitigating momentary power interruptions in power distribution systemsDesign of Low-Voltage and Low-Distortion CMOS RF Integrated Circuits Using Volterra Analysis
Prototypage rapide a base de FPGA d'un algorithme de controle avance pour le moteur a induction
Analog Correlation of Low Data Rate UWB Impulse Radio for Medical Applications
Millimeter-Wave Analog to Digital Converters: Technology Challenges and Architectures
Numerical Analysis of Electromagnetic Textiles and Application in Emergency Communication Network
Augmented Kalman filter and map matching for INS/GPS integration for land vehicles
Target-based Association Rules for Point-of-Coverage wireless sensor networkComputer-aided Detection of Architectural Distortion in Prior Mammograms of Interval-cancer Cases
A Variable Gain Low-Noise Amplifier for Use in an Integrated Television Tuner
Performance Trade-Off Analysis in Bidirectional Network Beamforming
Fringing Electric Field Sensors: Design and ApplicationsRadar Remote Sensing of Arid Regions
Design Recovery and Automated Evolution of C++ bit fields
Advanced Nonintrusive Load Monitoring System
Collaborative Estimation in NetworksFractional Quantum Hall Effect in Wide Quantum WellsHigh Temperature Inductively Coupled Wireless Oxygen SensorEfficient hardware implementation techniques for digital filters
Multi-Edge Low-Density Parity-Check Coded ModulationCircuits for MM-wave Radio and Radar Transceiver Front-Ends
CMOS-MEMS Enhanced Power Amplifiers
Integrated Photonic Analog-To-Digital Converters
Event-based compression circuits for neural recordingAnchor Node Placement for Localization in Wireless Sensor NetworksData hiding in digital videoEfficient Resource Allocation in Multiflow Wireless NetworksStochastic Models of Logic ComputingSynthesis and Design of Novel Dual-Mode Microwave Filters
Studies of advanced integrated nano-photonic devices in silicon
New Metrics on Image Articulation Manifolds Using Optical Flow
Reliable and Energy Efficient Cluster-Based Wireless Sensor NetworksPower Grid Correction Using Sensitivity Analysis Under an RC Model
Source Node Expansion Algorithm for coherency based islanding of power systemsIntegrated communication and radar scheme for future intelligent transportation systems
Performance Evaluation of Multimedia Broadcast Applications with Network Coding in Ad hoc Wireless Mesh Networks
Inter-Cell and Intra-cell Interference Coordination in Cellular Networks with Highly-Sectored Base StationsDesign and Implementation of High- Performance, Compact Frequency Diplexers for High Data Rate Wireless mm-W Communication Links
Tunable ferrite phase shifters using substrate integrated waveguide technique
Nonlinear Modeling of Gallium Nitride Transistors and Switching-Mode Power Amplifiers Design for Dual-Band Wireless Applications
An assessment of the technical and economic benefits of distributed generationPose and Motion Estimation of Parts Exhibiting Few Visual Features for Robotic Marking of Deformations
Demonstration of Monolithically Integrated Graphene Interconnects for Low-Power CMOS Applications
Target tracking using the fast orthogonal search algorithm A windowed approach
Controller design in the iteration domain for discrete repetitive processes: Robust tracking, adaptive, and optimal strategies
Stable and Chaotic Electrical Pulse Oscillators
Reversible battery charger for electric vehicles
Algorithms and fast implementations for sensing systems
Intrusion Resilient and Real-Time ForensicsControl architecture of survivable multi-domain optical networks
A Pattern-Guided Adaptive Equalizer in 65nm CMOSMessage Passing Algorithms for Facility Location ProblemsNanostructure-Mediated Launching and Detection of 2D Surface PlasmonsDistributed Resource Allocation Algorithms for Digital Subscriber LinesEnergy constrained link adaptation for multi-hop relay networksLow-complexity Soliton-like network coding for a resource-limited relayBeamforming Based MIMO Processing With Closely Spaced Antennas
Focus tunable microlens arrays on curvilinear surfaces
Contact Detection for Nanomanipulation in Scanning Electron Microscope
Physics and Micromagnetic Analysis of Advanced Recording TechnologiesReliable data communication and storage in underwater acoustic networks
Assertion based debugging and monitoring of distributed systems
Energy-efficient Wireless Sensors: Fewer Bits, Moore MEMS
A Study of Micromachined Displacement Pumps for Vacuum Generation
Clock and data recovery for high-speed ADC-based receivers
High-power photodiodes
Secure and Efficient Cross-Layer Techniques For Low-Power Wireless Embedded SystemsTerm Selection for Nonlinear Systems and Convexity Analysis of the Hammerstein Model
RFID Tag Identification Protocol Implementing Threshold-Based Dynamic Framed Slotted Aloha Policy
High-Frequency Performance Projections and Equivalent Circuits for Carbon-Nanotube Transistors
Proper Orthogonal Decomposition (POD): Application to Finite Element Analysis of Electromagnetic Diffusion
Single-Microphone Speech Dereverberation: Modulation Domain Processing and Quality AssessmentA Method of Lowering the Complexity of the Sum-Product Algorithm Using Graph TransformationsOutage in Large Wireless Networks with Spectrum Sharing under Rayleigh FadingWideband Spectrum Sensing for Cognitive Radios in the Presence of Correlation Between Subband Occupancy
Design, test and characterization of a compact MEMS-based frequency synthesizer
Etude d'un compensateur statique pour eoliennes a vitesse fixe a base de generatrice asynchrone a cageFabrication of Photonic Crystal Optofluidic Devices for Electrochromatography and Spectroscopy on a Chip
Video quality enhancement through End-to-End distortion optimization and enriched video traces
Measurement of the electronic density of states of graphene oxide using capacitive photocurrent spectroscopy
Control of Stochastically Interacting Particles: With Applications to RoboticsPhotonic devices utilizing subwavelength light confinement
Neural network based off-line handwritten text recognition systemExtending Plasmonics in Semiconductors to Higher Operating FrequenciesFabrication of Diffractive Optical Elements by Electron Beam Lithography
Spectrum-Sensing Threshold Designs for Cognitive RadiosReal-time electronic sound analysis system with graphical user interface
Bit-Error-Rate and Capacity Estimation in Wireless Networks
Fusion of visual and thermal images using genetic algorithmsA high-speed reconfigurable system for ultrasound research
Techniques for High-Efficiency Outphasing Power Amplifiers
Design of Discrete-Time Filters for Efficient Implementation
Analyse parametrique des reseaux electriques sur Matlab
Evaluation of DSRC For V2V communicationsTrust-based Communication in Wireless Cooperative Relaying NetworksLow-power Multi-Gb/s Wireline CommunicationLight Localization in Coupled Optical WaveguidesTree Encoding in the ITU-T G.711.1 Speech Coder
Efficient Solar Cells Using Ultrafast-Laser Micro-Textured Silicon Surfaces
Analysis of and Techniques for Adaptive Equalization for Underwater Acoustic Communication
Advanced Signal Processing Techniques for Impairments Compensation and Linearization of SISO and MIMO Transmitters
Reactive-Greedy-Reactive in Unmanned Aeronautical Ad-hoc Networks: A Combinational Routing Mechanism
Low-cost multi-element capacitive monitor for measuring levels of substances in storage tanks at oil fields
Investigating and Optimizing Carrier Transport, Carrier Distribution, and Efficiency Droop in GaN-based Light-emitting Diodes
A secure and compromise-resilient architecture for advanced metering infrastructure
Detection, protection, evolution et test de defaillances a l'aide d'un modele inter-procedural simpleSequential Monte Carlo Methods for Stochastic Dynamical Systems with Constraints and Unknown ParametersIntegrated machine learning and signal understanding for movement disorder recognitionInvestigation of High-k Dielectrics and Metal Gate Electrodes for Non-volatile Memory ApplicationsDesign Techniques for Frequency Synthesizers in Highly Scaled CMOS TechnologiesSilicon-Based Integrated Circuits for W-Band Fully Integrated Passive ImagingDevelopment of a multi-physics simulation framework for semiconductor materials and devicesArchitectural Exploration and Design Methodologies of Photonic Interconnection NetworksOptimisation des plages dynamiques des convertisseurs analogique-numerique Sigma-DeltaRobust design with increasing device variability in submicron CMOS and beyond: A bottom-up framework
Adaptive Memory Power Management Techniques for HPC Workloads
Design and Optimization of Low-power Level-crossing ADCs
Using cognitive radio as an intrusion detection for ZigBee networks
Spectrum Sensing and Sharing in Cognitive Radio Networks
Stochastic modeling of cooperative wireless multi-hop networks
Signal analysis using raised cosine empirical mode decomposition
Electrothermal Analysis of Three-Dimensional Integrated CircuitsDesign and optimization of microstructured optical fiber sensors
Economics of Spectrum Allocation in Cognitive Radio Networks
Preemption control of multi-class loss networks
A prototype security hardened field device for SCADA systemsBand-Pass Filter Feedback System for Use in AM Radio Receivers
Commande de satellites flexibles actionnes par des propulseurs tout-ou-rien
Real Robustness Radii and Performance Limitations of LTI Control Systems
Design of a 1.2V Gilbert Cell Mixer for Wireless Sensor NetworksSpace-time coding for asynchronous cooperative relay networks
Direct position detection and localization of emitters using distributed sensors
A New Method for Preventing Bursting in Adaptive Control Using an Introspective Neural Network Algorithm
Interactions of electromagnetic waves with micro/nano particles: Manipulation and characterizationCoding Theorems for Delay Sensitive Communication over Burst-Erasure ChannelsFirst-principle theory of high field carrier transport in semiconductors with application to the study of avalanche photodiodes
Empirical Physical Modeling Methods for Bowed-String and Wind InstrumentsUtilizing ElectroCorticoGraphic (ECoG) Signal Characteristics to Realize Low-Power Brain-Computer Interfaces (BCIs)
Design, modeling and noise measurement of oscillators using a large signal network analyzer
Discovering Deep-web Sources and Extracting Content using Automated Query GenerationBaseband receiver design and efficiency enhancement for multiband orthogonal frequency division multiplexed systemsSignal reconstruction from nonuniform samples using prolate spheroidal wave functions: Theory and application
Hidden Markov Model-based Formulations of Sensor Scheduling in Dynamic Environments
Hardware-efficient VLSI implementation for parallel linear-phase digital FIR filterCharacterization of Graphene Field-Effect Transistors for High Performance Electronics
Theory and Applications of Microstrip/Negative-Refractive-Index Transmission Line (MS/NRI-TL) Coupled-line Couplers
Realisation d'un systeme de conversion des couleurs pour un capteur d'images CMOS a photodetecteur sans filtre optique
Real-time optimal decisions in sequential decision processes with uncertain, exogenous-inputsComponent Modeling and Three-Phase Power-Flow Analysis for Active Distribution Systems
High density crossbar structure for memory applicationEdge directed resolution enhancement and demosaicingDesign of mobile and static sensor fabrics
Modeling and Application of a Thermoelectric GeneratorImplementation of fast fourier transform processor in null convention logic
Improving the performance and security of multi-hop wireless networks
Variability aware analysis and optimization of VLSI circuitsCircuit-Field Interaction Modeling of Distributed Linear CircuitsPower-invariant magnetic system modelingThe perceived usefulness of distorted natural images
Arsenic trisulfide on lithium niobate devices for infrared integrated optics
Enhancements in Volumetric Surgical SimulationDirect spatial antenna modulation
SHA-less pipeline ADC design with sampling clock skew calibration
Control of a large scale wind turbine utilizing a fluid driveSpatio-temporal reconstruction for gated cardiac spect
Effects of image compression on data interpretation for telepathology
Novel Microwave Passive Devices For Dual-Band Applications
Individual carbon nanotubes for quantum electronic and quantum photonic devices
Development of III-Nitride Bipolar Devices: Avalanche Photodiodes, Laser Diodes, and Double-Heterojunction Bipolar Transistors
A beam steering, broadband microstrip antenna for non-contact vital sign radar detectionSurge Control of Active Magnetic Bearing Suspended Centrifugal CompressorsMiniaturized Antennas and Metamaterial-Based Transmission Line Components in Microwave Circuits Applications
Numerical modeling of wave propagation in strip lines with gyrotropic magnetic substrate and magnetostaic waves
Phase-matching second-order optical nonlinear interactions using Bragg reflection waveguides: A platform for integrated parametric devicesExploitation of Phase and Vocal Excitation Modulation Features for Robust Speaker Recognition
Security policy management, threat alleviation and trusted platforms for embedded computing systems
Control measures for ancillary services in microgrids with renewable energy resourcesQuantitative Microfluidic Dynamics Of Spheroidal Particles Within Periodic Optical LandscapesIntraoperative brain shift estimation using vessel segmentation registration and trackingLow Density Parity Check (LDPC) Codes for Dedicated Short Range Communications (DSRC) SystemsBroadband Guided Microwave and Millimeter Wave Transitions and Their ApplicationsUtilizing multiuser diversity in multicast transmissions and geographic communicationsInnovative microwave and millimetre-wave components and sub-systems based on substrate integration technology
Storage Channels with Write Errors: Two-dimensional Magnetic Recording and Advanced Memory Stystems
Pilot tone and energy detection for spectrum sensing
Optimization of handoff between CDMA and WLANRegularized Estimation of High-dimensional Covariance Matrices
Analysis and design of systems with a non-negative impulse responseNew techniques for quantum communication systems
Runtime Management of Energy-Fidelity Tradeoffs in Body Sensor Networks
Analysis and Design on Low-Power Multi-Gb/s Serial Links
Numerical algorithm of thermal analysis in 3D IC
Performance-Driven Energy-Efficient VLSI
Wide-Bandwidth, High-Resolution Delta-Sigma Analog-to-Digital Converters
A parallel integer programming approach to global routing
Online Learning for Energy-Efficient Multimedia Systems
Analysis of the concentric planetary magnetic gear
Radio Frequency Front-End Measurement and ModelingThe role of singly-charged particles in microelectronics reliabilityAntenna-coupled unbiased detectors for LW-IR regime
Low-Latency Energy-Recovery Circuitry
Unified point-edgelet feature tracking
A low-complexity approach for motion-compensated video frame rate up-conversionEfficient Multiuser Cooperative Relay Communications Employing Layered ModulationsHydrogenated Amorphous Silicon Carbide Prepared using DC Saddle Field PECVD for Photovoltaic Applications
Design and optimization of configurable passive components for CMOS millimeter-wave integrated circuits
High fidelity mobility model simulation for a DVB-H terminal communication system
Color-based surface reflectance separation for scene illumination estimation and rendering
Etude et realisation d'une interface homme-machine dediee a des personnes a mobilite reduiteData-driven transform optimization for next generation multimedia applicationsAlgorithm development and hardware architecture for fast motion estimation in H.264/4VC
Innovative Fiber-based Interferometers for Optical Signal Processing in Next-generation Communication NetworksEnhanced computerized surgical planning system in craniomaxillofacial surgeryGrowth and characterization of indium gallium nitride/gallium nitride quantum well and quantum dot light emitting diodes and lasers
Automatic Annotation of Spoken Language Using Out-of-Domain Resources and Domain AdaptationImage processing algorithms for prostate cancer localization with multispectral magnetic resonance imaging
A handover technique to allow low latency handovers between cellular and non cellular networksOn nonparametric measures of dependence and conditional independence: Theory and applications
Charge Trapping Flash Memory With High-k Dielectrics
Multi-scale optimization using a genetic algorithm
Fold change detection in 3-node enzymatic networks
CPM-SC-IFDMA---A Power Efficient Transmission Scheme for Uplink LTE
Efficient spectrum sensing and utilization for cognitive radioModulation of the N400 by semantic and knowledge violations during sleep
Bit error rate locked loops using log-likelihood error correction decoders
A Time-Varying Feedback Approach to Reach Control on a Simplex
Large-scale simulation of electric power systems for wind integration
Interference management in wireless networks
Advanced Silicon Microring Resonator Devices for Optical Signal ProcessingImmune Radio Architecture for Platform Interference
Securite dans les reseaux mobiles de nouvelle generation
Denial of Service Intrusion Detection System for SIP-based VoIP
Impact of Wind Energy on the Operation of Power Systems
Accuracy-energy tradeoffs in digital image processing using embedded computing platforms
Iterative methods for electrical impedance tomography in the mammography geometryDigital control of 2-quadrant and 4-quadrant switched reluctance motor drivesElectromagnetic scattering properties in random media and its applications in snow remote sensingFlexible-assignment and Redundancy-based Calibration in Current Steering DACs
Wideband Phase and Frequency Synthesis Techniques for Wireless Communication CircuitsMitigating congestion by integrating time forecasting and realtime information aggregation in cellular networks
Nonlinear Identification of Two Different Closed-Loop Models Using Separable Least Squares Methods
Convolutional Neural Networks for Speaker-Independent Speech Recognition
Online monitoring of turn insulation deterioration in mains-fed induction machines using online surge testing
Integrating renewable energy resources with energy storage for grid-connected systemsCalculations of protective relay settings for a unit generator following catastrophic failure
Analysis and Implementation of eSTREAM and SHA-3 Cryptographic Algorithms
Development of an implicitly coupled electromechanical and electromagnetic transients simulator for power systems
Design and implementation of switched capacitor based embedded DC-DC buck converterA multi-channel integrated readout circuit (MIROC) chip for solid state charged particle detectors
Multiple Antenna Broadcast Channels With Random Channel Side InformationDesign of power delivery networks for noise suppression and isolation using power transmission lines
Segmentation, recognition, and alignment of collaborative group motion
Powerline communications systems: Overview and analysis
Parameter estimation in imaging Fabry-Perot Doppler spectroscopy
Adaptive optics wide-field microscopy using direct wavefront sensing
Role of sparsity in high dimensional signal detection and estimation
Unmanned small vehicle control
Design of Flash ADCs with Large Offsets using Redundant Comparators
Quasi-Real Times Fluorescence Imaging with Lifetime Dependent Contrast
Digitally-assisted mixed-signal wideband compressive sensing
Go Artificial Intelligence Using Monte Carlo Tree Search Methods
Kalman filtering approach to optimize OFDM data rate
Optimal control of dynamic systems using orthogonal functions
Testing Signal Integrity Faults in VLSI Circuits
Universal Adaptive Optimal Control
Novel Structures for High-Speed Delta-Sigma Data ConvertersAlgorithms and protocols for multi-channel wireless networksCarbon nanotube field-effect sensors for single-molecule detection
A comparative analysis of VLSI circuit partitioning techniques
Pilot signal design for estimation of sparse channels with application to cooperative systemsAutomatic Approach and Implementation for Modeling Low Dropout Linear Regulators
First simulink benchmark for off-line and real-time simulation of more-electric aircraft (MEA) electrical power systemUnderstanding and Controlling Angular Momentum Coupled Optical Waves in Chirally-Coupled-Core (CCC) Fibers
A high-speed two-step analog-to-digital converter with an open-loop residue amplifierEnhancing the Diagnostic Process for Legacy Test Program Sets using Fault Modeling and Dynamic Reasoning
Pulsed RF Circuits for Ultra Wideband Communications and Radar ApplicationsMethodologie de verification d'un processus adaptatif utilisant la mesure de non-conformite
Dielectric charging in capacitive RF MEMS switches with silicon nitride and silicon dioxide
Millimeter-wave Gallium Nitride High Electron Mobility Transistors and Their Integration with Silicon Electronics
Information rates and capacity for multi-antenna cellular systems with fadingEfficient Representations of Signals in Nonlinear Signal Processing with Applications to Inverse Problems
Brain Computer Interface (BCI) using Steady State Visual Evoked Potentials (SSVEPs)Development and validation of quantitative imaging methods for patient-specific targeted radionuclide therapy dosimetryImage segmentation: Image models, regularizations and energy optimization
A bidirectional two-hop relay network using GNU radio and USRPA 2Gbps Optical Receiver with Integrated Photodiode in 90nm CMOS
Approximate graph matching for software engineering
Image and video compression and copyright protection
EMI Filter Design and Optimization for Three-Phase Motor Drive Systems
Fabrication of Micron Scale Retroreflectors for Novel BiosensorsThick Film Packaging Techniques for 300 deg C OperationFully Integrated Wireless Sensors for Body Area Networks
Nonlinear Optical Properties of Highly Aligned Carbon Nanotubes
Magnetic Levitation Based on Switched Reluctance Actuator
Algorithms for Tracking in Clutter and for Sensor RegistrationHighly Digital Power Efficient Techniques for Serial Links
Probabilistic space maps for speech with applicationsModel-Based Image Reconstruction for THz Imaging SystemsUse of Earth's Magnetic Field for Pedestrian Navigation
On Learning in Problems with Geometric Constraints
On the radius of convergence of interconnected analytic nonlinear systems
Cortical columns: A non von Neumann computational abstraction
A Millimeter-Wave Spatial Power Combiner Using ERG Waveguides
Development of a Cantonese-English Code-mixing Speech Recognition SystemSurface plasmon modes of curved thin metal films and curved cylindrical metal nanowiresAdaptive Numerical Techniques for the Solution of Electromagnetic Integral Equations
New Q-Enhanced Planar Resonators for Low Phase-Noise Radio Frequency Oscillators
Analysis, Modeling and Design of Energy Management and Multisource Power SystemsDesign of an Integrated Complex Filter System for RF Applications Using Log-domain Filtering
A Cross-Layer Paradigm for Throughput and Energy Optimization in Distributed and Application-Centric Wireless Sensor Networks
A Study of Non-equilibrium Spins in III-V Semiconductors for Spintronics ApplicationsInterface and size effects on titanium nitride-based nanostructured thin filmsFocused excimer laser initiated and radio frequency sustained plasma formation in high pressure airTime domain spectral method and its application on antenna array and PCB trace with periodic roughness1-D Modeling and Inversion of Triaxial Induction Logging Tool in Layered Anisotropic Medium
Proof-of-Concept Experiments of Hydrogen Generation by Solar Water Splitting by Using III-Nitride Alloys
Information Extraction with Network Centralities: Finding Rumor Sources, Measuring Influence, and Learning Community StructureHybrid-State System Modelling for Control, Estimation and Prediction in Vehicular AutonomyReliable and efficient communication in wireless underground sensor networks
Design of Optimal Directional Parametric Acoustic Arrays in Air
Key Receiver Circuits for Digital Beamforming in Millimeter-wave Imaging
Extreme Power-Constrained Integrated Circuit Design
Colorization in Gabor Space and Realistic Surface Rendering on GPUs
Absolute stability of large-scale Lur'e-Postnikov systemsHigh Power Switching Device SPICE Models Based on Circuit ResponseNanostructured thin film electrolyte for thin film solid oxide fuel cells
Cross-layer platform for dynamic, energy-efficient optical networks
The topological structure of singular observer-based compensators
Machine Learning for Flow Cytometry Data AnalysisHigh-Performance Image Registration Algorithms for Multi-Core ProcessorsBuilt-in Self-Test and Calibration of Mixed-signal DevicesSpectrum Sensing in Cognitive Radio Networks
Hardware emulation of wireless communication fading channels
Glitch Reduction and CAD Algorithm Noise in FPGAsHigh Efficiency Distributed Solar Energy Conversion Techniques
A digital interface for wireless networks
Nanoimprint lithography for functional polymer patterning
Silicon photonics for high-performance interconnection networks
Channel estimation in a two-way-relay network
Managing Temperature Effects in Nanoscale Adaptive SystemsEfficient tracking of public transit system in urban environment
A Time-Interleaved Zero-Crossing-Based Analog-to-Digital Converter
NEVA: An Automatic Summarizer for Narrative Texts
High Quality Factor Asymmetric-slope Band-pass Filters
All-Dielectric Photonic-Assisted Radio Front-End Technology
Fault tolerant signal processing for VLSI circuitsEffects of Silicon Variation on Nano-Scale Solid-State Memories
Novel Three State Quantum Dot Gate Field Effect Transistor: Fabrication, Modeling and Applications
GMTI radar track segment association and out-of-sequence measurement processingType-II Strained Layer Superlattice Longer Wavelength Infrared Avalanche PhotodiodesModeling analysis of the simulation of the ballistic deflection transistor as a frequency mixer using ATLAS MixedMode module
Airblue: A Highly-Configurable FPGA-Based Platform for Wireless Network Research
Robust Multidimensional Signal Processing with Application to Antenna and Image Sensor Arrays
A High Temperature, High Speed Voltage Comparator with Configurable Hysteresis
Highly Efficient Dynamic Supply Modulator for Mobile Communication Systems
Exploring Virtualization Techniques for Branch Outcome Prediction
Fast detection and mitigation of cascading outages in the power system
Spoken Language Identification with Prosodic Features
Generalized Diversity Gain of a Mobile AntennaDesign of Reliable and Energy-Efficient Nanoscale Integrated SystemsModel Selection in Kernel Methods
Verilog-A modeling of PMC memory cell
Predicting meat quality measures from ultrasound images of beef cattle
Comparative study on various radio frequency spectrum sensing techniques
On Optimal Transmissions in Interference Networks
High frequency, high efficiency, fully integrated DC-DC converter systemNoise Suppression in Electrical Distribution Systems Using Novel Filters
Fast Pattern Matching and its Applications
Optimal Aggregator Bidding Strategies for Vehicle-to-Grid
Investigation and design of ultra wideband printed dipole array antennas
Practical Algorithms for Interference Mitigation in MIMO Systems
Effects of voltage transients on the performance of residential loads
CMOS-MEMS Variable Capacitors for Reconfigurable RF CircuitsModel-based detection in cyber-physical systems
Low Temperature Lithographically Patterned Metal Oxide Transistors for Large Area ElectronicsEfficient Superconducting-Nanowire Single-Photon Detectors and Their Applications in Quantum Optics
Sensing and control electronics design for capacitive CMOS-MEMS inertial sensorsCarbon Nano-Material Based Saturable Absorbers and their Application to Mode-Locked Lasers
Modeling Spintronics Devices in Verilog-A for use with Industry-Standard Simulation ToolsModulation and multiple access techniques for ultra-wideband communication systemsDesign and Analysis Techniques for Nano-Joule ADCs and Sampling Linearity
Quantitative Interference and Capacity Analysis of Broadband Multi-Hop Relaying NetworksOptical Trapping and Manipulation by Surface Plasmon Resonance StructuresAn Efficient Design of 2-D Digital Filters Using Singular Value Decomposition and Genetic Algorithm with Canonical Signed Digit (CSD) Coefficients
Infrared Photodetection and Related Studies in a Modulation-Doped Heterostructure
Antimony-heterostructure backward diodes for direct detection and passive millimeter-wave imaging
Stochastic optimization of energy for multi-user wireless networks over fading channels
Application Performance-Driven Design and Management of Sensor Networks
Interactive source coding for function computation in networksCDN-based Multipath Overly Routing for Real-Time Traffic
Reconfigurable silicon photonic devices for optical signal processingFeedback Control of a Bipedal Walker and Runner with ComplianceMEMS-Inspired Digitally-Assisted Archiectures for Low Power Transceivers
Gain improvement of erbium-doped amplifiers for the feedback filtersPassive wireless wall shear stress sensorsA microfabricated platform for three-dimensional microsystems
OpenCL framework for a CPU, GPU, and FPGA Platform
Low noise distributed amplifiers in broadband communication systems
Practical Interference Avoidance Protocols for Cognitive Radio NetworksDegrees of freedom of wireless interference networkCircuit and CAD Solutions for Optimal SRAM Design in Nanoscale CMOSDesign Techniques for High-Performance Digital PLLs and CDRs
Site-Controlled III-Nitride Quantum DotsA low bandwidth pulse-based neural recording systemSilicon-Carbide-Based Avalanche Photodiodes for Ultraviolet DetectionImplementing Energy Parsimonious Circuits through Inexact Designs
High efficiency devices based on slow light in photonic crystals
Coincidence Detection in the Cochlear Nucleus: Implications for the Coding of Pitch
Mathematical Programming for Multi-Vehicle Motion Planning Under Communication Constraints
Ultrafast Nonlinear Optical Properties of Passive and Active Semiconductor DevicesSoftCast: Exposing a Waveform Interface to the Wireless Channel for Scalable Video BroadcastMSE-Based Linear Transceiver Designs for Multiuser MIMO Wireless Communications
High Performance Zero-crossing Based Pipelined Analog-to-Digital Converters
Application of Compressive Sensing and Belief Propagation for Channel Occupancy Detection in Cognitive Radio Networks
Immune System Based Control and Intelligent Agent Design for Power System ApplicationsDesign, characterization and testing of a thin-film microelectrode array and signal conditioning microchip for high spatial resolution surface laplacian measurement
Improving message-passing performance and scalability in high-performance clustersSparse Measurement Systems: Applications, Analysis, Algorithms and DesignMEMS and Robotics-Based Manipulation and Characterization of Micro and Nanomaterials
Coding techniques for information-theoretic strong secrecy on wiretap channelsCognition and Cooperation in Wireless Networks: An Information Theoretic PerspectiveMulti-level Distributed Collaborative Mission Planning for the Maritime Operations Centers
Investigating the origin of the switching field distribution in bit patterned media
Adaptation de l'approche de test CDIDDQ aux circuits programmables FPGA
Spatial light interference microscopy and applications
Regularized Statistical Material Decomposition in Medical Imaging
SNP Data Analysis in Genome-Wide Association Studies
Performance of dual hop relay systems with imperfect CSIA comparison of radiation tolerance of different logic styles
Extractive speech summarization using structural modeling
Wireless micromachined gas discharge-based radiation detectorsOverlay Architectures for FPGA-Based Software Packet Processing
Battery evaluation and battery management system
Sensor Scheduling Under Energy Constraints
Robustness analysis and validation methods for feedback control laws
Spectroscopic investigation of arc over an ice surface
Technology independent synthesis of CMOS operational amplifiers
Uplink Measurements Based Positioning of Mobiles in Cellular Networks
Microwave lenses for high-power phased-array applications
Conception et implementation d'un gestionnaire de flux SIP
Approximate Bayesian Inference for Robust Speech Processing
Physics, Compact Modeling and TCAD of Silicon Germanium HBT for Wide Temperature Range Operation
Design, fabrication, and characterization of field-effect and impedance based biosensors
Manipulation and Separation of Nonmagnetic Particles via FerrohydrodynamicsOn-chip NBTI and Gate-Oxide-Degradation Sensing and Dynamic Management in VLSI circuitsFunctional Fourier-domain optical coherence tomography for guiding vitreoretinal surgerySub-Nyquist rate sampling data acquisition systems based on compressive sensing
Convertisseur analogique-numerique a approximations successives operant a 1-V dans un procede CMOS submicronique
Advances in filter miniaturization and design/analysis of RF MEMS tunable filters
A new silicon dioxide-silicone hybrid insulator for amorphous silicon thin film transistorsPolarization-Insensitive Tunable Optical Filters based on Liquid Crystal Polarization GratingsDesign and analysis of an unconventional permanent magnet linear machine for energy harvestingPerformance analysis and enhancements in intrusion detection techniques for wireless local area networks
Innovative traveling-wave optoelectronic devices for radio over fiber and terahertz applicationsElectronic and optoelectronic devices enabled by transferrable semiconductor nanomembranes
Enhanced Discrimination Techniques for Radar Based On-Metal Identification Tags
Thermal constrained test scheduling for deep submicron technologies
Applications of Quantized Discrete Event Simulation Methods
PCI Express-based Ethernet Switch
Any-Cap Low Dropout Voltage Regulator
Commande d'un bras exosquelette robotique a sept degres de liberte
Fabrication and Characterization of Pentacene Devices
Growth and Characterization of NanowiresDigital Fabric
Fundamental Limits and Constructive Methods for Estimation and Sensing of Sparse Signals
Neural adaptive control strategy for hybrid electric vehicles with parallel powertrainDesign and implementation of broad band and narrow band antennas and their applicationsEvaluation of Electromagnetic Coupling in Antenna Systems A Unified Theory and its ApplicationsInsect powered micro air vehicles and centimeter scale high energy density pneumatic sourcesPractical solutions to the non-minimum phase and vibration problems under the disturbance rejection paradigm
You can hear the shape of a room: Acoustic exploration and reconstruction of convex polyhedra
Multi-term approximation to the Boltzmann transport equation for electron energy distribution functions in nitrogenDistributed vector Gaussian source-coding and distributed hypothesis testingCorrelation of disinfection action of silver nanoparticles to silver ion release and reactive oxygen species generation characteristicsOptimized surface electromyogram (sEMG) signal processing and system identification for smart prosthetic hand controlExtended trust-tech methodology for nonlinear optimization: Analyses, methods and applications
The Integration and Applications of Organic Thin Film Transistors and Ferroelectric PolymersTransmission Expansion Planning with Large Scale Renewable Resource IntegrationThin-film Bulk Acoustic Resonators on Integrated Circuits for Physical Sensing ApplicationsCompressed Sensing Analog-to-Digital Converters for Biomedical ApplicationsSmartphone Application for m-health and environmental monitoring systems
Ultra sensitive magnetic sensors integrating the giant magnetoelectric effect with advanced microelectronicsConvergence of millimeter-wave and photonic interconnect systems for very-high-throughput digital communication applicationsPower system voltage stability and agent based distribution automation in smart gridA theoretical model of a lateral-field excited sensor element under liquid loadingMultimodal recognition using simultaneous images of iris and face with opportunistic feature selectionStrain-induced self-rolled-up semiconductor micro/nanotubes: Fabrication and characterizationSynthetiseur de frequences RF destine aux dispositifs medicaux implantablesEtude et realisation de matrices a commutation de faisceaux en technologie guide d'ondes integre au substrat
Exploiting Sparsity for Data Dimensionality-Reduction
Data-enhanced applications for power systems analysis
Continuous phase modulation for high speed fiber-optic links
Power Electronics Design for a Flapping-Wing Robotic Insect
Networks-on-Chips: Modeling, Analysis, and Design Methodologies
Thermal Flow Sensors for Intravascular Shear Stress AnalysisElectron Transport Properties in One-Dimensional III-V Nanowire Transistors
Efficiency optimization of PMSM based drive systemOptimum wireless power transmission for sensors embedded in concrete
Enhancing strain-encoded (SENC) MRI for breast and cardiac imaging
Haptic Controls in Cars for Safer Driving
A memory-optimized architecture for ECG signal processing
Interactive Laboratory for Digital Signal Processing in iOS Devices
Architecture d'un processeur dedie aux traitements de signaux ultrasoniques en temps reel en vue d'une integration sur puceData-driven pattern identification in complex systems using symbolic dynamic filtering
Employing Sparsity in the Joint Estimation of Sound Source and Acoustic Channel Parameters for Natural Sounds
An active thin-film cochlear electrode array with monolithic backing and curlPosition sensing of surface permanent magnet machine using high frequency signal injection
Effective channel estimation and efficient symbol detection for multi-input multi-output underwater acoustic communications
The fabrication and analysis of quantum-dot thin film light emitting diodes for use in displays technologies
Characterization and design of embedded passive circuits for applications up to millimeter-wave frequency
A Framework for Modeling Energy-Accuracy Tradeoffs in Neural Network-based Classification for Resource Constrained Embedded SystemsImage Sensors Employing Oversampling Sigma-Delta Analog-to-Digital Conversion with High Dynamic Range and Low PowerAccelerating Component-Based Dataflow Middleware with Adaptivity and HeterogeneityCompact device modeling and millimeter-wave oscillator design for III-V HBT technology
A high-voltage, high-current multi-channel arbitrary waveform generator ASIC for neural interface and MEMS applicationsAdaptation de capacite dans le reseau dedie de service pour la maximisation du beneficeStudy on error and image quality degradation in three-dimensional ultrasound imaging with a mechanical probeDry Electrodes for ECG and Pulse Transit Time for Blood Pressure: A Wearable Sensor and Smartphone Communication ApproachMBE growth of high performance nitride devices for energy, communications, and defense applicationsModeling, design, and characterization of through vias in silicon and glass interposersPerformance dependence on the nonlinearity and architecture of the room temperature ballistic deflection transistor for logic applicationsSecret key generation from excited source and its application to reciprocal wireless channel
Utilization of Metal Oxide Cathode Interfacial Layer on Donor/Acceptor Solar Cells
Educational applications of partial reconfiguration of FPGAs
Hydration Sensing in Biological Tissues with the Terahertz Band
Decentralized spectrum allocation schemes for cognitive radio networking
Chattering reduction and optimization of power convertersPaired Angle Multiple Compounding
Systematic design of multiple antenna systems using characteristic modes
Computational models of cell division
Low-power low-noise CMOS integrated circuits for bioelectronic applications
Evolving Nano-scale Associative Memories with Memristors
Framework for Crawling and Local Event Detection Using Twitter Data
Reduced order modeling and active flow control of an inlet ductEEG Data Analysis, Feature Extraction and Classifiers
Computer aided design tool for electric, hybrid electric and plug-in hybrid electric vehiclesPlasma Discharge in Water and Its Application for Industrial Cooling Water TreatmentAdvanced Bitstream Switching Techniques for H.264 Video Streaming and Multi-View VideoFilter-less Architecture for Multi-Carrier Software Defined Radio TransmittersTheoretical and Algorithmic Methods for Integrated Design of Gyrotron Internal Mode Conversion SystemsJoint specific emitter identification and tracking using device nonlinearity estimation
Investigating the Use of Autonomic Cloudbursts within the MapReduce FrameworkAging and irradiation response of 1/F noise in metal oxide semiconductor devicesElectrically Guided Assembly of Nanostructures for Bio-Sensing and Tissue Engineering
Self-Healing Millimeter-Wave Receiver for Ultra High Speed Digital Communication
Titanium nitride/hafnium dioxide/silicon dioxide/silicon gate stacks reliability: Contribution of hafnium dioxide and interfacial silicon dioxide layerConsensus building in sensor networks and long term planning for the National Airspace systemBreakdown behavior and optimization of AlGaAs/InGaAs pseudomorphic high electron mobility transistorsA New Green's Function Monte Carlo Algorithm For the Two-Dimensional Wave Equation
Broadening spectral response in solid-state dye-sensitized solar cells via Forster Resonance Energy TransferImplementation of new reconfigurable fir filter architecture with look up table and common subexpression elimination algorithm
Development of system level integration of compact RF components on multilayer liquid crystal polymer (LCP)How to efficiently utilize multi-hop wireless ad hoc networks --- Throughput improvement and mobility support
Enhancement of microgrid dynamic voltage stability using microgrid voltage stabilizerVariational image processing algorithms for the stereoscopic space-time reconstruction of water waves
Activity recognition using Grey-Markov Model
Performance improvements and applications of half-controlled-converters
Investigation of multi-frequency power transmission and system
Solid-state nanopore sensors for nucleic acid analysis
A nanomembrane detector for time-of-flight mass spectrometry
Novel Silicon-Embedded Magnetic Devices for Power Electronic Applications
Game theoretic analysis and design for network security
Distributed synchronization algorithms for wireless sensor networks
Lifetime and coverage studies on wireless sensor networks
Power Line Sensor Networks for Enhancing Power Line Reliability and Utilization
Ordonnancement equitable dans la couche MAC avec detection multi-usagers dans les reseaux ad hoc
Using Manifold Geometry in Image Analysis: Shape Based Recognition and Pose TrackingPreference prediction through feature-based collaborative filtering of textual reviewsDynamic harmonic domain modeling of flexible alternating current transmission system controllersOptical spectroscopy of colloidal cadmium selenide semiconductor nanostructuresAn investigation of linear projection methods: Multiple projections and semi-supervised learningNonreciprocal Millimeter and Sub-Millimeter Wave Devices Based on Semiconductor Magnetoplasma
Multi-objective planning of electrical distribution systems using particle swarm optimizationDesign of On-Chip Low-Dropout Regulators for Energy-Aware Wireless SoC in Nano-Scale CMOS TechnologiesMitigating Network Service Disruptions in High-bandwidth, Intermittently Connected, and Peer-to-Peer NetworksSizing community energy storage systems to reduce transformer overloading with emphasis on plug-in electric vehicle loadsAdaptive dispersion compensation and ultrasonic imaging for structural health monitoringPatterned zero-dimensional nanostructures: Fabrication and characterization
Self-Healing Transmitter for Millimeter-Wave Multi-Band Digital CommunicationsAtomic Layer Deposited Hafnium Oxide Interface Treatments for Improved Dye Sensitized Solar Cell PerformanceLow-power discrete Fourier transform and soft-decision Viterbi decoder for OFDM receiversMethods for Extending High-Performance Automated Test Equipment (ATE) using Multi-Gigahertz FPGA TechnologiesZinc Cadmium Selenide Cladded Quantum Dot Based Electroluminescent and Nonvolatile Memory DevicesKernel Methods for Classification with Irregularly Sampled and Contaminated Data
Analysis and design of an optimally operated double output induction generator based stand alone wind power generation systemBroken bar detection in synchronous machines based wind energy conversion system
Development of a single photon detector for fluorescent spectrometry
Exploration of MIMO radar techniques with a software-defined radarVisualizing and modeling vocal production dynamicsDynamical imaging using spatial nonlinearity
Adaptive sensing for target tracking applications
A low-power floating-turbine generation system for small rural communities
Universal Event and Motion Editor for Robots' Theatre
Search and decoding strategies for complex lexical modeling in LVCSR
Efficient learning and inference for high-dimensional Lagrangian systems
Stochastic resonance in ion channel recordings
Sliding mode control of discrete-time weakly coupled systems
Time-mode analog circuit design for nanometric technologies
Characterization of the stress and refractive-index distributions in optical fibers and fiber-based devicesVisible and Mid-Infrared Supercontinuum Generation and Their Respective Application to Three-Dimensional Imaging and Stand-off Reflection Spectroscopy
Distributed learning: Regression on attribute-distributed data and consensus clusteringRandom Rough Surface Effects in High Speed Interconnects Using the Model of Wave Propagation in a Parallel Plate Waveguide with RoughnessAn Implantable Microsystem for Autonomous Intraocular Pressure Monitoring
Characterization and reliability of aluminum gallium nitride/gallium nitride high electron mobility transistors
Design of single-carrier frequency domain equalization (SC-FDE) with transmit diversity for wireless and optical communicationsFront End Circuit Module Designs for A Digitally Controlled Channelized SDR Receiver ArchitectureNovel Techniques for Rayleigh Noise Suppression and Multicast Transmission in Wavelength Division Multiplexed Passive Optical NetworksCompensation and trimming for silicon micromechanical resonators and resonator arrays for timing and spectral processingAn Exact Method of Moments Formulation for Cylindrical Antennas of Arbitrary Length and RadiusPassive micromixers and organic electrochemical transistors for biosensor applicationsClimate change impact reliability of large electric power transformers in the Northeast United StatesSolar cell degradation under ionizing radiation ambient: Preemptive testing and evaluation via electrical overstressingMulti-Destination Control Protocol: a New Distributed Scheduling Protocol for Optical Flow Switching NetworkEfficient micro-Power Management for Solar Cells with Time Domain Array Reconfiguration
On the spatial and temporal coherence of wireless vehicular short range channels
Understanding directed cell migration: From image processing to mathematical modelingReal-time Graphics Processing Unit Based Fourier Domain Optical Coherence Tomography and Surgical Applications
Scalable video communications: Bitstream extraction algorithms for streaming, conferencing and 3DTV
Low Power Digital Designs Operating in Subthreshold Region
Direct Write of Chalcogenide Glass Integrated Optics Using Electron Beams
High-performance wireless microsystem for MEMS capacitive strain sensorsCarrier Transport and Sensing in Compound Semiconductor NanowiresThermal Management for Multi-Phase Current Mode Buck Converters
Mobility Modeling, Prediction and Resource Allocation in Wireless NetworksBeam-scanning reflectarray enabled by fluidic networks
An approach to data mining of relational data warehouse
WLAN based indoor localization
Sampling-based motion planning algorithms: Analysis and developmentAn Instrumented Surgical Tool for Local Ischemia Detection
Free space optical communications with high intensity laser power beaming
MATLAB geometry builder and MLFMA modeler
Capacity and Coverage Analysis for Multihop Relay-Enhanced WiMAX NetworksTree-Structured Like Representations for Continuous and Graph Indexed Markov Random FieldsDesign of Variable Fractional Delay Farrow Filters for Radio Astronomy Application and Three-Dimensional Space-Time Impulse Response Field of the Parabolic Reflector in the Focal PlaneConception d'un plot reconfigurable pour un reseau de distribution de puissance a l'echelle de la tranche en technologie CMOS
Scaling Laws and Size Thresholds for Minimally Invasive Surgical Instruments
Microfluidic devices and systems for neuroscience studies in Caenorhabditis elegans (C. elegans)Stretchable, multimodal, large-area sensor arrays fabricated using excimer laser photoablation technologiesSilicon Carbide Epitaxy from Disilane and Trimethylsilane by Ultra High Vacuum Rapid Thermal Chemical Vapor Deposition
Synchrophasor measurement using substation intelligent electronic devices: Algorithms and test methodology
Efficient channel equalization algorithms for multicarrier communication systemsThe bootstrap in supervised learning and its applications in genomics/proteomics
Statistical mechanics for wireless systems: Application of exclusion processes to the modeling and analysis of multihop networks
Reconfiguration of a mobile multi-agent network using higher-order sliding mode controlAn investigative approach to configuring forensic electric network frequency databasesSimulation and performance analysis of strategic air traffic management under weather uncertaintyDesign and Control of Bi-Directional Grid-Interactive Converter for Plug-in Hybrid Electric Vehicle ApplicationsFault-tolerance-oriented topology, routing and wavelength assignment optimization for WDM all-optical networks
Surface Plasmons in Sub-wavelength Structures from Near Infrared to Terahertz RangeOptical Communication Through the Turbulent Atmosphere with Transmitter and Receiver Diversity, Wavefront Control, and Coherent Detection
High-Mobility Two-Dimensional Electrons in Silicon/Silicon-Germanium Heterostructures: Realization and Transport Properties
Collision Resolution in Wireless Networks
Low-Cost Testing of High-Precision Analog-to-Digital Converters
Analysis and design of wideband array antennas
Water and fat image reconstruction in magnetic resonance imaging
Optimal Control of Active Sensing Systems
Digital Front End for Base-station RF
The silicon carbide vacuum field-effect transistor (SiC VacFET)
OFDM Peak to Average Power Ratio Reduction
Control system for mass spectrometer
Passivity methods for the stabilization of closed sets in nonlinear control systems
Integration of Photodiodes with Micro-capillaries for Applications in Fluorescence DetectionA SiliconGermanium Standard Cell Library and Digital Design Flow for Extreme EnvironmentsThe Matrix Pencil Method DOA Estimation Employing 7-Sensor Element with Hexagonal ArrayLifetime characteristics of magnet wire MW 16-C under AC, DC voltages, and high temperaturesA Probabilistic Bottom-up Technique for Modeling and Simulation of Residential Distributed Harmonic Sources
Calibration of a fieldable imaging pyrometer for use with hydrocarbon flamesCharacterization and modeling of strained silicon FET and gallium nitride HEMT devices
Pharmocokinetic and Pharmacodynamic Modeling of Erythropoiesis Stimulating Agents in RatsAdaptation Based on Channel Information in Advanced Wireless Communication SystemsEmpirical Investigation of Carbon Nanotube Pillar Array Field Emitter Geometry for Increased Current DensitiesProcess Modeling and Device Technology of Gallium Nitride Normally-Off Power TransistorsElectro-optic ring resonators in integrated optics for miniature electric field sensors
State estimation and sensor selection in discrete event systems modeled by Petri netsLattice reduction for MIMO detection: From theoretical analysis to hardware realizationEvaluation of a new power-hardware-in-the-loop (PHIL) interface algorithm for current controlled amplifiersCross-layer design for location- and delay-aware communication in vehicular networksOpportunistic and Cooperative Forwarding in Mobile Ad-hoc Networks with Light-Weight Proactive Source Routing
Networks-on-Chip: Modeling, System-Level Abstraction, and Application-Specific Architecture CustomizationLow Complexity Turbo Equalizations and Lower Bounds on Information Rate for Intersymbol Interference ChannelsHigh Performance Ultra-low Voltage Continuous-Time Delta-Sigma Modulators
Wideband MEMS-Based Impedance Tuner for Source-Pull Noise-Measurement
Probabilistic finite-difference time-domain simulations using stochastic electromagnetic macro-models
Performance metrics ensemble for multiobjective evolutionary algorithms
Origin and evolution of energetic particle populations in the magnetosphere
Custom ASIC Design of Static Random Access Memory (SRAM)A New RNS 4-moduli Set for the Implementation of FIR Filters
Challenges and opportunities: A unique view of network coding
A Canvass steganalyzer for double-compressed JPEG images
Robotic single cell manipulation for biological and clinical applications
Study of Ferromagnetic and Field Effect Properties of Zinc Oxide Thin Films
Cascading Failures in Power Grids: Modeling, Metrics and Mitigation
Micromechanical sensor for the spectral decomposition of acoustic signals
Parasitics Modeling and Signal Integrity Issues in High-Speed VLSI SystemsMeasurement of Impact Ionization Coefficients in Gallium Nitride
Cooperation and latency in channel coding for wireless communications
An integrated circuit/microsystem/nano-enhanced four species radiation sensor for inexpensive fissionable material detectionNon-Foster Impedance Matching and Loading Networks for Electrically Small AntennasFast methods for full-wave electromagnetic simulations of integrated circuit package modulesStatistical optimizations of muscle action potentials based on modeling and analysis of ion channel dynamicsAdaptive geolocation based interference control for hierarchical cellular network with femtocellsDesign and simulation of a fast-charging station for plug-in hybrid electric vehicle (PHEV) batteries
Signal processing techniques for optical performance monitoring in dynamic fiber-optic networksAutomated analysis of anatomical structures from low-dose chest computed tomography scans
Methods for Improving Generalization and Convergence in Artificial Neural ClassifiersRegulation de la tension et de la frequence d'une generatrice asynchrone auto-excitee exploitee dans une turbine eolienne
Statistical models for wavelet coefficients with applications to denoising and deconvolutionA Zero Voltage Switching Contour based Power Amplifier with Minimal Efficiency Degradation under Power Back-Off
Ultra-low-power UWB impulse radio design: Architecture, circuits, and applications
Control and optimization of energy flow in hybrid large scale systems---A microgrid for photovoltaic based PEV charging station
A Multi-Port Measurement System for Large-Signal Characterization of Microwave DevicesWideband reflectarray using compact coupled element and rectifying antenna combined with reflectarrayDecentralized robust frequency control for power systems subject to wind power variability
Development of surface micromachined Aluminum Nitride air-bridges for piezoelectric MEMS/NEMS applications by Metal Organic Vapor Phase Epitaxy techniques
From Static to Dynamic Electric Power Network State Estimation: The Role of Bus Component Dynamics
Statistical pattern recognition approaches for retrieval-based machine translation systems
Visualization of Plasma Edges using VTK and Adobe Flash
A Cell-Based Design Methodology for Synthesizable RF/Analog CircuitsContext Aware High Dynamics GNSS-INS for Interference Mitigation
Measurement of Quadrature Transmitter Impairments Using BIST
Overcoming the Far-Field Diffraction Limit via Absorbance Modulation
Error-Correcting Codes for Fibre-Optic Communication Systems
Arbitrary Block-size Transform Video Coding
Optically tunable external cavity ring laser
Developpement de modeles dynamiques de prediction de la tension critique de contournement des isolateurs recouverts de glace bases sur la methode des elements finis
High Dynamic Range Image Compression of Color Filter Array Data for the Digital Camera PipelinePilot Aided Cyclo-Stationary Detection And Active Interference Cancellation For OFDM Systems In the Presence of RF Impairments
Detailed analysis of a Comb-Shaped Dielectric Resonator Antenna capable of utilizing Circular Polarization and operating at GPS frequency
The study of ultra-fast relaxation phenomena within neodymium:yttrium aluminum garnet and HOPG crystalsClock generation and distribution for enhancing immunity to power supply noiseStructured covariance estimation from spatial spectra for adaptive beamformingAnalysis and Implementation of Fine-Grained Distributed Maximum Power Point Tracking in Photovoltaic SystemsA Single Phase Grid Connected DC/AC Inverter with Reactive Power Control for Residential PV ApplicationA study on neural conduction as in myelinated structure under pathological conditionsDecision Support for the Selection of Commercial Off-The-Shelf (COTS) under UncertaintyTools Assisted Analog Design, from Reconfigurable Design to Analog Design AutomationUnderwater communication via particle velocity channels: Principles, channel models, and system designDevice Design and Process Integration of High Density Nonvolatile Memory Devices
Reliability-limiting defects in gallium nitride/aluminium gallium nitride high electron mobility transistorsContributions to the conception of an operational system for real time path planning for Unmanned Aerial VehiclesStochastic modelling of a collection of correlated sparse signals and its recovery via Belief propagation methodsVariable Sampling Compensation of Networked Control Systems With Delays Using Neural NetworksThe Studies of Improved Quantification Techniques for Positron Emission TomographyAssessing the Effectiveness of Wind Power and Cogeneration for Carbon Management of Electric Power SystemsDevelopment of Phase Change Switches with Low Resistance in the "ON state"
A computational model of the intelligibility of American Sign Language video and video coding applications
Single-event characterization and mitigation in high-speed CMOS communications devices
Multi-loop-ring-oscillator design and analysis for sub-micron CMOS
Convex Optimization Methods for Graphs and Statistical Modeling
Digital Image and Video Processing Using Subpixel Rendering
Energy harvesting for self-powered wireless sensors
A prognostic health management based framework for fault-tolerant control
Oligopolistic Electricity Markets under Cap-and-trade and Carbon Tax
Architectures 3GPP et evolution vers IPv6
Interface Plasmon Polariton waveguides and sensors
A Compact and Low Power Local Oscillator for Cognitive Radio Applications
Implementation of a bacterium tracking system on FPGA
Analog Intelligent Wake-Up Systems for Wireless Sensor NetworksRadiation response in MOS devices with high-k gate oxides and metal gatesEarly Verification of the Power Delivery Network in Integrated Circuits
5GHz Doherty Amplifier Designed in Triquent Gallium Arsenic Process
Predicting Finite-length Performance of Multi-edge Type LDPC Ensembles
Directional Information Flow and Applications
Analysis and design of multi-functional, parasitic array antennas for optimized wireless communications
Performance Analysis and Design of Post-demodulator Adaptive Filters for FSK Signals in a Multipath Environment
Investigation of the utility of energy resolved photon-counting x-ray detectors for small animal computed tomography
Engineering the Free Spectral Range Of Fabry-Perot Quantum Cascade LasersDevelopment and Characterisation of an Actively Mode-Locked Semiconductor Fibre Laser Incorporating a Nonlinear Optical Loop Mirror Operating at 10 GHz to 160 GHzLiquid Crystal Geometric Phase Holograms for Efficient Beam Steering and Imaging Spectropolarimetry
Interpolation temporelle des images avec estimation de mouvement raffinee basee pixel et reduction de l'effet de haloA sampling-based model predictive control approach to motion planning for autonomous underwater vehicles
The transition from a TEM-like mode to a plasmonic mode in finite-width THz parallel-plate waveguides
Hydrothermal pretreatment of biomass samples for producing energy efficient hydrogen electrochemicallyDC-DC Converter with Improved Dynamic Response and Efficiency Using a Calibrated Auxiliary PhaseCircuit Performance Verification and Optimization in the Presence of VariabilityArchitecture de rebalancement dynamique pour jeux massivement multijoueurs en ligne fonctionnant sur reseaux pair-a-pair
A high performance system for wireless transmission of power and data through solid metal enclosuresMaskless Laser-Write Lithography of a-Silicon:Hydrogen TFT Passive Pixel Sensor for Hemispherical ImagerUltrafast electron diffraction study of the dynamics of antimony thin films and nanoparticlesAdvanced medium-voltage bidirectional dc-dc conversion systems for future electric energy delivery and management systems
Correlation-based communication in wireless multimedia sensor networks
Design and Analysis of Reconfigurable Analog System
Addressing Future Grid Requirements for Distributed Energy Resources
Physics and engineering of organic solar cellsDrain Current Modeling and Characterization using MISFETs
Optical Signal Processing of Phase-Modulated Signals for Communication
Harmony: An execution model for heterogeneous systems
Efficient Method for On-Chip Interconnect Modeling
Chordal Sparsity in Interior-Point Methods for Conic Optimization
Dynamic networked systems: Consensus, cooperation and rigidity control
Retinal implant: System analysis and design with customized retinal ICS
Emotion Recognition from Eye Region Signals using Local Binary PatternsPolarization Engineering in Gallium-Nitride-based Light-Emitting DiodesModeling and mitigating transient faults in nanoscale digital systems
Performance Modelling and QoS Support for Wireless Ad Hoc Networks
Nonlinear liquids and mechanisms for all-time-scale optical limiting effectsHull convexity defect features for human action recognition
Optimization of short reach polymer optical fiber links
MAP Detection with Soft Information in an Estimate and Forward Relay Network
Evanescent waveguide modes in subwavelength hole arrays and their role in enhancing the performance of quantum dot infrared photodetectorsA novel switched reluctance motor based propulsion drive for electric and plug-in hybrid electric vehiclesDevelopment of High-Power III-Nitride Light-Emitting Diodes for Solid-State LightingAn Augmented Reality Prototype for Investigating Tangible and Virtual Components in a Gaming Environment
Retrieval of Parameters for Layered non-Smooth Interface Media: Theory and ExperimentResource management algorithms for advance network reservation and sensor network monitoring applicationsAnalog baseband filters and mixed signal circuits for broadband receiver systems
Towards a portable and inexpensive lab-on-a-chip device for point of care applications
Two-dimensional Polymer and Thin-Film Semiconductor-based Photonic Crystals for Biosensing ApplicationsOptimization Techniques for Minimizing Energy Consumption in Approximate Circuits
Nanomembrane stacked electronic and optoelectronic devices and strained channel flexible high-speed transistors
Inertial focusing microfluidics: Single cell encapsulation, particle dynamics study, and rapid prototyping technique
Advanced Nonlinear Optical Imaging Technology for Biomedical Applications
Ultrafast Time-Resolved Characterization of (Cadmium, Manganese)Tellurium Semiconductors as Radiation Detectors
Low power single chip radio technologies for wireless sensor network applications
Systematic control and application for 7 DOF upper-limb exoskeleton
Texture Representations for Image Retrieval
Asynchronous design investigation for a 16-bit microprocessor
Software framework for protection relay testing
Automated Crowd-Counting System upon a Distributed Camera Network
Software-based Ultrasound Phase Rotation Beamforming on Multi-core DSP
Trajectory Sensitivity Based Power System Dynamic Security AssessmentSystemC TLM2.0 Modeling of Network-on-Chip Architecture
H.V.D.C.(High Voltage Direct Current)
Change detection using linear prediction in hyperspectral imagery
Terahertz Spectroscopy for Chemical Detection and Burn CharacterizationCharacterization of a CMUT Array
DSP Algorithm and Software Development on the iPhone/iPad Platform
Wide-Band Low Noise Quadrature VCO in CMOS SOI
Optimal power flow in microgridA 500MSPs Bipolar SiGe Track and Hold Circuit with high SFDR
Evaluation of ocean-energy conversion based on linear generator conceptsDeveloping protection systems for microgrids
Analog Readout Methods for CMOS (Complementary metal-oxide-semiconductor) Image Sensors Utilizing a Global FeedbackSubsystem technologies and network applications for optical code division multiple access systemsThe centimeter- and millimeter-wavelength ammonia absorption spectra under Jovian conditionsHigh-Linearity Two-Watt Power Amplifier Design in Indium Gallium Phosphide/Gallium Arsenide HBT Process for Multi-Band Wireless Applications
Comparative analysis of orthogonal matching pursuit and least angle regression
Advanced nanoimprint patterning for functional electronics and biochemical sensing
Growth, Characterization, and Surface Modifications of Gallium Telluride for Radiation DetectionRobust face representation and recognition under low resolution and difficult lighting conditions
A neural recording front end for multi-channel wireless implantable applications
Modeling Flashover of AC Outdoor Insulators under Contaminated Conditions with Dry Band Formation and ArcingCooperative and multi-channel energy-based sensing in the vehicular environment: On the minimum time to senseNonosecond pulsed electric field induced changes in dielectric properties of biological cells
Combined C-V/I-V and RTN CMOS Variability Characterization Using An On-Chip Measurement System
CMOS On-Chip Three-Dimensional Inductor Design & Application in RF Bio-SensingGenome-wide Predictive Simulation on the Effect of Perturbation and the Cause of Phenotypic variations with Network Biology Approach
Benchmarking the accuracy of inertial sensors in cell phones
Quantum Efficiency Measurement Of Nanowires Using Integrating Sphere
A Flush Mounted Waveguide Antenna for Telemetry ApplicationsA Bang-Bang All-Digital PLL for Frequency Synthesis
Implementation of orthogonal frequency division multiplexing with FPGAMicromagnetic Study of Perpendicular Magnetic Recording MediaSelf-structuring head-worn antenna
Magnetoelectric measurement and analysis under cryogenic conditions
Applications of multimedia forensics
Practical and theoretical advances in MIMO systems
Enhancement of Topological Insulators Surface Conduction
Tracking human in thermal vision using multi-feature histogramCross Layer Optimization in Wireless Downlink System
CRUSH: Cognitive Radio Universal Software Hardware
Low Complexity Spectral Imputation for Noise Robust Speech Recognition
Low Power Demodulator Design for RFID Applications
Sentry-based scheme: Toward long-lived, robust wireless sensor networks
Self-Heating Effects In Nanowire Transistors
Resource management in location aware cognitive radio networks
Optimal Location and Sizing of Dynamic VArs for Fast Voltage Collapse
Cognitive Code-Division Multiplexing and Generalized-polygon-based CodingPost-CMOS microelectrode fabrication and packaging for on-CMOS electrochemical biosensor array
Adaptive Biofeedback with Signal Processing and Biosensors in Mobile HealthDesign and Experimental Characteristics of an Erbium Doped GaN Waveguide3D System-On-Package (SoP) Signal Generator to Control MEMS Movable Microelectrode Arrays
Integrated Waveform-Agile Multi-Modal Track-before-Detect Algorithms for Tracking Low Observable Targets
Design and implementation of a computer-based automatic voltage regulator for a synchronous machine
silicon germanium sram and rom designs for wide temperature range space applicationsElectro-optic counter-phase modulation for broadband radio-frequency interference cancellation
Short-Term Voltage Stability Analysis for Power System with Single-Phase Motor Load
Design of a keyword spotting system using modified cross-correlation in the time and the MFCC domain
Investigation of contrast agents for microwave detection and treatment of breast cancer
Congestion management, total transfer capability improvement and short-term adequacy evaluation in deregulated power systems --- Prospering and surviving in a competitive world
Frame Aggregation---A Technique for Power Saving in H.264 DecodersA low power, low voltage, fully differential CMOS variable gain amplifierCoding-Based Link Failure Recovery Applications
Second order training algorithms for radial basis function neural network
Document flash thermographyPage layout analysis and classification for complex scanned documentsModeling security and cooperation in wireless networks using game theoryFeedback and Interference Alignment in NetworksImage Force Microscopy
Textural Analysis of a Fibronectin Network during Early EmbryogenesisDesign of High-speed Blocks for Broadband Communications CMOS ICs
Multihop Power Scheduling and MIMO Relay Channel Estimation
Low Power Mixed Signal Sensor Design Techniques and Applications
Low-impedance thin-film AlN-on-diamond lateral-extensional resonatorsOperation of Energy Storage in Power Systems with High Wind Penetration
Neptune: Mobile manipulator with advanced human robot interaction
Zinc Oxide Nanowire Array-Based Optoelectronic Devices
Inclusion of quadrature boosters (QBs) in power flow analysis
Fast and robust face recognition via parallelized l1 minimization
Cooperative automatic-repeat-request relaying protocols in wireless networks
A Wireless Hybrid Chemical Sensor for Detection of Environmental Volatile Organic CompoundsThin film piezoelectric on substrate resonators electrical characterization and oscillator circuit designLearning sub-word units and exploiting contextual information for open vocabulary speech recognitionRemote measurements of angular orientation of planar and cylindrical targets
Reconstruction de defauts a partir de donnees issues de capteurs a courants de foucault avec modele direct differentiel
Energy-efficient DSP System Design based on the Redundant Binary Number SystemAccelerated simulation and testing of integrated circuits using high performance computing machines
Computational features and performance-evaluation of discrete/continuous type discrete-time control systems
A 1.5 V, 2.4 GHz Monolithic CMOS Sub-Integer-N Frequency Synthesizer for WLAN ApplicationDetection et identification des activites de la vie quotidienne a l'aide d'un unique microphone par piece
Built-in-Self-Test and Digital Self-Calibration for Radio Frequency Integrated Circuits
Towards high-resolution magnetic resonance spectroscopic imaging: Spatiotemporal denoising and echo-time selectionOne-Dimensional Modeling of Mercury Cadmium Telluride Photodetectors Operated at Low TemperaturesSimulation and Emulation of Massively Parallel Processor for Solving Constraint Satisfaction Problems Based on Oracles
Signal processing for two-dimensional magnetic recordingDesign of a two-level power system linear state estimator
Active instrument cables with buffer amplification
Novel cost measures for robust recognition of dynamic hand gestures
Dynamic Waveform Design for Track-Before-Detect Algorithms in Radar
Content caching, retrieval and dissemination in networks with storage
A planar and integrated rectenna for wireless power reception
Wireless Interference Channels with Channel UncertaintiesQuality assessment of synthesized textures
Interactive fast random access, retrieval, and navigation of large datasets
Evaluation of new multiply and multiply-accumulate structures in FPGAs
Synthesis of zinc oxide nucleation sites on p-silicon(111) substrates
Doppler-based localization for mobile autonomous underwater vehicles
LAVI: A location aware virtual infrastracture for vehicle adhoc networks
Understanding the Impact of Large-Scale Penetration of Micro Combined Heat & Power Technologies within Energy SystemsLow power low noise body-enabled phase locked loop for wireline and wireless transceivers
Electro-optic investigation of the n-alkanethiol GaAs(001) interface: Surface phenomena and applications to photoluminescence-based biosensingConception et realisation d'un reseau d'antenne 8x16 elements et de composants tridimensionnels a ondes millimetriques a base d'interconnexions verticales sur guides integres au substrat
Intercell interference coordination without base station cooperation for wireless cellular networksModeling, stability analysis and control of a direct AC/AC matrix converter based systemsMulti-function electronic power meter with applications in wind turbine generatorsComputer modeling of breast lesions and studies of Analyzer-Based X-Ray Imaging
Biologically inspired overcomplete representation, feature extraction and object classificationTheoretical Model and Electromagnetic Wave Propagation Characteristics for the Magnetoelectric Effect in Layered Piezoelectric and Piezomagnetic Composites
Logic Realization Using Regular Structures in Quantum-Dot Cellular Automata (QCA)
Control system for real time jitter reduction and 3D reconstruction of road profileStudy of recursive divide architectures implementation for division and multiplicationAdvanced process technology for the removal of patterned ion implanted photoresist in integrated circuit manufacturing
Communications with chaotic optoelectronic systems cryptography and multiplexing
Micro-particle Streak Velocimetry--Theory, Simulation Methods and ApplicationsDesign and analysis of a cylindrical Dielectric Resonator Antenna array and its feed network
Energy efficient and loss resilient Wireless Camera Sensor Networks
Thermal Transport in Graphene Multilayers and Nanoribbons
Non-Linear System Identification Using Compressed SensingError resilient transmission of video over ultra wideband channelsA Temperature-Based Gain Calibration Technique for Precision RadiometryEnhancing wireless security using relay-based cooperative networks
Protein-Based Disk Recording for Aerial Densities Beyond 10 Tbit/in^2
Joint target tracking and recognition using shape-based generative model
Feedback-Assisted Opportunistic Spectrum Access
Inkjet-printed highly transparent solar cell antennas
Inter-operator resource sharing in 4G LTE cellular networks
Carbon nanotube nanoelectronics and macroelectronics
Voice call capacity over wireless mesh networks
Compressive spectrum sensing for cognitive radio networksDeep subwavelength patterning via absorbance modulationDesign and development of polarimetric FM-CW imaging radar
Tunable Multilayer Plasmonic Materials: Optical and Topographic CharacterizationsComparative reliability assessment of a hydrogen distribution system and an electrical distribution system with variable power generation sources
Thermal Characterization of Nanostructures and Advanced Engineered Materials
Energy- and Reliability-Aware Design of High-Speed Global On-Chip InterconnectsRange finding in passive wireless sensor networks using power-optimized waveformsInvestigation of phononic crystals for dispersive surface acoustic wave ozone sensors
Analysis of spectral properties of speech for detecting suicide risk and impact of gender specific differencesMode Meter Performance Evaluation Using the Summer 2009 PDCI WECC Probing Tests
System-on-chip (SoC) design methodology and implementations for neural implants using deep submicron CMOS
Dispersive time-domain beam propagation method for analyzing optical devices
Application of the latency insertion method (LIM) to the analysis of large circuit interconnect networksDesign of Data Acquisition System and Fault Current Limiter for an Ultra Fast Protection System
Development and Characterization of Thermally Conductive Polymeric Composites for Electronic Packaging ApplicationsAging Predictive Models and Simulation Methods for Analog and Mixed-Signal CircuitsAnalytical Framework for Throughput Evaluation of Practical Opportunistic Spectrum Access Networks: A Cross-layer Approach
Shape Optimization of Low-Profile UWB Body-of-Revolution Monopole Antennas
Accurate volume determination of brain MRI images via a dynamic-local-thresholding-based segmentation method for patients with Alzheimer's disease
Design, fabrication and characterization of high-stroke high-aspect ratio micro electro mechanical systems deformable mirrors for adaptive optics
Two-wire, low component count soil temperature sensorDynamic variable speed limit control: Design, analysis and benefitsTransistor-Based Ge/SOI Photodetector for Integrated Silicon Photonics
A Wafer based W-band Phased Antenna Array
Conquering variability for robust and low power designs
Scanning probe measurement system for room temperature thermopower
Kinetics of Programmable Metallization Cell Memory
Normalized cut approximationsManifold Learning for Organization of Text DocumentsRandom Graphs and Hypergraphs for Complex Networks
Improved line outage detection using synchrophasor measurements
A study on distributed receding horizon control
A Novel Methodology To Classify The ADSS Cable Ranking
Effect of Chemical Impurities on the Solid State Physics of Polyethylene
Battery Management System For Electric Vehicle Applications
Feed-forward bandwidth indication: An accurate approach to multimedia bandwidth forecasting and its application in Ethernet Passive Optical Networks
On-chip Group and Phase Velocity Control for Classical and Quantum Optical DevicesRobust adaptive filtering algorithms for system identification and array signal processing in non-Gaussian environmentGestion conjointe de production et qualite appliquee aux lignes de production non fiables
Thermal controlled electrochemical instrumentation for protein array microsystemsSynthesis and development of image coding algorithms based on 2D discrete wavelet transformFeature Extraction Techniques Toward an EEG Pattern Recognition System for Detecting PainProgrammable Mixed-Signal Circuits for High-Performance, Low-Cost Wireless Transceivers
Ultrasound Focusing by Use of Apertures with Different Pitches and Ultrasound Imaging by Use of a Hemispheric Transducer ArrayAdded critical flashover voltage by fiberglass crossarm to 15 kV polymer suspension insulator
High-resolution sinusoidal analysis for resolving harmonic collisions in music audio signal processing
Identification of high-velocity pseudo-surface acoustic wave substrate orientations and modeling of surface acoustic wave structures
The design and implementation of a high sensitivity telescope for in situ measurements of energetic particles in the Earth's radiation beltsFrequency synthesizers and oscillator architectures based on multi-order harmonic generationUnconstrained noninvasive vital signs monitoring for detection of obstructive sleep apnea with automated prevention
Urban Terrain Multiple Target Tracking Using The Probability Hypothesis Density Particle Filter
Evaluating the Effectiveness of Methods for Converting Ranking into Weights with Application for Maintenance Contractor Selection
Integrated system identification and state-of-charge estimation of battery systems
Fingerprint enhancement by directional filtering
Ultra-Low Power Electronics for Autonomous Micro-Sensor ApplicationsLDPC-based secret-sharing schemes for wiretap channels
On the Benefit of Network Coding in Wireless Relay Networks
Sparsity Based Algorithms for Wireless CommunicationsPID-tuning of plants with time delay using root locus
Fault Analysis in Solar Photovoltaic ArraysDesign and fabrication of a novel self-powered solid-state neutron detector
Sparsity Pattern Recovery in Compressed Sensing
Reliability-aware and low power design techniques
Simulation des systemes RFID et leur integration dans les reseaux de capteurs sans-fil: Application a la gestion d'une gare d'autobusFull-Band Impulse-Radio Ultra Wideband Transceivers With Integrated ESD ProtectionImpact of mechanical stress on AlGaN/GaN HEMT performance: Channel resistance and gate currentModified-cs-residual for Recursive Reconstruction of Highly Undersampled Functional MRI SequencesWrite-Once Read-Many-Times (WORM) Memory based on Zinc Oxide on Silicon
The development of microfabricated microbial fuel cell array as a high throughput screening platform for electrochemically active microbesAn information theoretic approach for feature selection and segmentation in posterior fossa tumorEnhancement of microgrid dynamic frequency stability using microgrid frequency controller (MGFC)Ultrawideband Low-Profile Arrays of Tightly Coupled Antenna Elements: Excitation, Termination and Feeding MethodsNonlinear Optical Signal Processing Using Time- and Wavelength-Interleaved Laser Pulse SourceModeling of point spread functions for astronomical multifiber spectrographsAn ultra-wideband low noise amplifier and spectrum sensing technique for cognitive radioA New Green's Function Monte Carlo Algorithm for the Helmholtz Equation Subject to Neumann and Mixed Boundary ConditionsLow-Cost Hardware Profiling of Run-Time and Energy in FPGA Soft ProcessorsSynthesis, Characterization and Applications of Indium Antimonide Semiconductor NanowiresIntegrating the top-down and bottom-up nanomanufacturing: Controlling the growth and composition of seeded nanostructuresTopology Reconfiguration To Improve The Photovoltaic (PV) Array PerformanceHybrid inorganic/organic nanostructured tandem solar cells: Simulation and fabrication methods
Design of a Modified Cherry-Hooper Transimpedance Amplifier with DC Offset CancellationDiscrete particle swarm optimization algorithm for optimal operation of reconfigurable distribution grids
A Novel Approach to Engineering Structures of a Solid Oxide Fuel Cell (SOFC): 3D Direct Write TechnologyExperimental study of through-wall human being detection using ultra-wideband radar
Development of robust analog and mixed-signal circuits in the presence of process-voltage-temperature variations
Design and Modeling of On-chip Photovoltaic Systems
Soft prioritized network coding in multiple access relay networks
Design of optimized distributed circuits for broadband applications
Finding cases of ciphertext equal to plaintext in the RSA algorithm
Invertibility and observability of switched systems with inputs and outputs
Optimization techniques for configuration of advanced wireless networks
An emergent architecture for scaling decentralized communication systems
LT Code Equations
Cadmium Zinc Oxide Based Optoelectronics Materials and Devices
Small, dual band, placement insensitive antennas
Modeling and simulation of wind power generation using kites
On-chip current measurement for multi-site electromigration monitoringMicrosystems for in vitro CNS neuron studyUnequal compressive imagingScalable techniques for failure recovery and localization
Ethernet Passive Optical Network Dynamic Bandwidth Allocation Study
Design Techniques for Manufacturable 60GHz CMOS LNAs
Beyond identification, toward continuous pervasivity: Exploiting tag multiplicity for passive RFID distributed physical information systems
Adaptive Cross Layer Design and Implementation for Gigabit Multimedia Applications Using 60 GHz Wireless Links
High-performance and Low-power Clock Network Synthesis in the Presence of VariationFunctional verification and programming model of WiNC2R for 802.16e mobile WiMAX protocol
A reservation based parking lot system to maximize occupancy and revenue
Impact of stochastic loads and generations on power system transient stability
The fiber optic loop combiner: A novel pump combiner for high order modes (HOM) high power in fiber optic laserEMI Reduction in Discrete SMPS Using Programmable Gate Driver Output Resistance
Impact of uniaxial stress on silicon diodes and metal-oxide-semiconductor-field-effect-transistors under radiationAdvances in Microstrip Reflectarray Antenna Designs and Diagnostics: Applications for Distortion Compensation and RF MEMS Reconfigurability
Thermal imaging as a biometrics approach to facial signature authentication
Effect of non-rigid registration algorithms on the analysis of brain MR images with deformation based morphometry
Integrated RF modules and passives on low-cost flexible materials for applications up to the mm-wave frequency rangeImproving Public Safety Wireless Communications: Analyzing the Cost of a Nationwide Network and Strategies for Sharing Commercial Networks
Hot electron injection effect and improved linearity in Type-I/II DHBT for millimeter-wave mixed signal circuit applications
Direct ionization-induced transient fault analysis for combinational logic and sequential capture in digital integrated circuits for lightly-ionizing environments
User-independent robust statistics for computer vision
Antenna design: A crystallographical appoach
Tin dioxide memristors on glass and plastic substrates
High Speed FPGA Implementation of Cryptographic Hash Function
Coding and scheduling in networks for erasures and broadcast
Error Exponent for Discrete Memoryless Multiple-Access ChannelsOn the metrology of nanoscale silicon transistors above 100 GHz
MAC Design for Optical Wireless Communications
Perching using a quadrotor with onboard sensing
ARQ in Modern Wireless SystemsInteractive camera/projector display unit using image homography
Nonlinear Output Regulation with Time-varying or Nonlinear Exosystems
Methods for Automated Analysis of Curvilinear Structures in Three- Dimensional and Spatio-temporal Microscopy Images using Orientation Distribution FunctionsPlanar Near-field Phaseless Measurement Techniques for Antenna Characterizations and Diagnostics
Optimal operation of multi-terminal VSC based MVDC shipboard power system
Modelling Inductively Coupled Coils for Wireless Implantable Bio-Sensors, A Novel Approach Using the Finite Element Method
Delay-sensitive communications: Code-rates, strategies, and distributed controlDevelopment of Safety control for Hidden Mode Hybrid Systems and Verification in the Multi-vehicle lab
Advanced design of ultra-thin barrier aluminum nitride/gallium nitride HEMTs; A study of device design, modeling, and analysisSpins in heterogeneous landscapes: Consequences for transport and imaging
Robust, Low Complexity and Energy Efficient Baseband Receiver Design for MB-OFDM UWBCooperative wireless communications: The impact of channel uncertainty and physical-layer security considerationsEnhancement of network monitoring & security analysis using phasor measurement units
Implementation and performance analysis of a multi-meshed tree routing protocol for MANETSNetwork coding in distributed, dynamic, and wireless environments: Algorithms and applicationsDesign, fabrication and characterization of micro/nano electroporation devices for drug/gene deliveryIntercarrier Interference Reduction and Channel Estimation in OFDM SystemsAnalysis and Methods of Modeling Variation in Statistical Static Timing Analysis (SSTA)
Mecanisme de securite pour la famille de protocoles ad-hoc olsr organises en grappes (clusters)Understanding and Improving Bloom Filter Configuration for Lazy Address-Set DisambiguationCommunication-Efficient Convergecasting for Data Fusion in Wireless Sensor NetworksStudies on reliable and energy-efficient wireless sensor networks for mobile healthcare systems
Excitation and analysis of characteristic modes on complex antenna structures
Digitally Controlled Average Current Mode Buck ConverterA model-based approach to hyperspectral change detection
A self-structuring two-port network
Time-Based Serial Communication Links
Prediction models for estimation of soil moisture content
The role of human motion in human-robot interaction
A doubly-fed linear generator for ocean wave energy conversion
Power-Efficient Two-Step Pipelined Analog-to-Digital Conversion
Propagation and delay-optimal safety messaging in vehicular networks
Target tracking using residual vector quantizationMixed-Line-Rate (MLR) Optical Network Design with Wavebanding
Towards Achieving Highly Parallelized Publish/Subscribe Matching at Line-Rates Using Reconfigurable HardwareDesign and analysis of reconfigurable MIMO antennas for next generation wireless communication systems
Quality of Service Optimization of Multiuser Wireless Communication SystemsInvestigations of Cylindrical Dielectric Resonator Antennas with Improved Impedance and Radiation PerformanceDSP implementation and study of sliding mode control algorithms for power factor correction in AC-DC converters
Machine learning approaches to center-of-mass estimation from noisy human motion dataA hierarchical task analysis software tool based on the model-view-controller architecture patternModelling and diagnosis of rotor bar and eccentricity faults in three phase squirrel cage induction motors
Regularized Estimation of Main and RF Field Inhomogeneity and Longitudinal Relaxation Rate in Magnetic Resonance ImagingSources over Layered Media of Metamaterials and Plasmon: Modes, Fields, and Subwavelength Image Enhancement Using Surface RoughnessA delay-constrained middleware architecture for disseminating information in Wireless Sensor Networks
Cross-layer performance analysis and adaptation for real-time wireless video streamingAutomatic accent assessment based on phonetic mismatch and human perception
An Analytical Approach to Efficient Circuit Variability Analysis in Scaled CMOS DesignAnalysis of Coulomb interaction using modified particle-particle-particle-mesh method in Monte Carlo simulation
Incorporating Tl-based Materials into High Efficiency Multijunction PhotovoltaicsConception et realisation d'un recepteur compose de reseau d'antennes Yagi multicouches verticales et de composants en ondes millimetriquesOptimization of Mid-Infrared Passive and Active Devices that Enhance Mid-Infrared Quantum Cascade Laser-Based Gas Spectroscopy
A Method for Operating Large-Scale Energy Storage Systems for Arbitrage Under Variable Pricing StructuresConvergence of a new discrete-time parameter estimator to continuous-time valuesOn the Robust Design of Sustainably Managed, Reconfigurable Circuits for Ultra Low Energy Systems in Nanoscale CMOSBroadband RF front-end design for multi-standard receiver with high-linearity and low-noise techniques
Nanofabrication and testing of an instrumented nanopore for DNA sensing
"Flobject" Analysis: Learning about Static Images from Motion
Perceptual Video Encryption System: A Multiple Transforms Approach
Modeling reliability in copper/low-k interconnects and variability in CMOS
A Linear Algebraic Framework for Autofocus in Synthetic Aperture RadarArchitectures and design automation for photonic networks on chip
Silicon-based thin films for chemical and biological sensing applications
Real-Time Sign Language Video Communication over Cell Phones
Crosstalk mitigation of high-speed interconnects using modal signaling
Coherently interacting dynamics in the neuromuscular system
Investigation of GPS Observations for Indoor GPS/INS Integration
Synthetic Aperture Radar Image Formation Via Sparse Decomposition
Resource Allocation Methodologies with Fractional Reuse Partitioning in Cellular Networks
Planification du reseau d'acces pour l'amelioration de la rentabilite de l'infrastructure d'un reseau FTTN
A calibration methodology for energy dispersive X-ray fluorescence measurements based upon synthetically generated reference spectraAn architecture for disaster recovery and search and rescue wireless networksDesign, fabrication, and characterization of subwavelength metallic structuresThe effect of surface roughness on the conductivity of metals in the tetrahertz regimeAn overlay gateway for the integration of IP Multimedia Subsystem and mobile sink based - Wireless Sensor Networks
Design and manufacture of a high-frequency annular array ultrasound system for medical imaging
Reverse Link Analysis and Modeling of CDMA based Distributed Antenna Systems
Design methodology for backhaul and distribution networks using TV white spacesAn empirical study and modeling on selected analog circuits using a metal-ferroelectric-semiconductor field effect transistor
Experimental analysis of the interference impact of Wi-Fi on ZigBee using cognitive radioAn Information Value Approach to Route Planning for UAV Search and Track Missions
Data transmission oriented on the object, communication media, application, and state of communication systems: Tactical communication system applicationTrees and Beyond: Exploiting and Improving Tree-structured Graphical Models
On Modeling and Design of Fractional-Slot Concentrated-Winding Interior Permanent Magnet MachinesConception et simulation d'une alimentation hybride de secours pour un avion plus electrique
Modeling and Characterization of Ultraviolet Scattering Communication ChannelsLocalization of wireless sensor networks and its application in environmental monitoringInformation-Theoretic Limits on Broadband Multi-Antenna Systems in the Presence of Mutual CouplingDetection of pathologies in retina digital images: An empirical mode decomposition approach
Ultraviolet Communication Network Modeling and Analysis
Cutset Based Processing and Compression of Markov Random Fields
Large-Area Quality Control of Atomically-Thin Layered Materials
A Microfabricated dc-substitution calorimeter for low power measurement
Development of a Dielectrophoretic Chip for Single Cell Electrorotation
The iPad as a tool for simulation and sensing
Managing cloud computing energy demand in the smart grid environment
Design of an RF CMOS power amplifier for wireless sensor networks
Network design problems, formulations and solutionsSemantic integration of coastal buoys data using SPARQLSpectrally efficient multicarrier systems for fiber-optic transmission
Multi-hop Routing for Wireless Mesh Networks
Wireless Channel Equalization in Digital Communication Systems
Fabrication of Novel Germanium Oxide-cladded Germanium Quantum Dots and Quantum Dot Gate Nonvolatile Memory using Germanium Oxide-Germanium Qd's
RF impairment mitigation and limited feedback techniques in advanced MIMO-OFDM systems
Quasi 2D Materials: Raman Nanometrology and Thermal Management ApplicationsEmbedded software design for a microcontroller-based data logger for stepper motor fault diagnosisConversion of a Molecular Beam Epitaxy System for the Growth of 6.1 Angstrom Semiconductors
Sensor Placement and Graphical User Interface for Photovoltaic Array Monitoring SystemCompetition, Coexistence, and Confidentiality in Multiuser Multi-antenna Wireless NetworksAn Evaluation of the Application of Partial Evaluation on Color Lookup Table ImplementationsTemporal Coding of Cortical Neural Signals and Camera Motion Estimation in Target TrackingNanowire Synthesis and Characterization: Erbium Chloride Silicate and Two Segment Cadmium Sulfide-Cadmium Selenide Nanowires and Belts
Photonic layer security in fiber-optic networks and optical OFDM transmission2DEG Hot Electron Microbolometer For Millimeter and Sub-millimeter Wavelength Heterodyne Sensing
Genetic algorithms based feature selection and decision fusion for robust remote sensing image analysis
Neonatal Respiratory Monitoring System Based on the Thoracic Expansion MeasurementComparative analysis of communication architectures and technologies for Smart Grid Distribution NetworkEnergy Efficient RF Transmitter Design using Enhanced Breakdown Voltage SOI-CMOS Compatible MESFETsA CMOS Sigma-Delta Digital Intermediate Frequency to Radio Frequency TransmitterInfluence of aluminum and bismuth on indium gallium phosphide solar cell structures using deep level transient spectroscopyAccurate RTA-Based Non-Quasi-Static Compact MOSFET Model for RF and Mixed-Signal Simulations
Modified continuous free-flow electrophoresis---a step towards desalination
Object Tracking and Searching in Distributed Camera Networks
Statistical Signal Processing Methods in Scattering and Imaging
Spatial static interference detection in digital video
Pair and multiplet production by x-ray and the induction phenomenon
Fault detection and isoloation in low-voltage DC-bus microgrid systemsLow Power Wireless Integrated Circuits for Implantable Devices
Dispatch of Bulk Energy Storage in Power Systems with Wind GenerationMulti-scale reflection modulator-based optical interconnects
Clock and frequency synchronization techniques in software-defined radios
A 2-bit 1Gsps ADC Array with 32:1 Serializer in 45nm CMOS SOI Technology
Magnetic tunnel junction based spintronic logic devicesUltra-low power and radiation hardened asynchronous circuit design
Improving Performance in EPC Gen 2 UHF RFID Systems
Silicon-based millimeter-wave circuits for W-band applicationsPattern classification and reconstruction for hyperspectral imagery
Application of Inter-Die Rank Statistics in Defect Detection
Nanostructured Materials for Energy Generation and Storage
Multidimensional DFT IP Generators for FPGA Platforms
Linear position control in the presence of non-linear friction
Quantitative motor assessment in patients with mobility limiting conditions using wearable sensors
Novel methods for evaluating VLSI circuit performance bounds and optimization with process variationsFabrication and characterization of a thick film mass flow sensor on a fused silica substrateCharacterization and Modeling of 4H-Silicon Carbide Low Voltage MOSFETs and Power MOSFETsRobust signal processing methods for miniature acoustic sensing, separation, and recognitionOptimization of List Sphere Decoding Through Parallelization and Feedback Control
Design and Development of a Novel Fast Pilot Protection System for Future Renewable Electric Energy Distribution Management ProjectScalable Surface-Potential-Based Compact Model of High-Voltage LDMOS Transistors
Analysis and optimization of broadband measurement cells for the characterization of dielectric polymer films
Extremely Low-Delay Coding of Gaussian Sources with Side Information at the Decoder
Simulation of a pipelined datapath for a Very Long Instruction Word (VLIW) processor using Verilog
Bayesian Networks and Gaussian Mixture Models in Multi-Dimensional Data Analysis with Application to Religion-Conflict DataEngineering the Electrode-Electrolyte Interface: From Electrode Architecture to Zinc Redox in Ionic Liquid Electrolytes
Design and implementation of metamaterial based strain sensor using aperture coupled antenna
Eukaryotic gene prediction
Cooperative Interference Management in Wireless Networks
Design and fabrication of uncooled thermoelectric infrared detectors
Tracking-Optimized Video CompressionOptimum Performance of UHF RFID Tags in Dielectric Environment
Novel Low-Dimensional Infrared Photodetectors and Superlens LithographySignal Optimization for Efficient High-Power Amplifier OperationLow-power Design of a Neuromorphic IC and MICS Transceiver
Distributed Scheduling Algorithm Design for High-Speed Switching Systems
Transient pressure analysis of exploding aluminum metallized films
Self-assembled synthesis of microfluidic channels in PMDS
Neuromorphic Controller for Low Power Systems From Devices to Circuits
Electrical Detection of Spin-Dependent Transport in Silicon
Second Generation Sparse Models
Ion Channel Reconstitution Platform Allowing Simultaneous Recording from Multiple Bilayer SitesHigh frequency thermally actuated single crystalline silicon micromechanical resonators with piezoresistive readoutNeedle detection and localization in simulated in-vivo ultrasound image for use in breast biopsyA model-based approach for automatic recovery from memory leaks in enterprise applicationsSpin polarized charge carrier injection, transport, and detection in organic semiconductors
Fractional Focusing and the Chirp Scaling Algorithm With Real Synthetic Aperture Radar Data
Electronically assisted relative and absolute phase control of semiconductor lasersPhysical layer watermarking of binary phase-shift keyed signals using standard GNU Radio blocks
Harsh-environment MEMS temperature sensors for aircraft bearing health monitoring applicationsExtreme temperature memory design with the reduced design time using silicon on sapphire technologyElectrochemical fabrication and characterization of Zinc Oxide nanowires in anodized alumina nanopores
The impact of causality on information-theoretic source and channel coding problemsOn fundamental limits and design of explicit schemes for multi-user networksUsing Forward Masking Patterns to Predict Imperceptible Information in Speech for Cochlear Implant SubjectsOn the Study of WEC Prototype Advancement with Consideration of Real-Time Life Extending ControlAdaptation multicoeur d'un noyau de partitionnement robuste vers l'architecture PowerPC
Techniques for high-performance integrated switched-mode DC-DC convertersExperimental Investigation into Novel Methods of Reliable and Secure On-Body Communications with Low System Overheads
Atomistic modeling of aluminum nitride/gallium nitride HEMTs for applications in harsh environments
Development and applications of a laser micromachined inter-digitated capacitive strain sensor
LO Generation and Distribution for 60GHz Phased Array Transceivers
Framework for reporting non-rigid image registration performance
Multi-Carrier Communications Over Underwater Acoustic ChannelsAtrial Fibrillation Signal AnalysisDeregulated Real-Time Pricing for the Promotion of Distributed RenewablesMEMS Lens Scanners for Free-Space Optical Interconnects
Demonstration of a compact 100 Hz, 0.1 J, diode-pumped picosecond laserTerrain Classification using Proprioceptive Sensors
Optimizing information querying and dissemination in wireless networks
Detection and Classification of Cardiac Arrhythmias
Multi-Band High Efficiency Power Amplifier
On the roles of solid wall in the thermal analysis of micro heat pipes
Data acquisition system for fingerprint ultrasonic imaging device
Advanced Relay Design and Technology for Energy-Efficient ElectronicsNovel high-speed & multi-function CMOS signal processing circuitReal-time hand-pair segmentation and gesture recognitionBandwidth Extension of Fusion Plasma Millimeter Wave Imaging Systems
Fading pdf of free-space optical communication system with pointing error
A comparison and evaluation of three different pose estimation algorithms in detecting low texture manufactured objectsThe effect of the sputtering parameters on the ITO films deposited by RF magnetron sputtering
Blind signal separation using single tap and multi tap acoustic path implementation of the jade algorithmShort circuit analysis and circuit breaker interrupting capability validations for industrial power plants
Accurate circuit model for predicting the performance of lead-acid AGM batteries
Design and implementation of a hybrid control strategy for a small scale coaxial rotor helicopter
Dual-Edge Triggered Pulsed Flip-Flop With High Performance And High Soft-Error Tolerance
Design, fabrication, and testing of saw resonators on quartz for the detection of hydrogen fluoride
Use of a laboratory-sized power grid (LabGrid) for detection of unfavorable conditions and demonstration of intentional islanding
Performance evaluation of convolutional encoded partial differential space time OFDM using modified local splinesAlgorithmic aspects of energy efficient transmission in multihop cooperative wireless networksGrowth and characterization of zinc oxide nanowires on phosphorus-silicon substrates using chemical vapour transportOptimization of Integrated Renewable Energy System -- Micro Grid (IRES-MG)Endure - engineering durable and efficient hierarchical wireless sensor networks
Segmentation and classification of blood cells for an automated differential blood count systemBrain-Computer Interfaces for Inducing Brain Plasticity and Motor Learning: Implications for Brain-Injury RehabilitationMulti-Antenna Multi-User Interference Cancellation and Detection Using Precoders
Distributed Storage with Communication Costs
Crystalline-Silicon/Organic Heterojunctions for Solar Photovoltaics
Compressive sensing in coherent imaging and antenna synthesis
Post processing of multiple GPS receivers to enhance baseline accuracy
Distance estimation to a transmitter with stateful preprocessing
Position Determination in Wireless System and Its Application
Coherent System Design for Cognitive Radio Networks
Some studies of routing and signal processing in sensor networks
Data based design of PID controllers for a magnetic levitation experiment
Improved data transmission via inductive link for neural recording devices
Snore ANC systems using pillow setup with obstructive sleep apnea detection
Path planning for an autonomous mobile robot with randomly moving obstacles and goal
Conception et realisation CMOS d'un detecteur de puissance RF pour un systeme automatique d'adaptation d'impedanceIntegrated Circuits and Systems for Sparse Signal Acquisition based on Asynchronous Sampling and Compressed Sensing
Maximizing the system lifetime in wireless sensor networks using improved routing algorithmA linearization method for a UWB VCO-based chirp generator using dual compensationA feature-based algorithm for spike sorting involving intelligent feature-weighting mechanismEfficient data collection in wireless sensor networks: Modeling and algorithmsNoise-shaping stochastic optimization and online learning with applications to digitally-assisted analog circuitsLog-Periodic Microstrip Patch Antenna Miniaturization Using Artificial Magnetic Conductor SurfacesMIMO Precoder Design for ARQ Systems Based on Imperfect Transmitter Channel State Information
Application of cooperative relaying schemes in downlink/uplink communications in cellular networksCompressed sensing based cyclic feature spectrum sensing for cognitive radios
Fabrication and Characterization of Novel 2SSS CIGS Thin Film Solar Cells for Large-Scale Manufacturing
A two-dimensional numerical simulation of plasma wake structure around a CubesatProtection for grid connected wind systems with the implementation of solid state circuit breakers
Experimental testing of the extended high-gain observer as a disturbance estimator
Integrated CMOS Optical Sensing Architectures for Frequency-Domain Near-Infrared SpectroscopyFabrication and characterization of Bi-2212 with applications to high temperature superconductorsDesign and self-calibration scheme for RF circuits using MEMS in 3D packagesA 5 GHz Ring-Oscillator PLL with Active Delay-Discriminator Phase Noise Cancellation LoopFabrication and characterization of hafnium oxide films for interfacing to a neuron
Perceptual image compression using JPEG2000
A CMOS Analog Front-End IC for Gas Sensors
Modeling and control of a nonlinear electric power utility boiler system
Conformal Body-Worn Smart Antenna System for Wideband UHF Operation
An ultra low power subthreshold Delta Sigma Digital to Analog Converter
Linear transformations of features for automatic speech recognition
Detection and classification of buried radioactive materials
Magnetic tunnel junction based spintronic logic and memory devicesUse of a Continuation Method for Analyzing Start-up Circuits
Miniature mass spectrometry: RF amplitude control system design
Wavelet polynomial threshold based filter for high resolution microscopy
Semantic-level Fusion with Noise in Computer Vision
Vehicular MIMO SAR Imaging in Multipath Environments
Design and analysis of low complexity network coding schemes
Towards energy efficient mobile sensing
3D spatial layout and geometric constraints for scene understanding
Analysis of an air-spaced patch antenna near 1800 MHz
Multiplexing/de-multiplexing Dirac video with AAC audio bit stream
Development of reduced complexity models for electromagnetic modeling
Segmentation of 3D Radio Frequency Echocardiography Using a Spatio-temporal PredictorCalculation of defect densities in nano-crystalline and amorphous silicon devices using differential capacitance measurementsVariability and Reliability in Nanoscale Circuits: Simulation, Design, Monitoring, and Characterization
Optical Multicast Overlay and Survivable Architectures in High Speed Multi-Wavelength Optical Access Networks
Joint use of early and quasi-continuous wave photons in time-gated tomographic imaging
Electromagnetic sensors for measurements on electric power transmission linesOn Gas Detection and Concentration Estimation via Mid-IR-based Gas Detection System Analysis ModelSurface enhanced Raman spectroscopy on dielectrophoresis induced diffusion limited aggregation of gold nanoparticles
Ambient and Cryogenic, Decade Bandwidth, Low Noise Receiving System for Radio Astronomy Using Sinuous Antenna
Gestion de la qualite de service et planification optimale de reseaux de capteurs multimedia sans fil
Silicon wafer defect segmentation using modified pulse coupled neural network
Etude de la forme des impulsions par la methode des moments: Application a la propagation dispersive non-lineaire et aux equations maitresses des lasers tout-fibre
A joint optical flow and principal component analysis approach for motion detection from outdoor videos
Multiple-channel hybrid active noise control systems with infant cry detection for infant incubators
Loudness Estimation Through Evoked Responses at Audiometric Frequencies
Underwater acoustic channel modeling
A high performance baseband instrumentSpectrally efficient anti-jamming system design in wireless networks
Single-material MEMS using polycyrstalline diamond
Fault-Tolerant Isolated Converter
A model for cost of residential power outages: A Saudi Arabian study case
Modeling branched wiring networks using inversion
The evaluation of the V and W bands for satellite communications
Development of electrolytes for Lithium-ion capacitors
Modular Integration of Microfluidic Systems on Printed Circuit BoardsSilicon piezoresistive microcantilever for potentiometric sensing
Subthreshold 3 phase mixers
Blind source separation using sub band based JADE algorithmCooperative sensing for target estimation and target localization
Automatic Registration of Multi-Modal Airborne Imagery
Signal processing on digitized ladar waveforms for enhanced resolution on surface edgesModel-Based Material Parameter Estimation for Terahertz Reflection SpectroscopyEfficient VLSI architectures for algebraic soft-decision decoding of Reed-Solomon codes
Development and human performance evaluation of a ground vehicle robotic force-feedback tele-operation systemVariation-aware circuit and chip level power optimization in digital VLSI systems
The Performance of Serial, Matched-Filter Packet Acquisition Using Transmit and Receive Antenna Diversity
Environmental Sensing Applications of Zinc Oxide Based Film Bulk Acoustic ResonatorEffects of Sputtered Platinum Counter Electrode and Integrated Titanium dioxide Electrode with SWCNT on DSSC PerformanceSpatio-temporal equalization for multipath mitigation in aeronautical telemetryA new framework for airborne minefield detection using markov marked point processesA Computer-Aided Control Framework for Control, Instrumentation, and Mechatronics Laboratory Education
Multi-threshold transistors cell for low voltage integrated temperature sensing application in digital deep submicron process
Extraction of RF Transceiver System Parameters and Impairments through Detailed Analytical Modeling combined with a Genetic Algorithm ApproachA new derivation of the pull-out frequency for second-order phase lock loops employing triangular and sinusoidal phase detectors
Methods for improving stability and power quality in networks with high levels of power electronics
Nonlinear Transmission Impairments in High-Spectral Efficiency Fiber-Optic CommunicationsMIcrowave Measurement System for Breast Cancer Imaging: An Experimental Prototype Towards Time-Domain Inverse ScatteringEstimation of thermal impedance parameters of silicon germanium heterojunction bipolar transistors
Signal Analysis of Measurements in Atrial FibrillationLithium-based battery system management and balancing
Test generation for partially-functional broadside tests
Lateral Programmable Metallization Cell Devices And ApplicationsImplementation of blind source separation using RADICAL ica algorithm
In-situ radio frequency identification (RFID) moisture meter
Error tolerance approach for similarity search problems
Description and evaluation of the CASA dual-Doppler system
A Game Theoretical Approach to Communication SecurityA 2.45GHz RF-front end for a micro neural interface systemComputational methods for fluorescence molecular tomography
Topics in Sequential Decision Making: Analysis and Applications
Peak average power reduction in OFDM systems using interleavingInvestigation of homo-junction InGaAs band-to-band tunneling diodes
Decimal error correction of SMS messages for automated data collection
Convergence of heterogeneous wireless networks
Incorporating Auditory Models in Speech/Audio Applications
Efficient signal processing algorithms for digital communication systems
Micromachined differential scanning calorimeter for cellular differentiation and metabolism monitoringFabrication and Characterization of High Performance Silicon Nanowire Field Effect Transistors
Efficient Collision Resolution Protocol for Highly Populated Wireless NetworksExploring Effects of Physical Environment Parameters on Femtocell-Based Communication
Design of Low Noise and Low Power Front-end Readout Circuitry in Radiation Detector System
The role of cross-linking in surface roughening of polymers during plasma etching
Advanced impedance measurement through the elimination of non-harmonic frequency crosstalk
Temperature Compensated, High Common Mode Range, Cu-Trace Based Current Shunt Monitors Design and Analysis
Piezoelectric MEMS for acoustic sensing, contactless RF switching, and resonant mass sensingA proposed system for a Smart Grid implementation at Oklahoma State University
Electric field analysis of human breast tumors for treatment by electroporationConformal electronics packaging through additive manufacturing and micro-dispensing
Low-Profile Wideband Antennas Based on Tightly Coupled Dipole and Patch ElementsImplementation of complexity reduction algorithm for intra mode selection in H.264/AVCDesign and implementation of integrated self-powered sensors, circuits and systems
Explicit solutions for a class of inherently nonlinear systems and applications to controller design
Built-in Self-Test Solution for CMOS MEMS SensorsUncertainty Propagation in Transistor-level Statistical Circuit Analysis
High Accuracy Sensor Aided Inertial Navigation Systems
Reliable GPS Integer Ambiguity Resolution
Pilot based channel estimation for 3GPP LTE downlink
Analysis of different robust methods for linear array beamforming
A Dual Communication and Imaging Underwater Acoustic System
Programmable Metallization Cell Devices for Flexible Electronics
Predictive Modeling for Extremely Scaled CMOS and Post Silicon Devices
Network Interference Management Via Interference Alignment
A highly linear voltage controlled oscillator with low power dissipation
Power transformer monitoring using embedded MEMS gas sensor
The impact of distributed generation on secondary networks
Adaptive transmission techniques for fast fading channelsApplications of Support Vector Machines in Electromagnetic Problems
Measurement and Analysis of Packet Error Rate in a Clean Wireless Environment
An outlier detection approach for PCB testing based on Principal Component AnalysisMESFET Optimization and Innovative Design for High Current Device ApplicationsA Perceptual Study on Linearly Approximated FO Contours in Cantonese SpeechANN-based fault classification and location on MVDC cables of shipboard power systems
Real-time disparity computation and tracking for hand-pair gesture recognition
Accurate Indoor WLAN Localization with Reduced Calibration via Machine Learning and Convex OptimizationMethodologie de verification automatique basee sur l'utilisation des tests structurels de transition avec insertion de registres a balayage
Through-Silicon Via (TSV) Related Noise Coupling in Three-Dimensional (3-D) Integrated Circuits (ICs)Generalized Monte Carlo Tool for Investigating Low-Field and High Field Properties of Materials Using Non-parabolic Band Structure Model
Studies of visible light illumination and substrate material effects on aluminum single electron transistors: Towards an understanding of background charge
Microfluidic Devices for High Throughput Cell Sorting and Chemical TreatmentFabrication et caracterisation de nanocristaux de silicium localises, realises par gravure electrochimique pour des applications nanoelectroniques
Fast local transient solution of micro-channel heat sink using asymptotic waveform evaluation
Lithium ion battery failure detection using temperature difference between internal point and surfaceDesign of an Automated Validation Environment For A Radiation Hardened MIPS MicroprocessorApplication of digital signal processing to underground power cables fault detection
Electronic Pillbox Logger for people with Parkinson's Disease
Artificial Underwater Electrolocation
Multi-objective design of mechanically-commutated DC machines
Discrete-time PID Controller Tuning Using Frequency Loop-ShapingTable driven, adaptive effectively heterogeneous multi-core architecture
Simulation of MOSFETs, BJTs and JFETs At and Near the Pinch-off Region
Analysis and Design of an Intra-Coaxial Reflected-Power Telemetry SystemHigh-dimensional Principal Component AnalysisDoppler simulator for moving target simulation in X-band radar applicationsDevelopment of Spray Cooling for High Heat Flux ElectronicsAutomatic Term-Level Abstraction
Characterization of gradient estimators for stochastic activity networks
Improved Coherency-based Dynamic Equivalents
Enhancing multispectral imagery of ancient documents
Low complexity AVS-M using machine learning algorithm C4.5
Software-defined-radio based ionospheric chirpsounder for self-organizing HF networksNovel Integral Equation Methods Applied to the Analysis of New Guiding and Radiating Structures and Optically-Inspired Phenomena at MicrowavesOptimization for stochastic, partially observed systems using a sampling-based approach to learn switched policiesA Pipelined ADC With Metastability Error Rate < 10(-15 exponent) Errors/Sample
Electromagnetic modeling of subsurface light nonaqueous phase-liquids spillsDispersion of silicon based micro- and nano-photonic structures and its device applications
Algorithme de transfert intercellulaire vertical pour les reseaux sans fil heterogenes base sur le filtrage de Kalman
Comparing Intelligent Predictive Controller (IPC) and proportional-integral-derivative controller on well controlEvaluation of step detection of piecewise constant signals using phase congruency, real footprints and complex footprints
Immediate Calibration of Operational Amplifier Gain Error in Pipelined Analog-to-Digital Converters Using Extended Correlated Double SamplingDesign of zinc oxide based solid-state excitonic solar cell with improved efficiency
Low complexity H.264 encoder using machine learning for streaming applicationsVoltage regulation and reactive power support via photovoltaic inverters as a smart grid applicationAttenuation and Dispersion Models for Helically Propagating Spatial Domain Multiplexed (SDM) Channels of Same Wavelength in Step Index Optical FibersMagnetic Pattern Fabrication and Characterization for Next Generation High Density Magnetic Recording SystemGraphene Synthesis by Thermal Cracker Enhanced Gas Source Molecular Beam Epitaxy and Its Applications in Flash MemoryDevelopment of haptic electrotactile rendering device: Design implementation and testing
Distributed Power and Beam Optimization for Wireless Interference Networks
Architecture and Protocol for Optical Packet Switching
Power-efficient Design of Multi-Gbps Wireless Baseband
Towards FPGA Hardware in the Loop for QCA Simulation
Low complexity and high efficiency prediction techniques for video codingCharacerization of a photoluminescence-based fiber optic sensor system
A compressed sensing approach to ultra-wideband receiver design
Computational prediction of genome-wide microRNA targets and functions
Remote laboratory for dielectric measurement system
Reliable and fault tolerant analog and mixed signal circuit designDistributed Admission Control and Quality Control for Multicast Network
Effective data selection technology for robust speaker recognitionPower management techniques for micropower energy scavenging
Lensfree Holographic On-Chip Imaging and Three-Dimensional Tracking
Trajectory Exploration and Maneuver Regulation of the PendubotInformation Theoretic Limits of MIMO Interference and Relay NetworksWireless 3D System-on-Package (SoP) for MEMS Movable Microelectrode
Trapping Effects in Aluminum Gallium Nitride/Gallium Nitride HEMTs for High Frequency Applications : Modeling and Characterization Using Large Signal Network Analyzer and Deep Level Optical Spectroscopy
Small-signal stability, transient stability and voltage regulation enhancement of power systems with distributed renewable energy resources
Analysis and application of lattice vector quantization using mixture models and bit-plane codingModeling Terahertz Diffuse Scattering from Granular Media Using Radiative Transfer Theory
A Hardware Implementation of a Coherent SOQPSK-TG Demodulator for FEC ApplicationsDesign of an automatic word boundary detection system using the counting ruleAn embedded hardware implementation for semi-automated irregular wound area measurementLarge system analysis of multi-cell MIMO downlink: Fairness scheduling and inter-cell ooperation
Novel Electrofluidic Display Devices Enabled by Fluid-Confining Laplace Barriers
MIMO techniques for spatially correlated multi-carrier delay diversity modulation and radar imaging systemsHot-Electron Gallium Nitride Two Dimensional Electron Gas Nano-bolometers For Advanced THz SpectroscopyDesign, Modeling And Simulation Of Nanoscale Optoelectronic Devices: Semiconductor Nano-Lasers And Plasmonic Waveguides
On-chip parallel processing using tuple space programming and its USB interfacing for reconfigurable computingApplication and design of induction machine damping unit (IMDU) for eliminating subsynchronous resonanceA High-Speed Low-Power Modulo 2(n)+1 Multiplier Design Using Carbon-Nanotube TechnologyCompact microwave devices based on nonlinear transmission line and substrate integrated waveguide
Optical Vector Near-Field Imaging for the Design of Impedance Matched Optical Antennas and Devices
Resource adaptation in time-varying frequency-selective channels
Performance-driven behavioral battery modeling for large format batteriesAdaptive power management algorithm in mobile ad hoc network
Non-Classical MOSFETs: Design, Modeling, and Characterization
Handling missing data in high-dimensional subspace modeling
Design and Development of Performance Metrics for Elite Runners
Performance analysis of multiantenna transmission strategies with ordering
Resource Allocation and Interference Mitigation in Cooperative Networks
A Structured Design Methodology for High Performance VLSI Arrays
Design approaches for nanoscale logic and memory architectures
Ultra Structurally Based Impedance Model for Oral Cancer Detection
On the Dynamics of Epileptic Spikes and Focus Localization in Temporal Lobe EpilepsyEnergy Efficient Acquisition and Inferencing for Low Power Physiological SensingAnalysis, Simulation and Measurements of CBS Antennas Loaded with Non-Uniformly Biased Ferrite Material
Control and Topology of High Power Converters: I: Hexagram Inverter for Motor Drive Application II: New Bidirectional Isolated High-Voltage Gain DC-DC Converter
Distributed control system and architecture for integrated control and diagnostics of turbine enginesMulti-Output Multi-Modal Parts-Based Regression for High Dimensional Data with Low Sample SizeSignal Processing and Robust Statistics for Fault Detection in Photovoltaic Arrays
Illumination Independent Head Pose and Pupil Center Estimation for Gaze ComputationThree-dimensional simulation of scar formation post myocardial infarction using CT imagesIdentification, state estimation, and adaptive control of type 'I' diabetic patientsParameter identification and control for a drum boiler system -- a nonlinear approach based on a finite-time observer
Dynamic Partial Reconfiguration for Pipelined Digital Systems A Case Study Using A Color Space Conversion EngineSignal to Noise Ratio Estimation using the Expectation Maximization AlgorithmPost Processing Based Cell Search and Network Assisted Received Signal Code Power (RSCP) Measurements
A Power System Reliability Evaluation Technique and Education Tool for Wind Energy IntegrationChannel Estimation and Optimal Resource Allocation of Relay Assisted Communication SystemsWhisper speech processing: Analysis, modeling, and detection with applications to keyword spottingDesign, fabrication, and demonstration of low-mass, low-power, small-volume, direct detection millimeter-wave radiometers at 92 and 130 GHzSimulation of Spontaneous Emission in FDTD and Realization of Monolithically Integrated Narrow Linewidth Super Compact Grating Laser
Multi-Scale Optical Metrology of Biomaterials and Nanomaterials for Medical and Industrial ApplicationsNew Measurement Techniques and Their Applications in Single Molecule Electronics
Advanced interference suppression schemes for OFDM systems
A multiple queue replacement exploiting frequency for low level caches
High-resolution source imaging with bio-inspired sensing systems
Novel tunneling diodes for a high performance infrared rectenna
Numerical modeling of microwave emission from irregular layer
Development of system separation strategy using synchrophasor data
Scaling of Inkjet-Printed Transistors using Novel Printing Techniques
Cyber-security risk assessment
Device Modeling of Field-Effect Transistors with Nanocrystalline Channels
A Prototype CVNS Distributed Neural Network
Development of vanadium dioxide-based tunable micro-resonators
Cognitive Robots for Social Interaction
Carbon nanotube field emission arrays
Pulse-frequency modulation (PFM) as an efficient method for charging a supercapacitor in a medium power solar application
Towards the realization of an all electrically controlled Spin Field Effect TransistorSeparating and Detecting Escherichia Coli in a Microfluidic Channel for Urinary Tract Infection (UTI) ApplicationsAn integrated electromagnetic micro-turbo-generator supported on encapsulated microball bearingsModeling, control and analysis of a Doubly Fed Induction Generator based wind turbine system with voltage regulation
Non-Linear MMIC Design using Aluminum Gallium Nitride/Gallium Nitride HEMT Technology
Fabrication and characterization of nanocrystalline yttrium oxide thin films for electronic device applicationsDelay based approach to support low priority users in preemptive wireless networks
Constructions, Analyses and Decoding Algorithms of LDPC codes and Error Control Codes for Flash Coding
Design of a Continuous Time Sigma Delta Analog-to-Digital Converter for Operation in Extreme EnvironmentsDevelopment of laboratory based smart micro grid to be used as an educational toolDistributed model for thermal characterisation of oxide isolated silicon germanium heterojunction bipolar transistors
Converse piezoelectric responses in non-piezoelectric materials implemented via asymmetric configurations of electrodes
Application of the take-back-half algorithm to voltage source converter current controlGUI lite---A reduced complexity graphical user interface development toolbox in MATLAB (with applications to digital speech processing problems)Fabrication of horizontal silicon nanowires using a thin aluminum film as a catalyst
Power converter circuits for voltage boosting, balancing and reliable operation of energy systems
An Adaptive Threshold Method to Address Routing Issues in Delay- Tolerant Networks
Preserving trustworthiness and confidentiality for online multimedia
Bio-Inspired Hardware/Software Motion Sensor System
Electronic structure and quantum transport in controlled impurity systems
Embedded Antennas in Concrete for Application in Wireless Sensors
Information aggregation in sensor networks
Wide stripe single and dual wavelength mode semiconductor diode lasers
Transformer modeling in ATP: Internal faults & high-frequency discretization
Adaptive Filter Design for Sparse Signal Estimation
Dual-Wavelength Internal-Optically-Pumped Semiconductor Laser Diodes
Integration of a 15-Element, VHF Bow-Tie Antenna Array into an Aerodynamic Fairing on a NASA P-3 AircraftProposal and Analysis of Demagnetization Methods of High Voltage Power System Transformers and Design of an Instrument to Automate the Demagnetization Process
Analysis and optimal design method of LCLC resonant tank for bidirectional DC-DC resonant converter and LCLC resonant converter's efficiency performance in wireless low power transfer applicationsThree-tier wireless sensor network infrastructure for environmental monitoringDesign and construction of an Ultra High Vacuum Chemical Vapor Deposition for group IV material growth
Complete and Exact Small Signal Analysis of DC-to-DC Switched Power Converters Under Various Operating Modes and Control MethodsEngineering metal parallel plate waveguides as a 2-D plane for high resolution Thz time domain spectroscopyTechniques for efficiency enhancement in switched-capacitor DC-DC convertersOptimal Power Generation of a Wave Energy Converter in a Stochastic Environment
Applying network coding for multiple access cooperative wireless relay network
Control and Communication for a Secure and Reconfigurable Power Distribution SystemIntegration of enzyme-based biosensors and CMOS electrochemical instrumentation through a lab-on-CMOS platformStatistical inference for dynamical, interacting multi-object systems with emphasis on human small group interactionsMolybdenum Nitride Films in the Back Contact Structure of Flexible Substrate Cadmium Telluride Solar CellsPhysical layer test trials and analysis of call drops and real time throughput versus channel capacity of the long term evolution (4G) technologyHigh precision range estimation techniques for multi-path wireless environments
Computer aided image analysis of retrieved metallic femoral knee implant surface damageFitting model parameters to patient data automatically through evolutionary computationSeparating Radiation and Thermal Effects on Lateral PNP Bipolar Junction Transistors Operating in the Space Environment
Magneto-Dielectric Polymer Nanocomposite Engineered Substrate for RF and Microwave Antennas
Enhancing high-gain-observer performance in the presence of measurement noiseAn integrated mobile system for gang graffiti image acquisition and recognition
On-chip Benchmarking and Calibration without External References
Efficient Perceptual Super-Resolution
Optimal Control with Information Pattern Constraints
Optimization of Fault-Insertion Test and Diagnosis of Functional Failures
Cyber physical complex networks, modeling, analysis, and controlLinear Frequency Modulation of Stochastic Radar Waveform
An FPGA-based neural network for real-time stock price forecast
Space-Frequency Regularization for Qualitative Inverse Scattering
Low Level Optical Detection of Nanoparticles in Blood
Sensing and transmission strategies in wireless cognitive radio systemsLow-Resolution Vision for Autonomous Mobile Robots
Direction finding in the presence of a more realistic environment model
Modeling and analysis of air breathing hydrogen-based PEM fuel cellsModeling and Control of Wind Generation and Its HVDC Delivery System
Radar sensor networks: Waveform design, mimo and compressive sensing
Mixed Signal design in Thin Film TransistorsAccurate and efficient modeling of interconnects in lossy layered media
Optimizations and Applications in Head-Mounted Video-Based Eye Tracking
Centralized wind power plant voltage control with optimal power flow algorithm
Network coding for wireless and wired networks: Design, performance and achievable rates(Amorphous silicon germanium/nanocrystaliine silicon) superlattice thin film silicon solar cell
Complexity and Delay Constrained Compression and Transmission of InformationDevelopment of the Double Integration Time Delay Estimator for use with Acoustical Positioning Systems
Sparse recovery with partial support and signal value knowledge and applications in dynamic MRI
Atomic-layer-deposited high-k dielectric/III-V semiconductor integration for future non-silicon CMOS applicationsOptimum partitioning strategies in partitioned finite element analysis of electromechanical devicesMachine Learning and Model Based 3D Segmentation Algorithms for Challenging Medical Imaging Problems
An investigation into the paintbrush deposition technique for P3HT:PCBM based organic heterojunction solar cells
Zinc Oxide/Silicon Heterojunction Solar Cell Simulation and Experimental Evaluation
CMOS Analog and Radio-Frequency Integrated-Circuit Design Employing Low-Power Switched-Capacitor TechniquesUniversal Utility Interface for Plug-in Hybrid Electric Vehicles with Vehicle-to-Grid Functionality
Single-event gate rupture in power mosfets: A new radiation hardness assurance approachConsequences of Post-Tape-Out Layout Manipulations on the Performance of Analog Integrated Circuits
A 280 mW, 0.07 % THD+N Class-D Audio Amplifier Using a Frequency-Domain Quantizer
Mostly Digital ADCs for Highly-Scaled CMOS Processes
Optical Theory for the Advancement of Polarization LidarCharacteristics of different focusing antennas in the near field region
Spare Block Cache Architecture to Enable Low-Voltage Operation
Coding for Flash Memories
CMOS image sensors for lab-on-a-chip microsystem design
Automated Micro-Tracking Planar Solar Concentrators
Finding Difficult Speakers in Automatic Speaker Recognition
Highly accurate pulse prediction for exercise equipmentRobust Geolocation Techniques for Multiple Receiver Systems
Impact of wind turbine on power system voltage stability
Bayesian Approaches to Inverse Problems in Functional Neuroimaging
Design of a semi-active controllable electromagnetic shock absorber
Equalization algorithms for the two-dimensional intersymbol interference channelCoding for storage: Disk arrays, flash memory and distributed storage networksDesign and verification of physical layer architecture for a 1-wire sensor communication busCharacterization of Copper-doped Silicon Dioxide Programmable Metallization Cells
Analysis of Real-Time Tracking over a Multiple-Access Channel and its Application to Vehicular Safety CommunicationsMask Roughness Induced Line-Edge Roughness in Extreme Ultraviolet LithographyA New Green's Function Monte Carlo Algorithm for the Heat Equation Subject to Neumann and Mixed Boundary Conditions: Thermal Analysis of IC ChipsBackground Adaptive Cancellation of Switching Noise in Pipelined Analog-to-Digital ConvertersDesigning a Planar T-match Antenna to have a 2nd-order Chebyshev Band-Pass Filter Frequency Response for the Purpose of UHF RFIDElectrical Spin Injection and Detection in Ferromagnet-Semiconductor Heterostructures
Performance Enhancement Using Cross Layer Approaches in Wireless Ad Hoc Networks
Reduced Defect Density nAlGaN Template Layer for Improved Output Deep-UV LED
SUPL-based A-GPS simulator support and testing platform for mobile devicesEstimation with Wireless Sensor Networks: Censoring and Quantization PerspectivesA quantitative, technology independent, fidelity metric for evaluating bioprinted patterned co-cultures
Development and simulation of DSP filters for transformer differential protection
Field Effect Modulation of Ion Transport in Silicon-On-Insulator Nanopores and Their Application as Nanoscale Coulter Counters
Quantum Dot Infrared Photodetectors Based on Structures with Potential Barriers: Modeling and OptimizationDevelopment of Quantum Cascade Lasers with Novel Active Regions and Integrated Nano-Antennas
Segregation of speech signals in noisy environments
External control of semiconductor nanostructure lasers
Applications of Gaussian mixture model to weather observationsArithmetic with the Two-Dimensional Logarithmic Number System (2DLNS)
Energy Harvesting and Power Optimization for Remote Sensing SystemsAnomalous Event Detection From Surveillance Video
New optimization techniques for power system generation schedulingDesign of an 866 Mhz on-chip frequency synthesizerRobotic ultrasound volume modeling for breast cancer screening
Unified Frameworks for Multiple Antenna Communication Systems
Advanced digital and analog error correction codesApproaches to Arc Flash Hazard Mitigation in 600 Volt Power Systems
Traffic engineering of multicast sessions in multiple network layersVoltage stability limits for weak power systems with high wind penetration
High dielectric constant gate oxides for III-V CMOS
The plenoptic camera: Theory and experimental results
Statistical Verification and Optimization of Integrated Circuits
Multivariate statistical analysis of magnetoencephalography data
An investigation of energy efficiency in electrical explosion of aluminum metallized filmGaussian Mixture Model based Spatial Information Concept for Image Segmentation
Energy and conductance state modeling of power electronic converters for DC microgridsCompressive sensing and wireless network capacity with performance analysisIncreased accuracy & security of fingerprint recognition system using high-boost fusion of polarization-encoded patternsConstruction and demonstration of haptic devices for force application training in grasping and dissection tasks of laparoscopic surgery
Approximate computing: Enabling voltage over-scaling in multimedia applicationsSpatial Spectrum Estimation with a Maneuvering Sensor Array in a Dynamic EnvironmentMoment propagation methods for stochastic simulation of complex biochemical systems
Development of Ultra-Low Resistance Ohmic Contacts for indium gallium arsenide/indium phosphide HBTsActive integrated photonic devices in single crystal lithium niobate micro-platelets and a hybrid silicon-lithium niobate platform
Advanced Theory, Materials and Applications for Electrowetting on Structured Surfaces
A novel method to enhance the lock time of a phase locked loop with external controlDistributed photovoltaic solar coordination with plug-in electric vehicles: How intelligent vehicle charging will promote more renewables penetration
High Performance Electronics for Millimeter-Wave-to-Terahertz Plasma Diagnostics Instrumentation and High Power Devices
A battery-powered multichannel microsystem for activity-dependent intracortical microstimulation
A Study on the Miniaturization of Microstrip Square Open Loop Resonators
Geometric Methods for Spectral Analysis
Large area CMOS compatible near-IR metamaterialsModel based control with applications to automotive engines
Rapid prototyping of high performance signal processing applications
Control architectures for spur-free operation in switching power regulatorsWaveform Diversity and Range-Coupled Adaptive Radar Signal ProcessingAdvancing adaptive model predictive control for biological applicationsCircuit Analysis in Metal-Optics, Theory and Applications
Localization and sensor placement
Improving network performance through pacing
A digitally-intensive amplitude-modulator for a polar edge transmitter
Diodes for optical rectennas
Design and validation of a digital core for wireless communication with RFID-enabled devicesContour-based Object Tracking using Simultaneous Registration and Segmentation
Pressure-driven microfluidic networks using electric circuit analogy for on-chip cell assay applications
Advanced Nanostructured Concepts in Solar Cells using III-V and Silicon-Based MaterialsOptimizing the Efficiency of Organic Bulk Heterojunction Photovoltaics using the Design of Experiments Methodology
Robustness considerations in the controller implementation for a class of MEMS microphones: A simulation study
Computationally efficient stochastic image modeling using bilateral Markov mesh random fieldsAutomated detection of dust clouds, sources, and direction from NOAA-AVHRR satellite imageryDroplet-based microfluidic system for multiple-droplet trapping, storing, and clusteringRadio frequency micro/nano-fluidic devices for microwave dielectric property characterizationsOptical and raman characterization of ALD alumina coated multiwall carbon nanotubes and nanoporous gold film
Design, Simulation, and Experimental Studies of Neural Prosthetic Interface Device
Aluminum gallium nitride/gallium nitride HFET with composite "slow/fast" gateCharacterization and Modeling of High Current ESD Phenomenon in Drain Extended NMOS (De-NMOS)
Charge and field coupling phenomena at metal-oxide interfaces and their applications
An investigation into the effect of blood glucose level changes on LF/HF parameter of HRV signal in non-diabetic and diabetic individualsTime frequency and spatial feature generaton for food kernel inspection and brain computer interfaceDesign and Implementation of a CMOS Digital Phase Imager for Time-Resolved Luminescence Imaging ApplicationsSilicon, germanium, and III-V-based tunneling devices for low-power applicationsFrequency demodulation of magneto-inductive vehicle signatures for vehicle detection and speed and acceleration estimation using a software defined radio
Capacitor voltage balancing strategies for flying capacitor converters
On-chip memory design in scaled technologiesDeterministic mathematical optimization in stochastic network controlNovel algorithms for X-ray computed tomography
An OFDM Based Aeronautical Communication SystemReal time diagnostic systems for motors and drives
Binary dithered oversampling analog to digital converterChaos Computing: From Theory to Application
IP geolocation in metropolitan areas
Multimedia protection using content and embedded fingerprints
Physics and simulation of nanoscale electronic and thermoelectric devices
Air plasma effects on bleeding control and wound healing
Development of Next Generation Computing Elements Fabricated with Emerging TechnologiesCharacteristics of germanium-tin photodetectors and terahertz microbolometersPitch extraction using Euclid's algorithm implemented through sinewave crossings or iterative demodulationPressure-dependent nanohetero-epitaxy of cadmium telluride on silicon(100) and SOI substrates using the close-spaced sublimation technique
Signal processing for passive detection and classification of underwater acoustic signalsElectrical and optical characterization of PLD grown films of barium strontium titanate
Quantifying the effects of shared resource contention on performance in virtualiazed systemsTopology Optimization of Metamaterials and Applications to RF Component DesignNew Analytical Bounds on the Probability of Code-Word Error for Convolution Codes with Viterbi DecodingModel-driven and Data-driven Approaches for some Object Recognition ProblemsEnergy and delay trade-offs in arithmetic circuits: Methodologies and optimizationsLossy joint source channel coding for multicast and multiple description applications
Phase history decomposition for efficient scatterer classification in SAR imagery
Development of a Deep Submicron Fabrication Process for Tunneling Field Effect Transistors
A systematic approach to a high-efficiency and displacement-tolerant link design for inductively-powered biomedical implantsA DC-DC Multiport Converter Based Solid State Transformer Integrating Distributed Generation and StorageStructural, electrical and thermoelectric properties of chromium silicate thin filmsNovel Film Formation Pathways for Copper Zinc Tin Selenide for Solar Cell ApplicationsPerformance Limitations of Linear Systems over Additive White Noise ChannelsError Resilient Multiple Description Video Coding over Wireless Ad-hoc Networks
On-chip mitochondrial assay microfluidic devices and protein nanopore/nanotube hybrid transistor
Optimal electronic device design
Optical assembly of far-field super-resolution imaging via optical gain
Population Monte Carlo sampling for high dimensional problems
Power and leakage minimization for digital ICsResource Allocation in Realistic Wireless Cognitive Radios Networks
Size dependent melting and diffusion of silicon for microsystem applicationsPowering mm-Size Wireless Implants for Brain-Machine Interfaces
Multi-Gigabit Reception with Time-interleaved Analog-to-Digital Converters
Low complexity feature extraction for classification of harmonic signals
Achievable rates for relay networks using superposition coding
Optimal precoding for K user interference alignment schemes
Perceptual Texture Similarity Metrics
Third order nonlinearity in III-nitride microwave switches
Probing ultra-subwavelength inhomogeneities embedded within dielectric targets using photonic nanojetsDesign of a low-power analog circuit for an implantable RFID-enabled device with passive pressure sensorTwo dimensional shape recognition using complex Fourier analysis and extension to three dimensional shape recognitionHigh resolution radar imaging via a portable through-wall MIMO system employing a low-profile UWB array
Investigation of magnetic domain configuration and vortex-antivortex creation and annihilation on cobalt iron boride patternsSpectral estimation applied to CFAR processing of non-stationary radar and sonar data
Microcantilever based potentiometric sensors for harsh environment applications
Dynamic control of water-in-oil droplets in a continuous flow by active and passive methods
Tomographic Diffuse Fluorescence Flow Cytometry for Enumeration of Rare Circulating Cells in Vitro and in Vivo
Optimization study of supercapacitor electrode material and thickness for its enhanced performance
Signal integrity analysis on materials and via structures modeling and characterizationFrom Ptides to PtidyOS, Designing Distributed Real-Time Embedded SystemsAn efficient method for evaluating analog circuit performance bounds under process variations
A Comparative Study of Spectral Efficient Methods in Multi-user Communication NetworksDigital yet Deliberately Random: Synthesizing Logical Computation on Stochastic Bit Streams
A Methodology for Characterizing and Modeling Inverters for Grid Integration Studies using Power Hardware-in-the-Loop
Increasing vertical resolution of three-dimensional atmospheric water vapor retrievals using a network of scanning compact microwave radiometers
Electrical aging of 15 kV EPR cables energized by ac voltage with switching impulses superimposedImage processing tools with applications to prostate cancer diagnosis and Gleason grading
Cross correlation method for measuring amplitude modulation (AM) and phase modulation (PM) noiseModeling the capacitive behavior of coplanar striplines and coplanar waveguides using simple functions
YIG-sphere-based phase shifter for X-band phased array applications
Carbon Based Transistors and Nanoelectronic Devices
Analysis and optimization of OFDM underwater acoustic communications
500 nm Semipolar Gallium Nitride-Based Laser Diodes
CASA real-time multi-Doppler retrieval system
Ideality factor (eta) of 1.3 mum laser diodes: A theoretical model
Plasmonic-based Label-free Detection and Imaging of MoleculesEffects of random delay errors in transversal filters
Low cost Z-source converter/inverter system for wind power generation
Detection and Channel Estimation for Channels with Heavy InterferenceCooperative control, learning and sensing in mobile sensor networksNovel waveform and processing techniques for radar ambiguity reduction
The new generation microwave plasma assisted CVD reactor for diamond synthesisSonochemical Synthesis and Growth Kinetics of Zinc Oxide Nanowires and Zinc Oxide Nanowalls for Sensor ApplicationsRobust Vehicle State Estimation for Improved Traffic Sensing and Management
Low Voltage Ride-Through Capability for Matrix Converter fed Adjustable-Speed Induction Machine Drives for Industrial and Wind ApplicationsOptimal resource allocation and cross-layer control in cognitive and cooperative wireless networksIntegration of Single-Crystal Devices with Flexible Substrates using Conductive Adhesive LayersComparison of different techniques for best overall accuracy in epileptic seizure detection using the NARX neural networkPower supply on chip DC-DC converter identification using black-box modeling techniques
Development of a simulation tool for analysis of freeway crashes due to cell phone usage
Covertly embedded finite state machines and their detection in One-Hot encoded circuits
Switchable and Tunable Ferroelectric Bulk Acoustic Wave Resonators and FiltersImprovement in the performance of 3G wireless communications systems using an ICA based signal processing blockBand structure calculations of strained semiconductors using empirical pseudopotential theoryBackground subtraction and object tracking with applications in visual surveillance
A monolithic phase locked loop frequency synthesizer for a 0.5um CMOS processCompressive nonlinearity for representing speech spectral magnitude to improve noise robustness of automatic speech recognitionDigital Background Calibration Techniques for Current-Steering Digital-to-Analog ConvertersElectromechanical oscillations in hydro-dominant power systems: An application to the Colombian power systemClamping devices to enable concurrent mechanical and electrical connections of a power semiconductorOptimal path planning, implementation and simulation for a breast biopsy system
3D Image Processing of Two-Photon Microscopy Images Depicting Nanoprobes in Skin
Stress-strain management of heteroepitaxial polycrystalline silicon carbide films
Security, Trust and Cooperation in Wireless Sensor Networks
Engineered quantum dots for infrared photodetectors
Low cost electronically steered phase arrays for weather applications
Ultra high frequency 100mhz power controller for RFPA power supply
Silicon-based two dimensional tunable photonic crystal devices
Refocusing of Dual-mode Ultrasound Arrays for Optimal Therapeutic Gain
Neighborhood-level learning techniques for nonparametric scene modelsMultifunctional Carbon Nanotube Sensors for Environmental Monitoring
Voltage Controlled Oscillators Tuned with BST Ferroelectric Capacitors
Wireless Power Transfer for Scaled Electronic Biomedical Implants
Modeling and optimization of field flow fractionation techniquesSpeeding Up Finite Field Inversion for Cryptographic Applications
Limited Feedback and Information Exchange for Wireless Cellular Networks
Towards end to end technology modeling: Carbon nanotube and thermoelectric devices
Real-Time Graphics Processing Unit Implementation of Whitening Filters for Audio SignalsExtracting structural information on manifolds from high dimensional data and connectivity analysis of curvilinear structures in 3D biomedical images
Gap Analysis towards A Design Qualification Standard Development for Grid-Connected Photovoltaic InvertersMachine learning driven model inversion methodology to detect reniform nematodes in cottonEvaluation and reliability analysis of sic bipolar devices under pulsed conditions
Temperature and frequency dependence of thermal impedance in dielectrically isolated SiGe HBTsStudying the stability of fixed speed wind turbines by determining the critical rotor speed
Optimal placement of distributed generation on a power system using particle swarm optimization
Energy Spread Reduction of Electron Beams Produced via Laser Wakefield AccelerationLC/MS peptide alignment and identification approach based on replicate spectral dataCompressed Sensing Based Image Restoration Algorithm with Prior Information: Software and Hardware Implementations for Image-Guided Therapy
A design methodology for a point of load converter for a distributed power architecture using a normally off silicon carbide vertical junction field effect transistor as the enabiling technology
On the use of least favorable distributions to facilitate the design of randomly deployed sensor detection systemsDesign of real-time medium access control and the optimization of end-to-end delay using genetic algorithmAccelerated Life Testing of Electronic Circuit Boards with Applications in Lead-Free Design
Randomized Iterative Reconstruction Algorithms for Delta-Sigma A/D Converters: Exploring Time-varying and Non-linear PropertiesAmorphous Metal-Oxide Based Thin Film Transistors on Metal Foils: Materials, Devices and Circuits IntegrationAdvancements in robust algorithm formulation for speaker identification of whispered speech
Exploitation of path diversity in cooperative multi-hop wireless networks
Hotspot congestion control for network-on-chip and large-scale switches
Application Layer System Design for Layered Video Streaming
Electrically Tunable Liquid Crystal Millimeter Wave Devices
Nonparametric Bayesian context learning for buried threat detection
Wideband monolithically integrated front-end subsystems and components
Switching strategies for AC/AC vector switching matrix convertersCompressive Holography
Markov Chain Analysis for IEEE 802.11 Wireless NetworksRobust methods for sensing and reconstructing sparse signalsParylene as a new membrane material for BioMEMS applications
Modulation domain image processingGraphene based chemical and IR sensors and correlated carrier transportTechnology for Brain-Machine Interfaces
New Strategies for Studying Passive and Active Properties of Cardiac Tissue
A Silicon p-i-n Detector for a Hybrid CMOS Imaging System
Bayesian and Information-Theoretic Learning of High Dimensional Data
Effect of contacts, graphene type and underlying substrate on the transport properties of grapheneAnalysis & Design of Non-Linear Amplifiers for Efficient Microwave TransmittersStrain-balanced Indium Arsenide-Indium Arsenic Antimonide Type-II Superlattices on Gallium Antimonide Substrates for Infrared Photodetector Applications
Design, Fabrication, and Characterization of Solar Cells for High Temperature and High Radiation Space ApplicationsUnderwater Direction-of-Arrival Finding: Maximum Likelihood Estimation and Performance Analysis
Control Relevant Modeling and Design of Scramjet-Powered Hypersonic Vehicles
Development and real-time implementation of a wavelet based speech processing strategy with noise suppression for cochlear implants
Semi-global stabilization of nonlinear systems via output feedback and sampled-data controls with applications to power systems
High frequency characterization of carbon nanotube networks for device applications
New Iterative Inference Algorithms for Source Coding based on Markov Random Fields
Incorporating link correlations in models and algorithms for localization in wireless sensor networks
Dependent Hierarchical Bayesian Models for Joint Analysis of Social Networks and Associated Text
Toward High Performance Integrated Semiconductor Micro and Nano Lasers Enabled by Transparent Conducting Materials: from Thick Structure to Thin FilmWhispering Gallery Mode Microresonators for Lasing and Single Nanoparticle DetectionHigh resolution solid state X-ray image intensifier (SSXII) using a modular array of the impactron EMCCD sensorsAnalysis and Mitigation of Tropospheric Effects on Ka Band Satellite Signals and Estimation of Ergodic Capacity and Outage Probability for Terrestrial Links
Shared secret key establishment using wireless channel measurements
Integration of Indium Phosphide Based Devices with Flexible Substrates
Wideband microwave, millimeter-wave and light-wave antennas
Exploring the Gain of the Opportunistic Routing in Wireless Network
Biologically Plausible Algorithms for Motion Saliency and Tracking
Monitoring, diagnosis, and control for advanced anesthesia management
Assessment of the impact of V2G on the electric distribution network
Interferometric methods of magneto-optic optical switching
Current-programmed mode control strategies for electrosurgical generators
The Optical Response of Hydrogenated Amorphous Silicon
A systems approach to embedded chip build up development
An identifier-tracking based model reference adaptive control without the knowledge of relative degreeArchitectural and mobility management designs in internet-based infrastructure wireless mesh networksOrganic/Inorganic Hybrid Nanocomposite Infrared Photodetection by Intraband Absorption
Collaborative and distributed algorithms for localization in wireless sensor networks based on the solution of spatially constrained local and sub-local problemsTowards integrating chalcogenide based phase change memory with silicon microelectronics
Data mixing at the source, relay, and in the air in multiple-access relay networksAdvanced CMOS Circuits for Microwave and Millimeter-Wave CommunicationsPricing in Multi-Service Communication Networks: A Game-theoretic ApproachCause and effect of threshold-voltage instability on the reliability of silicon-carbide mosfets
Development of single-chip silicon photonic microcantilever arrays for sensing applicationsRange data segmentation of a 3D imaging sensor with applications to mobile robot navigationMarkov random field model based text segmentation and image post processing of complex scanned documents
Assessment and evaluation of peripheral neuropathy from diabetic mellitus using systems analysis approachAnalytical frameworks to evaluate performance in traffic engineered networks
Characterization and modeling of creep in RF-MEMs tunable components and circuits
Opportunistic Scheduling, Cooperative Relaying and Multicast in Wireless NetworksUltra-Wideband (UWB) Multiple-input Multiple-output (MIMO) radio system design and prototypingBearing-only Cooperative-Localization and Path-Planning of Ground and Aerial Robots
Optimization of the High Frequency Performance of N-polar Nitride-Based TransistorsIncreasing the efficiency of organic solar cells by photonic and electrostatic-field enhancementsTheoretical analysis of laterally vibrating microcantilever sensors in a viscous liquid medium
Methodologies to enhance the reliability of functional MRI analysisDevelopment of an acoustic respiratory monitor
PCA and JPEG2000-based lossy compression for hyperspectral imagery
Tailoring the Spectral Transmission of Optofluidic Waveguides
Wind plant interaction with series-compensated power systems
Characterization of carbon nanotubes and nanowires and their applications
Computational differences between whispered and non-whispered speech
Physical layer multicasting with opportunistic multicast scheduling
Agile Optical Frequency Synthesis via Parametric Processes
Sparse acquisition and reconstruction for some computer vision problems
A Time-efficient Method for Accurate T1 Mapping of The Human Brain
Charged device model electrostatic discharge failures in system on a chip and system in a package designs
Devolopment of high thermal conductivity buried oxide materials for minimizing self-heating effect in SOI substratesParametric multichannel signal adaptive detection in homogeneous and heterogeneous environmentsProbabilistic Framework for Image Understanding Applications Using Bayesian Networks
Ultrathin and highly flexible parylene-C packaged carbon nanotube field effect transistors
Tessellation of the Musca domestica inspired vision sensor cartridge model, and framework for a unified simulation software model
Advancements in robust algorithm formulation for dialect and speaker recognitionSensing and actuation of bead-tagged biomaterials on standard CMOS substratesAuto-tuning of digitally controlled single-phase low harmonic rectifiers and inverters
Matrix-Assisted Pulsed Laser Evaporation of Conjugated Polymer and Hybrid Nanocomposite Thin Films: A Novel Deposition Technique for Organic Optoelectronic DevicesMiddleware and control of cyber-physical systems: Temporal guarantees and hybrid system analysis
Robust phase unwrapping and its applications in radar signal processing and imagingLow mass MEMS/NEMS switch for a substitute of CMOS transistor using single-walled carbon nanotube thin filmCompensation of Nonlinear Optical Fiber Impairments Using Coding and Electronic EqualizerDevelopment and characterization of a high energy phase contrast x-ray imaging system prototypeBoundary-constrained inverse consistent image registration and its applications
Digital Control of LFSW HID Lamp Drivers with Soft Saturation Magnetic Materials and Integrated Resonant Lamp IgnitionIII-nitride Optoelectronic Devices: AlGaInN Gap Engineering from Ultraviolet and Visible Wavelengths towards Terahertz RegimeFirst-principle electronic structure calculations within real-space mesh framework: Applications to atoms, molecules and nanostructuresComputationally Efficient Methods for Shift-variant Image Restoration in Two and Three Dimensions
Iterative reconstruction method for three-dimensional non-cartesian parallel MRI
Time order reconstruction of video content
Towards wiener system identification with minimum a-priori information
Higher Order Numerical Discretization on Scattered Grids
Tactile sensation imaging system and algorithms for tumor detection
Model-based stripmap synthetic aperture radar processingControl of multigenerators for the all-electric ship
Hierarchical Discriminant Saliency Network for Object Recognition
Microfabricated Broadband Components For Microwave Front Ends
Spectral ladar: Active range-resolved imaging spectroscopy
Throughput-Driven Design of Networks-on-Chip
Network coding for function computation
Sleeping Strategies for Wireless Sensor Networks
Model-based Techniques for Dependable Decision Making in Groups of Agents Operating AutonomouslyFully Differential Difference Amplifier based Microphone Interface Circuit and an Adaptive Signal to Noise Ratio Analog Front end for Dual Channel Digital Hearing AidsMagnetic Resonance Imaging of the Brain: Enabling Advances in Efficient Non-Cartesian SamplingReal-time face-priority auto-focus and adaptive auto-exposure for digital and cell-phone camerasSmall-signal modeling and analysis of parallel-connected power converter systems for distributed energy resourcesA new emergency control method and a preventive mechanism against cascaded events to avoid large-scale blackouts
Statistical signal processing algorithms for estimation of vehicle trajectories from magneto-inductive traffic sensorsHigh-speed extended-term time-domain simulation for online cascading analysis of power system
Development of a massively parallel nanoelectronic modeling tool and its application to quantum computing devicesLight-induced Electrokinetics: A path to a versatile micro total analysis system
Antimonide-based field-effect transistors and heterojunction bipolar transistors grown by molecular beam epitaxy
Design, fabrication, and analysis of thin silicon solar cells using epitaxial lateral overgrowth to increase the voltage
Measurement and modeling of intravehicular channels for multiantenna communication
The Detection of Reliability Prediction Cues in Manufacturing Data from Statistically Controlled ProcessesOptimization of diffusion-encoding gradient scheme for diffusion-weighted magnetic resonance imaging of nerve fibers
Establishing a Design Space and Technology for RF N-polar Aluminium Gallium Nitride/Gallium Nitride HEMTsHybrid MOS and Single-Electron Transistor Architectures towards Arithmetic ApplicationsProblems in the design and operation of uncertain complex engineering systemsVoltage and Photo Induced Effects in Droplet-Interface-Bilayer Lipid Membranes
The design of CMOS impulse generators for ultra-wideband communication and radar systems
An iterative inversion method for transmission line fault locationNovel Waveguide Architectures for Light Sources in Silicon Photonics
Wireless health monitoring and improvement system for wind turbines
Design of beam steering electronic circuits for medical applications
Control of networked Lagrangian systems with delays
Versatile Coupled-Ring Photonic-IC Filters for Microwave Applications
Design and Analysis of WPAN Mesh with a Case Study of 802.15.5
Controlling and enhancing atmospheric optical/plasma filaments
Decision-making with heterogeneous sensors - a copula based approach
Cognitive radio network: Architecture, algorithms, and testbed
Fundamentals of deep silicon etch
Enhancement and artifact removal for transform coded document images
Applications of visual saliency to video processing
Human detection and tracking enhancing security systems at ports of entryDistributed control methodology for cooperation of systems of systems
Longitudinal control of intense charged particle beams
Algorithm Development for Sparse Signal Recovery and Performance Limits Using Multiple-User Information TheoryFirst Order Mobility Independent ASIC for a Point-of-Care In-Vitro Diagnostic DeviceStatistical models and optimization algorithms for high-dimensional computer vision problemsDesign & analysis for practical LDPC-coded systems from broadcast channel to low error-floor applicationsCharacterizing Wireless and Powerline Communication Channels with Applications to Smart Grid Networks
Low dimensional modeling of localized neural and hemodynamic response with habituation from multimodal brain measurementsInformativeness and the computational metrology of collaborative adaptive sensor systems
PMU-based parameter identification for the synchronous generator dynamic model
Dynamic packet fragmentation for increased virtual channel utilization and fault tolerance in on-chip routers
Experimental investigations of the kinetic processes involved in a rubidium (Rb) Optically Pumped Alkali metal vapor Laser (OPAL)
Environmentally-friendly disposable lab-on-a-chip sensor for point-of-care measurement of heavy metalsEnabling pulsed power technologies for the generation of intense, nanosecond electric fieldsDevelopment of intelligent systems for evaluating voltage profile and collapse under contingency operationRFIC Design for High-Speed Optical and Multigigabit Wireless Communication Systems
Processing random signals in neuroscience, electrical engineering and operations research
Computer Aided Design of Microwave Front-End Components and Antennas for Ultrawideband SystemsOptical Dispersion and Nonlinearity in Integrated Silicon Nanophotonic Devices
Network Computing: Limits and Achievability
Multi-polarized spiral antennas for RF sensing
3-D video coding system with enhanced rendered view quality
Object recognition in lake and estuary environments
Through-the-Wall Imaging and Multipath Exploitation
Cyclic pursuit: Symmetry, reduction and nonlinear dynamics
Compact modeling of thin-film silicon transistors fabricated on glass
On low power test and low power compression techniques
Design of hybrid spintronic devices at scaled technologies for non-volatile memory applicationsCharacterization of Indium Gallium Arsenide Metal-Oxide-Semiconductor Field-Effect TransistorsComputable Performance Analysis of Recovering Signals with Low-dimensional StructuresThe Effect of Joint Scheduling and Diversity on a Multi-user Wireless Communication SystemStatistical Performance Characterization and Analysis of Nano-Scale VLSI CircuitsProgressive band selection and prioritization for linear spectral mixture analysisFree space optical coupling of fiber optic sensors integrated with composite structuresInvestigating the implementation of USAF damage tolerant risk analysis with a Structural Health Monitoring systemOptical performance monitoring and signal processing to enable robust and reconfigurable optical networks
Investigation of novel methodologies using reactive power reserves for online voltage stability margin monitoring and controlBehavioural analysis of thermal actuator made up of metals like titanium, stainless steel and brass
Signal processing based method for modeling and solving inverse scattering problemsParticle Filtering Programmable Gate Array Architecture for Brain Machine InterfacesThe extended preferred ordering theorem for radar tracking using the extended Kalman filter
Exploring the Emerging Design and Variability Challenges in Multi-Gate CMOS Devices
Performance Analysis of Multiple Input Multiple Output Free Space Optical Communication SystemsLong-term power system capacity expansion planning considering reliability and economic criteriaExpectation maximization hard thresholding methods for sparse signal reconstruction
Fabrication of high quality, low bandgap amorphous Silicon& amorphous Silicon Germanium alloy solar cells by chemical AnnealingNovel neurochip design implementing alopex for use in an automated deep brain stimulation system for Parkinson's patientsEvanescent Wave Coupling Using Different Subwavelength Gratings for a MEMS Accelerometer
Development of V-band Receiver Module with Meta-material Antenna on Liquid Crystal Polymer (LCP)On the sliding-window packet-switching architecture for large-scale internet routers and switches
Evaluation of available transfer capability using transient stability constraints based on line flows
Silicon nanocrystal devices for silicon photonics
Large-scale and high-throughput pattern matching on parallel architectures
Analysis and synthesis of collective motion: From geometry to dynamics
Modeling and testing of ethernet transformers
Distributed and Robust Tracking by Exploiting Set-Membership and Sparsity
A correlation-based method to detect weak dependence
Design, Fabrication and Characterization of III-Nitride Based Solar Cells
Modeling of Power, Rate and Perceptual Quality of Scalable Video and Its Applications
Design and implementation of a compact highly efficient 472kHz radio frequency generator for electrosurgeryCorrelated Sources In Distributed Networks - Data Transmission, Common Information Characterization and InferencingIntegrated approach to free space optical communications in strong turbulenceSpectral Image Processing Theory and Methods: Reconstruction, Target Detection, and Fundamental Performance BoundsCharacteristic modes for impedance matching and broadbanding of electrically small antennas
Time reversal microwave methods for solving inverse problems in non-destructive evaluationPartial-data interpolation during arcing of an X-ray tube in a computed tomography scannerStudies of high frequency wave excitation in fast and slow wave vacuum devicesAn EMCCD-array based high-resolution imaging system for fluoroscopy and angiographyRetrieval of inherent optical properties from reflectance spectra in oceanic and coastal waters with neural network modelingControl and interface design for cost reduction of a low power grid-connected wind-photovoltaic systemDigital array radar calibration and performance monitoring techniques for direct conversion and dual polarization architectures
Gallium antimonide-based Type-I Diode Lasers Operating at 3 mum and aboveGallium nitride HEMT modeling and design for millimeter and sub-millimeter wave power amplifiers through Monte Carlo particle-based device simulationsCross layer design for the transmission of multimedia over wireless channelsEfficiency optimization in digitally controlled flyback DC-DC converters over wide ranges of operating conditionsArtificial neural systems using memristive synapses and nano-crystalline silicon thin-film transistors
Modeling and validation of a fault mitigation method in induction motor-drive systems using magnetic equivalent circuitsIntegration of process-incompatible materials for microfabricated polymer-based neural interfacesDesign and implementation of information paths in dense wireless sensor networks
Design, fabrication, characterization and analysis of wide band gap gallium phosphide solar cellsStochastic optimization over parallel queues: Channel-blind scheduling, restless bandit, and optimal delay
Study of vibration and audible noise generated by shunt capacitor banks at substations serving non-linear loads
Distributed computation and optimization over networks
Indium Phosphide DHBTs in a Refractory Emitter Process for THz Electronics
Sparse and nonnegative factorizations for music understanding
Networked Estimation and Communication with Minimalist Models
Intelligent control and cooperation for mobile robots
Miniature wireless gastrostimulator
Energy transduction in surface photonic crystals
Localization of short circuit faults in the power distribution network
Constrained imaging: Denoising and sparse sampling
Security and prioritization in multiple access relay networks
Time of arrival estimation of an unknown RF signal in the presence of multipath interference: A survey of selected methodsContributions to the Understanding of (Aluminum,Gallium)Nitride - Silicon Nitride InterfacesA new parameterization defining the kinematic and dynamic properties of a general class of Gough-Stewart platform manipulators
Toward Nanoscale Material Applications: Colloidal Quantum Dot Memory And Multi-Layer Graphene Electronics And OptoelectronicsGate delay modeling and static timing analysis in ASIC designs considering process variations
Weighted and filtered mutual information: A metric for the automated creation of panoramas from views of complex scenesModeling and analysis of AC conduction effects in aluminum & copper-rotor induction machines and development of a novel voltage regulation scheme for distributed wind power generationFeedback control of standing balance using functional neuromuscular stimulation following spinal cord injuryA comprehensive invariant subspace-based framework for power system small-signal stability analysisExperimental evaluation of intravascular flow meter and miniature RFID antennas using MEMS pressure sensors and flexible PCB techniquesEffect of cell geometry and gap junction conductance on wave propagation in myocardium: A computational approachReliability of Structural Equation Modeling in Examining Resting State Motor Network in Healthy SubjectsDevelopment of the Visible Light Photon Counter for Applications in Quantum Information Science
Lowering the processing temperature and expanding the applications of metal induced growth of thin film Silicon for photovoltaicsStudy and development of label-free optical biosensors for biomedical applications
Computational models and analyses of human motor performance in haptic manipulation
Quasi-Optical Network Analyzers and High-Reliability RF MEMS Switched CapacitorsGain-saturated repetetive soft x-ray lasers with wavelengths spanning 9-30 nm and lasing down to 7.4 nmDesign Issues in Magnetic Field Coupled Array: Clock Structure, Fabrication Defects and Dipolar Coupling
Techniques suitable for on chip implementation and ADC Built-in Self-test solutions for low cost and accurate ADC testingCombined Feedback and Adaptive Feedforward Control for Tracking Applications in Atomic Force Microscopes
Studies on Lowering the Error Floors of Finite Length LDPC codes
Needle-Type Sensor For In Situ 3-D Multi-Analyte Mapping
Low power digital design using asynchronous logic
Fundamental Performance Limits in Image Fusion
Physical Resource Allocation for On-Chip Power Delivery Systems
Design and analysis of VLSI clock and power distribution networks
Combinatorial methods in coding theory
Improving CMOS Speed and Switching Power with Air-Gap Structures
Characterization of the convective boundary layer through a combination of large-eddy simulations and a radar simulatorInvestigation of gallium nitride transistor reliability through accelerated life testing and modeling
Application of the variational method for correction of wet ice attenuation for X-band dual-polarized radarComputer-aided analysis of multijunction solar concentrator cells and systemsGrowth, Fabrication and Characterization of III-Nitride Hot Electron Transistors
Channel coding for network communication: An information theoretic perspectiveA CMOS compatible optical biosensing system based on local evanescent field shift mechanism
Low Voltage DNA Sequencing Platform Utilizing Picofluidic Electrowetting DevicesComparative Analysis of Simulation of Trap Induced Threshold Voltage Fluctuations for 45 nm Gate Length n-MOSFET and Analytical Model Predictions
Wide-Dynamic-Range Continuous-Time DeltaSigma A/D Converter for Low-Power Energy-Scavenging ApplicationsEnhancement of thermal performance in QFN assembly with respect to solder coverage and thermal via design
Monolithically Integrated Reconfigurable Filters for Microwave Photonic LinksSome multiband compact and cost effective antennas for mobile communication applicationsRadar Space-Time Processing for Range-Folded Spread-Doppler Clutter MitigationA benchmarking approach to NETPLAN using MARKAL/TIMES in analysis of large scale and long-term energy systemsExtrinsic channel-like fingerprint embedding for transmitter authentication in wireless systemsSynthesis, characterization and applications of novel RF ferrites by low-temperature spin-spray deposition
Multispectral method for apple defect detection using hyperspectral imaging systemHigh-performance packet processing for IP route lookup and deep packet inspection
Design-for-testability and diagnosis methods to target unmodeled defects in integrated circuits and multi-chip boardsUnified security frameworks for integrated WiMAX and optical broadband access networks
Compensation for Lithography Induced Process Variations during Physical DesignMultimedia social networks: Game theoretic modeling and equilibrium analysisA comparative study of machine-learning-based scoring functions in predicting protein-ligand binding affinity
Numerical Optimization for Image and Video Restoration
Adaptive control methods for DC-DC switching power converters
A Smart Battery Management System for Large Format Lithium Ion Cells
Cross-layer Modeling and Algorithm Design for MIMO Ad hoc Networks
Non-contact Biopotential Sensing
Perceptually motivated signal processing for digital hearing aidsResource management in QoS-aware wireless cellular networksEnergy Storage Planning and Operations for Power SystemsHigh Capacity Data Embedding For Printed Documents
Silicon Infrared Photodetector Using Sub-bandgap Transitions
Research in document composition: Printing forensics and layout aesthetics
Psychophysical similarity based feature selection for nodule retrieval in CT
Waveband Optimization in ROADM-based Optical Networks
A Novel Electro-Optic Measurement System using Multiple Wavelengths
Graph-based decoders and divergence-rate estimators for data-hiding problemsMethods and analysis of a wearable, linear, vibrotactile array to relay motion information for visual sensory substitutionMulti-antenna non-line-of-sight identification techniques for target localization in mobile ad-hoc networksAdaptive algorithms for the rejection of sinusoidal disturbances acting on unknown plantsDistributed averaging over communication networks: Fragility, robustness and opportunitiesOn the use of image processing and pattern recognition tools to enhance high resolution satellite precipitation estimation based on cloud classificationRoom Temperature and Cryogenic Ytterbium:Yttrium Aluminium Garnet Thin Disk Laser: Single Crystal and Ceramic
A computational framework for exploring the role of speech production in speech processing from a communication system perspectiveThe complex Gaussian scale mixtures of complex wavelet coefficients and applicationsStability and minimum cost analysis of a discrete-time disturbance accommodation controllerCadmium Telluride/Cadmium Sulfide Thin Film Solar Cells Fabricated on Flexible Substrates
Development of Type-I Gallium Antimonide-based Diode Lasers and Arrays Operating within Spectral Range above 2 micronsAlgebraic approaches to distributed compression and network error correction
Architecture estimation from sparse images using grammatical shape priors for cultural heritage
A semi-automatic framework for text insertion and replacement in natural images
Network flow algorithms for wireless networks and design and analysis of rate compatible LDPC codes
Modeling and characterization of p-type silicon carbide gate turn off thyristorsSingle-stage bi-directional converter for plug-in hybrid vehicle charging and Vehicle to Grid application
Multipayload sounding rocket observations of velocity shear, VLF hiss, and Alfven waves
Information theoretic methods for biometrics, clustering, and stemmatology
Electroluminescence et radiation thermique dans les nanotubes de carbone
Compounding and Hexagonal Filtering for Ultrasound Enhancement
Dynamics and control of satellite relative motion in proximity operations
Engineering of multicast traffic in optical networks
Digitally-scalable Transformer-combining Power Amplifier Techniques
On the modeling and control of high phase order synchronous machines
Speaker Recognition Using Shifted MFCC
Smart sensing and performance analysis for cognitive radio networks
Surface local field potentials for brain-computer interfaces
Solution Processable Hybrid Solar Cells Based on Semiconductor NanoparticlesA study of interconnected dynamical systems and reinforcement learning in a multi-agent and distributed environmentMARIE, une architecture d'integration de composants logiciels heterogenes pour le developpement de systemes decisionnels en robotique mobile et autonomeCharacterization and Analysis of sensor data using Recurrence Network Analysis
Concurrent Code Spread Spectrum: Theory and Performance Analysis of Jam Resistant Communication Without Shared SecretsSequence and Length Dependence of the Conductivity of Individual DNA Duplexes and Applications in Protein Detection
Control and optimization of variable-speed wind turbines and large-scale wind farmsDeveloppement de l'unite centrale d'un systeme d'acquisition simultanee d'electroencephalogrammes et de donnees de tomographie d'impedance electrique
Filtering Techniques for Improving Radio-Frequency Identification Machine ControlSuper-resolution of unmanned airborne vehicle images with maximum fidelity stochastic restoration
Microelectromechanical Actuator and Sensor System for Measuring the Mechanical Compliance of Biological CellsManipulation of Multiwalled Carbon Nanotube (MWNT) using AFM and Study of their Frictional Interaction with SurfacesDevelopment of a system control algorithm for smart residential demand-side management
Impact of Random Fabrication Errors on the Performance of a Contrawound Helix Slow-Wave Structure for a Millimeter-Wave Traveling Wave TubeHigh-throughput detection of DNA orientation and conformation for characterization of protein-DNA interactionsTechniques to improve LED drivers by reducing voltage stress and energy storage
Technique de parcellisation et de localisation des sources cerebrales a partir des signaux MEG
Evaluating the Effects of Antenna Radiation Pattern in Processing Coherent Backscattering from Rough SurfacesModular architectures and optimization techniques for power and reliability in future many core microprocessors
Perturbation analysis in fluid scheduling and optimization of stochastic hybrid systemsSilicon-on-Insulator Based Nanophotonic Devices for Optical Signal Processing and Nanoparticle Sensing
Metal Coated Nanostructures for Laser and Light Localization Applications
Eigenstructure assignment for a tailless aircraftScheduling Neural Sensors to Estimate Brain Activity
Evaluation of Piano-Related Injuries using Infrared Imaging
Using the Motor Drive as a Sensor to Extract Spatial Domain Information
Low Power Circuits and Systems for Wireless Neural Stimulation
Design and development of a debris flow tracking "Smart Rock"
Numerical methods for solar tomography in the STEREO era
Glucose-Powered Neuroelectronics
Silverware sorting machine
Neural Coding of Sound Envelope in Reverberant Environments
Optoelectronic Reconfigurable Microchannels
Real-time spatial object tracking on iPhoneASCCbot: An open mobile robot platform
Low-noise Instrumentation for Near-field Microwave MicroscopyDynamic-based Video Data Registration
Systemes de stereovision passive dedies a un stimulateur intra-cortical visuel
Quantitative analysis and interpretation of multichannel electromagnetic data through principal component analysis and regularized inversion
Characterizing Phonetic Transformations and Fine-Grained Acoustic Differences Across Dialects
Software as a Service Offer Differentiation based on Suitability for Particular Business Units
Optofluidic ring resonator: A versatile microfluidic platform for chemical vapor detection and intra-cavity biomolecular analysisSingle mode optical fiber based devices and systems for mid-infrared light generation, communication and metrologyPartial volume estimation of magnetic resonance image using linear spectral mixing analysis
Good Families of Quantum Low-Density Parity-Check Codes and a Geometric Framework for the Amplitude-Damping ChannelPolarization mode beating techniques for high-sensitivity intracavity sensingUnderstanding the effect of surface topography on stiction and friction in MEMSSuperposition and Synthetic Genetic Devices: Framework and Model System to Investigate Linearity in Escherichia coliSize-dependent metal-insulator transition in platinum-dispersed silicon dioxide thin film: A candidate for future non-volatile memoryNavigation semi-autonome d'un fauteuil roulant motorise en environnements restreintsHigh Performance Channelizers, Tunable Notch Filters, and Silicon-Based Antennas for RF to Millimeter-Wave Communication Systems
Techniques for Enhancing the Performance of Secure Sockets Layer-Based SystemsInvestigation of variables for wireless capacitive strain sensing on a CNC millReconfigurable processing architectures for stream processing and hybrid computingTechnology Survey and Performance Scaling for the Design of High Power Nuclear Electric Power and Propulsion Systems
Dynamic vertical climbing bioinspiration, design, and analysisSodium Laser Guidestar and Other Aspects of Optical Signal Processing
Sparse modeling of high-dimensional data for learning and vision
Microscale Controlled Continuous Cell Culture
Dynamics and Control of the Shoot-the-Moon Tabletop Game
Separability as a Modeling Paradigm in Large Probabilistic Models
Application of channel estimation to underwater, acoustic communication
Design of a novel electrostatic micro energy harvester
Beamforming on Mobile Devices: A First Study
Design and optimization of next-generation wireless networks
Electronic noise in graphene fets
MEMS Aluminum Nitride Technology for Inertial Sensors
Resource management for virtualized networks
A Unified Model of Electroporation and Molecular Transport
Non-Cartesian Parallel Image Reconstruction for Functional MRI
Towards imaging cerebral microvascular blood flow using MRI
Three-Dimensional Integration of Synthetic Aperture Radar ProcessorsInterfacial studies in organic field-effect transistors
O-PMIPv6: Optimized Proxy Mobile IPv6
Design and Development of an Experimental Test Stand for Electric and Hybrid Electric Vehicle Powertrains
Investigation Into The Effect Of Substrate On Lead(Zirconium0.52Titaniumi0.48)Trioxide Films For MEMS ApplicationTowards Autonomous and Robust Damage Detection and Health Monitoring of Mechanical Systems
Automated detection of hematological abnormalities through classification of flow cytometric data patterns
The effect of radio-frequency self bias on ion acceleration in expanding argon plasmas in helicon sources
Distributed optimization with applications to sensor networks and machine learning
Reinforcement learning and optimal control methods for uncertain nonlinear systems
Near Threshold Computing: From Single Core to Many-Core Energy Efficient ArchitecturesTractable relaxations and efficient algorithmic techniques for large-scale optimization
Electronic properties of metal nano-contacts to perovskite surfaces and interfacesA decentralized approach to energy management and conservation for residential and commercial loads
Stochastic and Deterministic Disturbance Cancellation for Nano-Precision Systems
Infomation processing for biological signals: Application to laser Doppler vibrometryFeedback Reduction Techniques and Fairness in Multi-User MIMO Broadcast Channels with Random Beamforming
New Approaches for the Analysis of the Brain's Resting State
Materials and design strategies for flexible electronics
Packaging of high voltage power semiconductor modulesModeling, pattern analysis and feature-based retrieval on retinal images
Dynamic power management of high performance network on chip
Designing Giga-scale Memory Systems with STT-RAM
Development of magnetoresistive biosensors for molecular diagnostics
Piezoelectric aluminum nitride dual-beam relays for mechanical computing
On Secure Localization Without Simultaneous Challenges
Simulation-based methods for stochastic control and global optimization
Adversary-driven state-based system security evaluation
Redefining ordering for routing in computer networks
Fabrication and characterization of the electrical and optical properties of n-type thin film transparent conducting oxides deposited by neutralized ion beam sputtering and pulsed laser depositionSystematic process development towards high performance transferred thin silicon solar cells based on epitaxially grown absorbers
Adaptive Connectivity Aware Routing Protocol for Wireless Vehicular Networks
Size-Controlled Synthesis of Monodispersed Gold Nanoparticles via Carbon Monoxide Reduction
SHMEM+ and SCF: System-level programming models for scalable reconfigurable computingA Distributed Resource Block Assignment Scheme for Relay-Assisted Cellular Networks With Self-Organizing Terminal RelaysCharacterization of Hematite Nanowire Arrays synthesized by Atmospheric Plasma
Study of the Electrostatic Fluctuation-Induced Particle Flux in the MST-RFP during PPCD with HIBP Diagnostic
Optimizing the internal microarchitecture and ISA of a traveling thread PIM system
Quantum Cascade Lasers and Optical Metamaterials: Mid-Infrared Semiconductor Nanostructures and Their ApplicationsAlgorithmes incitatifs pour le partage de ressources dans les reseaux 802.11 en presence de noeuds egoistesDesigning Delay-Insensitive Codes for Robust Global Asynchronous Communication
Reduced-data magnetic resonance imaging reconstruction methods: Constraints and solutionsMagnetic Resonance Spectroscopic Imaging using Parallel Transmission at 7TThe design, development, fabrication and testing of a 100 watt skutterudite thermoelectric generatorA Coupled Vibratory Gyroscope Network with Bi-directional, Uni-directional, and Direct CouplingQuantitative mapping of lung ventilation using hyperpolarized gas magnetic resonance imagingNon-Obtrusive Heart Rate and Speed-Distance Monitoring Systems for the Smart RollatorHigh-density stretchable microelectrode arrays: An integrated technology platform for neural and muscular surface interfacing
Structures periodiques bi-dimensionnelles de fils metalliques: Caracterisation et applications
Recognition of Vehicles as Changes in Satellite Imagery
Solar Power System Modeling and Performance Analysis
Magnetic Signature Control Strategies for an Unmanned Aircraft SystemDesign and implementation of an autonomous fire fighting robot
Tradeoffs and Limits in Computational ImagingCompiling Stream Applications for Heterogeneous Architectures
Illumination compensation in video surveillance analysis
Controlling Photons in Superconducting Electrical CircuitsThe Chemistry and Device Applications of Amorphous Thin-Film Interfaces
Miniaturized Implantable Affinity Sensors for Continuous Glucose Monitoring
Approximate logic circuits: Theory and applicationsEnergy efficient scheduling for real-time systems
Linear parameter varying control and LMI optimization of nonlinear systemsHardware accelerator for MIMO wireless systems
How young firms choose an early-stage open source ecosystem
Signal Optimization for Unilateral NMR Magnet Design
An Ultra Low Power Implantable Neural Recording System for Brain-Machine Interfaces
Interdependent response of telecommunication and electric power systems to seismic hazard
Design, fabrication, and measurement of high efficiency concentrating photovoltaic modules leading to photovoltaic systems with low energy costElectrochemically-grown single nanowire array for highly sensitive and selective chemical detectionSuper-Diffusive Behavior in Human Mobility and Finding Relevant Models in Mobile Opportunistic NetworksEvaluation and Assessment of New Demand Response Products Based on the Use of Flexibility in Industrial Processes: Application to the Food IndustryLearning and inference algorithms for dynamical system models of dextrous motion
Multi-objective Application-specific Instruction set Processor Design: Towards High Performance, Energy-efficient, and Secure Embedded SystemsAnalytically Modeling the Memory Hierarchy Performance of Modern Processor SystemsCompositional and incremental modeling and analysis for high-confidence distributed embedded control systems
Performance simulation and control design for diesel engine nitrogen oxide emission reduction technologiesArchitectural Applications of Radio Frequency Interconnect for Chip-to-DRAM Communication
Developpement d'un systeme d'acquisition simultanee de donnees de tomographie d'impedance electrique et d'electroencephalographie pour l'etude de la fonction cerebraleModels and solution approaches for development and installation of PEV infrastructure
Process Integration and Performance Evaluation of Germanium-based Quantum Well Channel MOSFETs for Sub-22nm Node Digital CMOS Logic TechnologyDesign of a medium access control protocol that exploits the structures of vehicular networks
Modeling and vibration control with a nanopositioning magnetic-levitation system
Compact Variation-Aware Standard Cell Models for Statistical Static Timing Analysis
Organic gate dielectrics for tetracene field effect transistors
Hybrid Evolution of Convolutional Neural Networks
A wireless system for crack monitoring in concrete structuresAcceleration of Coevolution Detection for Predicting Protein Interactions
Learning Based Approaches with Applications to Vision Tasks
Supervisory decision and control of large-scale multi-agent systems
Tin Whiskers in Electronic Packaging: Mechanism and Modeling
Optimal control in gene mutation
Tunable Micro-Electro Mechanical Fabry Perot Etalon
Dynamic frequency estimation of PMU/FDR measurements
An efficient multi-level flash data structure
Modeling, control, and design of hybrid fuel cell vehiclesPerformance Evaluation of Multihop Wireless Networks
3-D equilibrium reconstruction in the HSX stellarator
Flexible neural implantsElectrokinetically active nanowellsAmbipolar transport in solution deposited organic transistors
Human emotional state recognition using 3D facial expression features
Spatio-temporal cardiac pacing sites localization and time varying pericardium potential maps projection using ECG precordial leads and a single moving dipole modelTechniques for Mixed-Signal Linearization and Large Signal Handling in Radio-Frequency Receiver Circuits
Mise en oeuvre d'un systeme portable d'imagerie cerebrale fonctionnelle bimodalite
Synthesis, characterization and sensor applications of doped and mixed metal oxide nanowires
Sensor fault detection and isolation using system dynamics indentification techniques
Clinical Feasibility of Raman Spectroscopy for Quantitative Blood Glucose MeasurementDevelopment of an Implantable Functional Electrical Stimulation System for the Treatment of StrabismusMonolithic integration of active and second-order nonlinear functionality in Bragg reflection waveguides
Phase coherent supercontinuum generation and atmospheric delivery of frequency references using a femtosecond frequency comb
Mobile encounters: Pattern analysis and profile embedding for mobile social networking testbedsLearning graphical models with limited observations of high-dimensional dataDevelopment of carbon nanotube field-effect transistor arrays for detection of HER2 overexpression in breast cancer
Stability-based topology control in wireless multihop networks with reservation-based distributed-scheduling policies
Directional Antenna Diversity for Mobile Devices: Characterizations and SolutionsDevelopment of an Experimental Phased Array Feed System and Algorithms for Radio Astronomy
Enabling Ultra Large-Scale Radio Identification Systems
Cross-layer channel allocation algorithms for wireless ad hoc networks
Differences in educational goals within the field of electrical engineering
Relaxing Concurrency Control in Transactional Memory
Feature Based Object Rendering from Sparse Views
Multiple pedestrians tracking by discriminative models
High-performance computer system architectures for embedded computing
Modeling random dopant fluctuation effects in nanoscale trigate MOSFETs
Mitigation of Manhole Events Caused by Secondary Cable Failure
Fabrication and characterization of heterojunction transistorsA SystemC single-cycle simulator
Power-Efficient Accelerators for High-Performance Applications
A multi-agent based approach for solving the redundancy allocation problem
Dielectrophoresis-Based Double-Emulsion Droplet Centering for Concentric Laser Target Foam ShellsDesign and Optimization of High-Performance Low-Power CMOS VLSI InterconnectsSpreading codes for all-optical code division multiple access communication systemsAn experimentally-based procedure for aeroservoelastic model identification and control synthesis for morphing and flapping wingsSolution-processed Optoelectronic Devices from Colloidal Inorganic Semiconductor MaterialsFeature extraction and classification of neural waveforms in extracellular single-electrode, stereotrode, and tetrode recordings
An information theoretic study of modeling and control of dynamical systemsDesign of an overmoded Ka-band sheet-beam coupled-cavity traveling-wave tube amplifier
Modeling of Total Ionizing Dose Effects in Advanced Complementary Metal-Oxide-Semiconductor TechnologiesThe physics of ultrathin cadmium telluride based photovoltaic devices from nanoparticle inks
Optical monitoring of surface anchoring changes for nematic liquid crystal based chemical and biological sensorsDiffusion kurtosis magnetic resonance imaging and its application to traumatic brain injuryBattery state-of-health assessment using a near real-time impedance measurement technique under no-load and load conditions
Sub-nanosecond Pulse Characteristics of Indium Gallium Phosphide /Gallium Arsenide HBTsDynamic Modeling of Electrochemical Cells With Application to Proximal Three-Terminal ElectrolysisAn analysis of heat flux-induced arc formation in residential electrical cablesNavigation semi-autonome d'un fauteuil roulant motorise dans un environnement exterieur par integration d'un GPSVision-based Path Planning, Collision Avoidance, and Target Tracking for Unmanned Air and Ground Vehicles in Urban Environments
Investigation of Solution-based Processes for Functional Metal Oxides: Printing, Nanostructures, and Applications
Scaling the bulk-driven MOSFET into decananometer bulk CMOS technologies
Electron transport properties of carbon-based nanostructures
Microwave structures for generating stable arrays of microplasmas
Cross layer design and analysis for mobile ad hoc networks
Supporting new services in 3G networks: Energy and security perspectives
Data recovery in wireless sensor networks
Mixed-norm optimization and its applicationsAugmented Image Classification using Image Registration Techniques
Development and Performance of a Miniature, Low Cost Mass Spectrometer
Adaptive control of permanent magnet synchronous motor for electric vehicle
Fabrication and characterization of Silicon-on-insulator field-effect transistor-based nanoribbon sensorsField driven phenomena at the interfaces of organic and hybrid electronic devicesNovel multi-electrode probe with three dimensional spatial resolution for simultaneous recording/stimulation in long-term adaptive deep brain stimulationA Geometric Framework for Analyzing the Performance of Multiple-Antenna Systems under Finite-Rate FeedbackNano-ingenierie de bande interdite des semiconducteurs quantiques par recuit thermique rapide au laserProductively scaling hardware designs over increasing resources using a systematic design analysis approachIntroducing porous silicon as a sacrificial material to obtain cavities in substrate of SOI wafers and a getter material for MEMS devicesIntegration of Vanadium Dioxide Films on Aluminum Trioxide and Silicon (100) Substrates: Structure-Property Correlations and Applications
Statistical Image Reconstruction and Motion Estimation for Image-Guided RadiotherapyAdaptively predictive clustering of MIMO beamforming considering co-channel interference in time varying wireless channel
Towards Authenticated and Private Computer and Wireless CommunicationsNoise-Enhanced and Human Visual System-Driven Image Processing: Algorithms and Performance LimitsModeling and Analysis of Spatially Distributed Material Properties in Novel Electronic DevicesDevelopment of micro/nano-scale sensors for investigation of heat transfer in multi-phase flows
PatchMatch: A Fast Randomized Matching Algorithm with Application to Image and Video
X- to W-Band Phased Arrays and Wafer-Scale Transmitters using Silicon Integrated Circuits
Low power piezoceramic based wireless sensor networks for structural monitoring and controls and a real-time embedded system for advanced controlsAdvancements in the Solid-state Impact-ionization Multiplier (SIM) Through Simulation, Fabrication, and CharacterizationControle collaboratif entre un pilote humain et un module semi-autonome de navigationCharacterization of Hafnium Silicon Oxynitride Pseudo-ternary Gate Dielectrics for the Application of Germanium MOSFETsDetermination of the Dielectric Constants of Hydrated Cement Paste and Cement Mortar Using a Contact Coaxial ProbeA Numerical Parametric Study on the Performance of a Low Profile dipole Planar Inverted Cone Antenna Placed over an Electromagnetic Bandgap Structure
Intelligent systems for quality defect prediction in injection molding
Continuous Assessment of Epileptic Seizures with Wrist-worn Biosensors
2D Gaussian object motion detection
A 64 channel transmit system for single echo acquisition MRI
Reliability and adaptive performance in VLSI circuits
Overcoming Hard-Faults in High-Performance Microprocessors
Thermal and electrical stability of metal/porous low-k dielectric interfaces
Controlling IC Quality through Diagnosis Based Adaptive TestThe Design of a Micro-turbogenerator
Photovoltaic Modules: Effect of Tilt Angle on Soiling
High temperature langasite surface acoustic wave sensors
Architecture and analysis for next generation mobile signal processingPower and Channel Allocation for Broadband Wireless Networks
Optimal Control of Hybrid Systems in Air Traffic Applications
Wind Flutter Energy Converter for Wireless Sensor Networks
Scheduling for throughput optimization in WiMAX networks
A centralized wide area control of facts for damping power system inter-area oscillations
System-on-a-Chip (SOC) based environmental monitoring platform for the detection of hazardous materialsNanostructured Extremely Thin Absorber (ETA) Hybrid Solar Cell Fabrication, Optimization, and Characterization
Experimental study on an electrical deicing technology utilizing carbon fiber tapeAn Electronic Equalization Technique for Nonlinear Dispersion Compensation in Optical Fiber Communications LinksSingle molecule analysis of DNA polymerase functional complexes using an alpha-hemolysin nanoporeElaboration d'une methodologie d'etude des parcs de turbines maremotrices avec applications dans les maritimes
Analysis and applications of coupled leaky-mode, implant-defined surface-emitting laser arrays
Integration of Field Sensing in Power Semiconductor Modules for Current SensingExperimental Examination of Plasma Formation and Current Loss in Post-Hole ConvolutesInvestigation on rain caused attenuation of electromagnetic signal at millimeter wave frequencies
A curvature based method for systematic errors adjustment in airborne laser scanning dataPhotorefractive crystal-based acousto-optic imaging in the near-infrared and its applications
Electronic states and optical transitions in bulk and quantum well structures of III-V compound semiconductors
Design and Implementation of an Automatic, Robust, and Efficient Breadcrumb Sensor Network
Research and development of thin garnet film based magneto-optical imagersFabrication, Characterization and Application of Metal-oxide Tunnel Junctions by Anodization
Toward an Interpretive Framework of Two-Dimensional Speech-Signal Processing
Autonomous aerial manipulation using a quadrotorDelivering Affordable Fault-tolerance to Commodity Computer Systems
Investigation of Novel Configurations for High Power Microwave Generation
Temporal Regularization Use in Dynamic Contrast-Enhanced MRIAn investigation of intermodal coupling effects in optical microresonatorsA novel mechanism for switching a neural system from one state to another
Silicon/germanium junctions formed by membrane bonding
Fabrication of MEMS Based Air Quality SensorsOn proximity based sub-area localizationNew results in stability, control, and estimation of fractional order systems
Control algorithms of electrohydraulic systems with variable dynamics
Structure and molecular adsorption on ferroelectric surfaces
Cooling of electronic system: From electronic chips to data centers
MEMS thermal conductivity sensor with flow rate detection and invariance for gas chromatography systems
Intelligent processing in wireless communications using particle swarm based methods
The hybrid integration of arsenic trisulfide and lithium niobate optical waveguides by magnetron sputteringAssessing system architectures: The canonical decomposition fuzzy comparative methodology
Efficient Pre-Computation for Bispectrum Based Features for Rotation and Translation Invariant Image RecognitionTowards adaptive anomaly detection systems using Boolean combination of hidden Markov modelsCaracterisation electrique et vieillissement de resistances de silicium polycristallin modifiees par laserIntegration of Micropore and Nanopore Features with Optofluidic Waveguides for Single Particle SensingAutomated Target Detection in Diagnostic Ultrasound based on the CLEAN AlgorithmContext-based classification via data-dependent mixtures of logistic and hidden Markov model classifiersStudy on the electronic properties of organic polymer-carbon nanotube composites for photovoltaic applicationsTheoretical-experimental molecular engineering to develop nanodevices for sensing scienceIntegration of Photonic and Passive Microfluidic Devices into Lab-on-Chip with Femtosecond Laser Materials ProcessingCarbon material based microelectromechanical system (MEMS): Fabrication and devicesDevelopment of a 4D image reconstruction method with respiratory motion compensation for oncological PET imaging
Design Methodologies for Improving the Trustworthiness and Quality of Integrated Circuits
On General Error Cancellation Based Logic Transformations: The Theory and TechniquesLarge-Deviation Analysis and Applications Of Learning Tree-Structured Graphical ModelsTime-Resolved Spectroscopy and Near Infrared Imaging for Prostate Cancer Detection: Receptor-targeted and Native Biomarker
Source speed estimation using a pilot tone in a high-frequency acoustic modem
CoRAM: An In-Fabric Memory Architecture for FPGA-Based ComputingThermal Transport and Photo-induced Charge Transport in Graphene
Dynamically reconfigurable on- and off-chip networks
Probabilistic Damage Size Estimation for Structural Health Management
Exertion Instruments
Essays in Power System Economics
Development of a real-time high-resolution 3D ultrasound imaging system
Coherent Approximation of Distributed Expert Assessments
Probabilistic Supervisory Control of Probabilistic Discrete Event SystemsNickel-Ruthenium Alloys for Electrical Contact Applications
Explicit airtime allocation for 802.11-based wireless networksStudies of blowing snow and its impact on the atmospheric surface layerAdvanced Learning Algorithms of Neural Networks
Vibration and Flow Energy Harvesting using Piezoelectric Cantilevers
The Speciating Selection Event Algorithm: Evolutionary Computation Inspired by Darwin's Finches and Sewall WrightDetection et dissimulation de la deterioration visuelle issue du decodage de sequences H.264 corrompuesImproved Design and Fabrication of Light Toxicity Chamber used for Light Induced Retinal Degeneration in MicePower Management and SRAM for Energy-Autonomous and Low-Power SystemsWyner-Ziv Video Coding: Adaptive Rate Control, Key Frame Encoding and Correlation Noise ClassificationA Spectro-Temporal Framework for Compensation of Reverberation for Speech Recognition
Nanocrystals embedded zirconium-doped hafnium oxide high-k gate dielectric filmsFluctuating Surface Currents: A New Algorithm for Efficient Prediction of Casimir Interactions among Arbitrary Materials in Arbitrary GeometriesIntegrating silicon photonic interconnects with CMOS: Fabrication to architectureBulk Foil Platinum-Rhodium Micro-relays for High Power RF and Other Applications
Novel feature-based methods for improved glaucoma detection and progression predictionLabel-free flow cytometry using multiplex coherent anti-Stokes Raman scattering (MCARS) spectroscopyNew revelations on lightning initiation and evolution using a newly developed array of wideband electric field sensorsNonlinear robust codes and their applications for design of reliable and secure devices
Modeling and Estimation for Non-invasive Monitoring of Intracranial Pressure and Cerebrovascular AutoregulationSimulations and feedback control of non linear coupled electromechanical oscillators for energy conversion applications
Algorithms and Inference for Simultaneous-Event Multivariate Point-Process, with Applicaitons to Neural Data
Lead-free solders in surface mount electronic assemblies: Designs for quality and reliability
System Identification of Civil Engineering Structures through Wireless Structural Monitoring and Subspace System Identification MethodsCharacterization and integration of defect-mediated photodetectors for silicon photonic circuits
Stochastic semistability with application to stochastic consensus
Designs for Ultra-High Efficiency Grid-Connected Power Conversion
A System for Flexible Parallel Execution
Development of high energy density electrical double layer capacitors
Soft fault location and diagnosis in aerospace wiring systems
Seamless support for long-lived multi-gigabit flows in wireless networks
Computer architectures using nanotechnology
Using Hard Macros to Accelerate FPGA Compilation for Xilinx FPGAs
The sparse-grid based nonlinear filter: Theory and applications
Laser-induced multi-energy processing in diamond growth
GPU accelerated algorithms for multiple object tracking
Ferroelectric Capacitor in Paraelectric State Based Adaptive Load for Power AmplifierMultiphysics analysis for thermal management of electromagnetic launchers---A coupled electromagnetic and thermal problem with pulsating heat generation
Structural Characterization and Impedance Spectroscopy of Substituted, Fused-Ring Organic Semiconductors
Design, fabrication, and testing of a PDMS micropump with moving membranesFine line metallization of silicon heterojunction solar cells via collimated aerosol beam direct writeIntegration of a Chemical Sensor and a Particle Detector in a Single Portable SystemMultihypothesis prediction for compressed sensing and super-resolution of images
Quantitative optical imaging and sensing by joint design of point spread functions and estimation algorithms
Optical/acoustic radiation imaging (OARI) probe developed for epithelial cancer detectionPlasma-Assisted Molecular Beam Epitaxial Growth of Indium Nitride for Future Device Fabrication
Wavelength-scale confinement of light and its applications in on-chip photonic devicesDesign and analysis of architectures and algorithms for fixed and flexible grid optical WDM networksIntegrated Structural Health Management Of Complex Carbon Fiber Reinforced Composite StructuresUltrathin Porous Nanocrystalline Silicon Membrane for Electrical Sensing and Nanofluidics
Next generation wavefront controller for the MMT adaptive optics system: Algorithms and techniques for mitigating dynamic wavefront aberrations
A Family of Joint Sparse PCA Algorithms for Anomaly Localization in Network Data StreamsA unified hardware/software priority scheduling model for general purpose systemsAnalyse du determinisme et de la fiabilite du protocole PCI Express dans un contexte de certification avioniqueGenerative-discriminative low rank decomposition for medical imaging applications
The Design and Use of High-Speed Transmission Line Links for Global On-Chip CommunicationMetal Oxide Thin Film Transistors on Paper Substrate: Fabrication, Characterization, and Printing Process
Single-shot flash imaging using a compact soft X-ray microscope
Fabrication and Characterization of Organic/Inorganic Photovoltaic Device
Reduced-complexity epileptic seizure prediction with EEG
Ballistic Three-Branch Nanojunctions Realized With Self-Aligning Mask
Terahertz pulsed imaging with adaptive reconstruction techniques
Light Extraction Enhancement of Bulk Nitride Light Emitting Diodes
Implementation of LDPC Decoders on GPU platforms
Cryptographic circuit design in nanometer CMOS technologies
Security and testing
A study of the effects of fieldbus network induced delays on control systemsCharacterization and design of a low-power wireless power delivery systemExploration of Unknown Structured Environments with Multiple Robots
Wireless communications under QoS constraints: Energy efficiency, power and rate control, and throughput
Modeling and detection of external signals from small apertures in wire shieldsQuantization of a Low-Density Parity-Check (LDPC) Decoder: Limited-Precision Digital Design of the Sum-Product Algorithm (SPA) for Wireless Voice and Video Communication ChannelsHardware and Software Fault-Tolerance of Softcore Processors Implemented in SRAM-Based FPGAs
Investigation of the Evolution of Conduction Mechanism In Metal on Transparent Conductive Oxides Thin Film SystemExperimental Study of Novel Materials and Module for Cryogenic (4K) Superconducting Multi-Chip ModulesOptimum path tracking of an independently steered four wheeled mobile robotDetection of Nitroaromatic Explosives Using an Electrical- Electrochemical and Optical Hybrid SensorDesign of Keyword Spotting System Based on Segmental Time Warping of Quantized Features
Development and Testing of a Reconfigurable Gasoline Engine Control ModuleOptimization of Bio-Impedance Sensor for Enhanced Detection and Characterization of Adherent CellsPerfomance evaluation of multi-threaded system vs. chip-multi processor systemExperimental and theoretical investigation of lower bound antenna efficiency using a reverberation chamber
Investigation of data processing pipeline for high supersequence based methylation (Methyl-Seq)
Multiple real-constant multiplication for computationally efficient implementation of digital transformsRemotely detected nuclear magnetic resonance of microscopic flow for chemical and biological analysisDesign and Evaluation of High-Throughput Network-on-Chip Router Architecture
A probabilistic dynamic energy model for ad-hoc wireless sensors network with varying topologyUltra-Compact Integrated Coherent Receiver for High Linearity RF Photonic LinksUV-Assisted Alcohol Sensors using Gallium Nitride Nanowires Functionalized with Zinc Oxide and Tin Dioxide Nanoparticles
Study of cortical connectivity from EEG or MEG using state-space models
A hierarchical architecture for a scalable digital array radar
Barrier-based semiconductor thermoelectric devicesWavefront metrology for high resolution optical systems
A path-based framework for analyzing large Markov models
A new approach for dynamic modeling of continuum robotsImproved Stereo Vision Methods for FPGA-Based Computing Platforms
Protein All-Atom Energy Evaluation on a GPU
Dynamic Trace Analysis with Zero-Suppressed BDDs
Design, fabrication and analysis of transparent silicon solar cellsEnergy-efficient design of an asynchronous network-on-chip
Resource management for dynamic optical networks
The design of Bayes consistent loss functions for classification
Development and fabrication of low-mass, low-power, internally-calibrated, MMIC-based millimeter-wave radiometers at 92 and 166 GHzCompact form fitting small antennas using three-dimensional rapid prototypingOptimization of Concentrator Gallium Arsenide Photovoltaic Devices with Indium Arsenide Quantum Dots through Substrate Misorientation and ElectroplatingPractical Compressed Sensing: Modern data acquisition and signal processing
The effects of vehicle configuration on the performance of pulsed jet propulsion
Non-contact sensor for the real-time measurement of stiffness of asphalt pavements during compactionA hybrid model for optimizing customer preference predictions in product designA multi-software modeling technique for field distribution propagation through an optical vertical interconnect assemblyDecentralized identification and multimetric monitoring of civil infrastructure using smart sensorsRemotely Operating Reconfigurable Modular Robots with Handheld Smart DevicesUsing reputation based trust to overcome malfunctions and malicious failures in electric power protection systems
Preparation and characterization of iron oxide and hydroxide based nanomaterialsA computational model for biochemical pathways with applications to metabolic processes
Development and Application of a Control Analytic Tool for Evaluating Automated Residential Smart Grid Control StrategiesIdentification, specification and measurement, using international standards, of the system non functional requirements allocated to real-time embedded software
Synthesis, Processing and Characterization of Silicon-based Templated NanowiresReduced occupancy for processor efficiency through downsized out-of-order engine and reduced traffic
Increased power, pulse length, and spectral purity free-electron laser for inverse-Compton X-ray production and laser induced breakdown spectroscopy of thin film photovoltaics
Fault detection using spectral methods: Wavelets and correlation techniques
Thermal effects and sensor design in nanometer CMOS
Materials and devices for spintronics applications
Real-Time Software Electric Guitar Audio TranscriptionPhase transfer function of digital imaging systems
Design, modeling, and control of automotive power transmission systems
An Exploration Of Heterogeneous Networks On Chip
Acoustic Sensing of Location and User Presence on Mobile Computers
Mathematics of synthetic aperture radar imaging
Electrospun polymer-fiber solar cell
Improved algorithms for ear-clipping triangulation
Degradation analysis and health monitoring of lithium ion batteries
Solar cell design using metamaterials
Silicon integrated devices for optical system applications
Selective-area nanoheteroepitaxy for light emitting diode (LED) applications
Representation and scheduling of scalable dataflow graph topologies
Performance evaluation of a novel asymmetric capacitor using a light-weight, carbon foam supported nickel electrode
Defending against multifaceted attacks in wireless networks and power grid networks
Analysis and Design of High Performance 128-bit Parallel Prefix End-Around-Carry Adder
Development of an Objective Motor Score for Monitoring the Progression and Severity of Parkinson's Disease
Quasi Borel Cayley Graphs for Ultrafast Information Dissemination in Large and Dense NetworksAfferent stimulation for improving below-knee amputee gait and reaction to instabilityProbabilistic economic dispatch of generation units considering environmental aspects
Synthesis, Characterization and Utility of Carbon Nanotube Based Hybrid Sensors in Bioanalytical Applications
Real-Time Brain-Machine Interface Architectures: Neural Decoding from Plan to Movement
Greater sustained throughput and contention elimination in IEEE 802.11 with DS-CDMARobust Stability and Stabilization of a Class of Non-Linear Discrete-Time Stochastic Systems
An Application Programming Interface for Inertial Sensors Used in Physical Therapy and Injury Prevention
Advancing Microfluidic-based Protein Biosensor Technology for Use in Clinical Diagnostics
Efficient channel allocation and medium access organization algorithms for vehicular networkingWide bandgap indium gallium nitride solar cells: Materials science and device engineering
High Efficiency Wideband Envelope Tracking Power Amplifier for Next-Generation Wireless CommunicationsEfficient subdiffraction focusing of light using zone plate and bowtie apertureConditional Posterior Cramer-Rao Lower Bound and Distributed Target Tracking in Sensor Networks
Hydrogenated amorphous silicon photonicsSubvolume reconstruction algorithm for electrical impedance tomography
Estimating and mitigating radiated emissions from PCB heatsinksGT Suite simulation of a power split hybrid electric vehicle
Roll to roll manufacturing of flexible electronic devicesMulti-agent based federated control of large-scale systems
Ion Emission and Expansion in Laser-Produced Tin Plasma
Robust optical wireless links over turbulent media using diversity solutionsA Neurorobotic Model of Humanoid WalkingVLSI implementation of digital circuits
Off-road Obstacle Classification and Traversability Analysis in the Presence of Negative ObstaclesAutomated Software Synthesis for Streaming Applications on Embedded Manycore ProcessorsImpact of thermal management on vertical-cavity surface-emitting laser (VCSEL) power and speedProbing depths with a two-dimensional electrical impedance imaging systemQuadratic stability and block diagonal quadratic stability analysis for a special class of nonlinear systemsFEA Simulation on Dielectric Composite and Semi-Crystalline Composite, and Analytical Computations and Approximations for the Charge, Force and Chemical Potential for a Prolate Spheroid Aligned with an Electric FieldHydrophone scans of pressure patterns from a phased array transducer for high intensity focused ultrasoundTechniques for design and synthesis of approximate digital circuits for error-tolerant applicationsDielectric dipole mitigated Schottky barrier height tuning for contact resistance reduction
Pseudo-Exhaustive Built-in Self-Testing for Signal Integrity of High-Speed SoC InterconnectsEnhancement of near-infrared diffuse optical tomography for prostate cancer imagingThe design, modeling, fabrication, and characterization of an EWOD actuated microthermal switchElectrochemical studies on silver bimetallic cathode materials for long life batteries
Silicon grating couplers for low loss coupling between optical fiber and silicon nanowires
Silicon based multilayer photoelectrodes for photoelectrolysis of water to produce hydrogen from the sun
Development of load balancing algorithm based on analysis of multi-core architecture on a Beowulf clusterLight-emitting Diodes Based on Epitaxy on Non-polar Sidewalls and III-Nitrides Nanowires3D mathematical model of the hyoid bone and attached muscles during swallowingMinimizing Temperature Droop and Power Line Flicker in a Lamp Heated Xerographic Fusing SystemEvaluation mehods for health monitoring of aerospace structrures rotary jointsHand gesture and activity recognition in assisted living through wearable sensing and computingEnergy band engineering using polarization induced interface charges in MOCVD grown III-nitride heterojunction devices
Tension control in metallic web processing
Monte Carlo Studies of Electron Transport In Semiconductor NanostructuresParallel Epipolar Descriptor Correspondence
Unified Design and Optimization Tools for Digital Microfluidic Biochips
The electrochemical behavior of zincAdvance reservation in distributed computer networksShack-Hartmann and Interferometric Hybrid Wavefront SensorDiamond Based-Materials: Synthesis, Characterization and Applications
Modeling of a compact terahertz source based on the two-stream instabilityA Self-Configurable Architecture on an Irregular Reconfigurable Fabric
Lasing Behavior of an Active NIM-PIM Directional Coupler
Modeling impulse oscillometry data: Using augmented-RIC and extended-RIC respiratory impedance model parameters to track pulmonary health and diseaseGenetic algorithm optimized PID controllers for a solid oxide fuel cell system
Development of an eye-safe diode-laser-based micro-pulse differential absorption lidar (MP-dial) for atmospheric water-vapor and aerosol studiesA framework for software reliability management based on the software development profile modelReal time path planning for a small scale coaxial rotor helicopter with limited sensing in an unstructured environmentSynchronization of chaotic optoelectronic oscillators: Adaptive techniques and the design of optimal networks
Interface Formation Between High Dielectric Permittivity Films and III-V Compound Semiconductors using HF Chemistries and Atomic Layer DepositionSubspace and Graph Methods to Leverage Auxiliary Data for Limited Target Data Multi-Class Classification, Applied to Speaker VerificationHyperspectral Vision-Based Machine Learning for Robust Plant Recognition in Autonomous Weed Control
Novel Electrical and Optoelectronic Characterization Methods for Semiconducting Nanowires and NanotubesAdvanced battery thermal management for electrical-drive vehicles using reciprocating cooling flow and spatial-resolution, lumped-capacitance thermal modelHigh-frequency ultrasound linear array imaging system using analog beamformerReduction of cache related preemption delay using DVS in real time systemsUltra-high-Q Microresonator with Applications towards Single Nanoparticle Sensing
Methods for generation, manipulation and characterization of high repetition rate optical frequency combsTechniques for Battery Health Conscious Power Management via Electrochemical Modeling and Optimal ControlDiscrimination of surface and volume states in fully depleted field-effect devices on thick insulator substrates
Optical imaging and computer vision technology for corn quality measurementNonlinear dynamical modeling of single neurons and its application to analysis of long-term potentiation (LTP)
State, Parameter, and Unknown Input Estimation Problems in Active Automotive Safety ApplicationsTheoretical Analysis of Erbium Doped Structures on Gain and Characterization of Erbium Doped Particles
Deterministic Compressed Sensing
Increasing The Effectiveness of Deduction in Propositional SAT Solvers
Thermal Profiling in CMOS/Memristor Hybrid ArchitecturesVibration Harvesting using Electromagnetic Transduction
Pose estimation for robotic disassembly using RANSAC with line featuresValue-aware multi-objective interconnect optimization
Securing SDLC & NTCIP type messages for actuated signal controllers
Exploitation of unintentional information leakage from integrated circuits
Modeling audio and visual cues for real-world event detection
Synthesizable systemC to VHDL compiler design
Mixed Oxide Thin Film Transistors for Flexible Displays
New strategies for electronic design automation problems
Knowledge Discovery in Test: Methods, Models, and Applications
Recognition and characterization of unstructured environmental sounds
Building Applied Photovoltaic Arrays: Side-by-Side Array Comparison With and Without Fan Cooling
Quantitative Assessment of Lung Microstructure in Healthy Mice Using an MR-based Helium-3 Lung Morphometry TechniqueSuperconducting logic circuits operating with reciprocal magnetic flux quanta
A Methodology and Tool Support for the Design and Evaluation of Fault Tolerant, Distributed Embedded SystemsUnderwater experimental platform for studying the behavior and performance of a submersible propelled by a fluid-conveying fluttering tailThe development and evaluation of techniques for use in mammographic screening computer aided detection systemsFinite Element Modeling of High Current Density Effects & Power Handling Capability of RF MEMS Capacitive SwitchesX-ray measurements based classification models of registration defects for printed circuit boards
Application of carbon nanotubes and graphene for digital and analog electronicsApplications of inertial measurement units in monitoring rehabilitation progress of arm in stroke survivors
Efficient Gain-Driven Routing-Assisted Mechanisms for Network-wide Traffic Monitoring
Towards Robust Visual Tracking: Creating Reliable Observations from Videos
NEGF simulation of electron transport in resonant tunneling and resonant interband tunneling diodesMultilevel image segmentation with application in dietary assessment and evaluation
Electrochemical Analysis of Shewanella oneidensis Engineered to Bind Gold ElectrodesVacuum-assisted self-powered microfluidic pumping for lab-on-chip (LOC) application
Advanced modulation formats and all-optical processing solutions for future fiber-optic communication systemsElectrical and structural properties of high-kappa Barium tantalite and aluminum oxide interfaces with zinc oxide for applications in transparent thin film transistorsStatistics on computational anatomy: From template estimation to geodesically controlled diffeomorphic active shapes
MULTES : Multi-level Temporal-parallel Event-driven Simulation
Hybrid Molecular Beam Epitaxy for High Quality Strontium Titanate
Photon manipulation in silicon nanophotonic circuitsQuantum Imaging and Information
Redundant adder architectures for cell-based technology
Traffic safety: Modeling, analysis and visualization
Fault detection and diagnosis of photovoltaic systems
Prognostics of polymer positive temperature coefficient resettable fuses
Advances in Swept-Wavelength Interferometry for Precision Measurements
Simulation, application, and resilience of an organic neuromorphic architecture, made with organic bistable devices and organic field effect transistors
K-space undersampling strategies for functional and cardiac MRI: Achieving rapid acquisition while maintaining image qualitySensitivity of building response to variation in integration time step of response history analysis
Modeling a Standing Wave Linear Accelerator's Dispersion Relationship and Field Profile via an Equivalent Circuit and Numerical AnalysisAn experimental investigation of an on-chip interconnect fabric using a multiprocessor system-on-chip architecture
Utilizing equivalent circuits to describe the strain- and temperature-dependence of electromagnetic metamaterials
Automatisation de la mesure fonctionnelle cosmic -ISO 19761 des logiciels temps-reel embarques, en se basant sur leurs specifications fonctionnellesA slot line in a multi layered parallel plate medium partially filled by an anisotropic layer with uniaxial conductivityTag management architecture and policies for hardware-managed translation lookaside buffers in virtualized platformsVision-based studies for structural health monitoring and condition assessmentMechanical analysis of smart receptacles and intelligent fault detection and diagnosis for arcing and energy monitoringRegional mouse heart deformation analysis via Computer Aided Speckle Interferometry: A feasibility studyKernel Based Functional Test Analysis Framework for Test Content Optimization
Logic and Physical Synthesis Techniques for Engineering Change Orders (ECOs)
Mode Theory of Multi-Armed Spiral Antennas and Its Application to Electronic Warfare AntennasEnvironmental adaptive sampling for mobile sensor networks using Gaussian processesGeometric statistically based methods for the segmentation and registration of medical imageryRecursive Parameter Estimation using Polynomial Chaos Theory Applied to Vehicle Mass Estimation for Rough Terrain
Optimization of swarm robotic constellation communication for object detection and event recognitionParametrically-aided sensing in the short-wave infrared frequency band and beyondFinite Element Analysis of Silicon Thin Films on Soft Substrates as Anodes for Lithium Ion BatteriesDynamic Magnetostrictive Response of Heterostructural Magnetoelectric Magnetic Field Sensors
A custom printed circuit board design for microcontroller education
LOCSET Phase Locking: Operation, Diagnostics, and ApplicationsCoupling Renewable Energy Supply with Deferrable Demand
Study of multi- and broad-band internal antennas for mobile applications
Extensions of compressed sensing by exploiting prior knowledgeDesign and optimization of asynchronous network-on-chip
Uncertainty Propagation through Dependability Models
Fault Detection and Diagnosis for Multi-Actuator Pneumatic Systems
Advances in real-time thoracic guidance systems
Algorithms and methodologies for routability-driven VLSI placementNanoelectromechanical Switching With Single Walled Nanotubes
Synthesis of zinc oxide nanorods and use in biosensor applications
Modeling and simulating of the human renal system mathematical model
Development of enabling technologies for autonomous mobile robots
Mode structure of a noiseless phase-sensitive image amplifier
Measuring Digital System Latency from Sensing to Actuation at Continuous 1 Millisecond ResolutionPhotonically and thermally excited electron emission from modified graphitic nanopetalsMultiscale Modeling of Mechanical Shock Behavior of Environmentally-Benign Lead-Free Solders in Electronic Packaging
Improved vessel depiction of the carotid arteries and bifurcation with a specialized 16-channel phased array coil
The Local Bonding Environment of Amorphous Indium-Zinc-Oxygen Films Studied by X-ray Absorption Fine Structure and Total X-ray Scattering Using Synchrotron RadiationUsing Integrated Compiler and Architectural Techniques to Handle Data Dependences for Thread-Level Speculative Parallelization on Multi-Core Processors
Fiber Bragg grating sensor for fault detection in high voltage overhead transmission lines
Hyperspectral-Based Adaptive Matched Filter Detector Error as a Function of Atmospheric Profile EstimationDevelopment of an experimental and analytical model of an active cooling method for high-power three-dimensional integrated circuit (3D-IC) utilizing multidimensional configured thermoelectric modules
Incorporating field non-uniformity and anhysteretic field calculation in the core loss characterization of ferrimagnetic materialsCharacterization of parallel application runtime sensitivity on multi-core high performance computing systems
An efficient design space exploration framework to optimize power-efficient heterogeneous many-core multi-threading embedded processor architecturesHardware-Based Thread Scheduling for Power-Efficient and Variation-Resilient Chip MultiprocessorsKeyword based searching scheme for defining engineering education research as a critical case of virtual organizations
Designing Network Traffic Managers with Throughput, Fairness, and Worst-case Performance GuaranteesSynthesis and characterization of 4,4-prime-distyrylbiphenyl and its applications in organic field effect transistorsRaman Characterization of Colloidal Nanoparticles using Hollow-Core Photonic Crystal Fibers
Fabrication and Characterization of Electrospun Cactus Mucilage Nanofibers
A Solar Power System for High Altitude AirshipsControl of a Uni-Axial Magnetorheological Vibration IsolatorSpatial frequency modulated single detector imaging
An augmented reality interface for multi-robot tele-operation and control
Multistage blind source separation in MIMO systems
Viruses and Electronics for Early Disease Diagnostics
Grid resource allocation and scheduling in optical networks
Active learning for hyperspectral image classification
Guided-mode resonance devices: Omnidirectional reflectors, wideband absorbers, sensors and narrowband filtersModeling the physics of failure for electronic packaging components subjected to thermal and mechanical loading
Automatic extraction of behavioral models from simulations of analog/mixed-signal (AMS) circuitsDesign Methodologies for Optical Lithography Induced Systematic VariationsApplications of all optical signal processing for advanced optical modulation formats
Investigation of light absorption and emission in germanium and germanium tin films grown on silicon substratesOne-dimensional nanostructures for novel biosensor and transparent electronics applications
Optimization models and algorithms for designing wireless local area networks
Analog integrated circuit design in ultra-thin oxide CMOS technologies with significant direct tunneling-induced gate currentThe Harmonic Pattern Function: A Mathematical Model Integrating Synthesis of Sound and Graphical PatternsImprovement in thermospheric neutral density estimations of the numerical TIE-GCM by incorporating helium data from the empirical NRLMSISE-00 modelDesign, development, and testing of an insole sensor system for real-time gait feedback and rehabilitationAccelerated Large-Scale Multiple Sequence Alignment with Reconfigurable Computing
Asservissement en position d'un manipulateur robotique pour l'echographie tridimensionnel des arteres des membres inferieurs3D map building of structured indoor environments with heterogeneous robotsExamination of transient carrier behaviors in organic field-effect devices via displacement current measurementProton exchange membrane fuel cell modeling and simulation using Ansys Fluent
Modeling and embedded control of an infrared electromagnetic suspension systemUse of dilute hydrofluoric acid and deep eutectic solvent systems for back end of line cleaning in integrated circuit fabrication
Electrical (0544) 2011-2011
論文作者 畢業學校 學位Zhao, Chen North Carolina State University Ph.D.Horst, Stephen J. Georgia Institute of Technology Ph.D.Jarvis, Donald E., II George Mason University Ph.D.
Patel, Nehal D. Lamar University - Beaumont M.S.
Li, Shu Qi M.A.Sc.
Han, Yuxing Ph.D.
Choi, Kihoon University of Connecticut Ph.D.
Poocharoen, Panupat Oregon State University Ph.D.
Lakshmi Narasimha, Rajan Ph.D.
Hansen, Jonathan Noel Texas A&M University Ph.D.
Jenkins, Christipher D. Ph.D.
Haider, Md. Ali South Dakota State University M.S.
Melamed, Samson Louis Benjamin North Carolina State University Ph.D.
Chabaane, Youssef M.Ing.
Potluri, Tejaswi Arizona State University M.S.
Bousquet, Jean-Francois University of Calgary (Canada) Ph.D.
Widder, Kerry R. Ph.D.
Tapily, Kandabara Nouhoum Old Dominion University Ph.D.
Wu, Wei University of Houston Ph.D.
Bonneau, Patrick University of Victoria (Canada) M.A.Sc.
Asif, Fatima University of South Carolina M.S.
Wathen, Adam D. Georgia Institute of Technology Ph.D.
Mamun, Samiha Arizona State University M.S.
DeBolt, Daniel Terence Northeastern University M.S.
Chang, Paul Chinling The Ohio State University Ph.D.
Mahiuddin, Shompa S. San Jose State University M.S.
Gutta, Sandeep Oklahoma State University M.S.Augustine, Charles Purdue University Ph.D.
Lobisser, Evan Ph.D.
Bhat, Uttam Arizona State University Ph.D.
University of Ontario Institute of Technology (Canada)University of California, Los Angeles
University of Illinois at Urbana-Champaign
The University of Wisconsin - Madison
Ecole de Technologie Superieure (Canada)
The University of Wisconsin - Madison
University of California, Santa Barbara
McPherson, Sean Raymond University of Southern California Ph.D.
Wongsopanakul, Kiattisak Wayne State University Ph.D.
Nummey, David B., Jr. M.E.E.
Pourfathi, Mehrdad San Jose State University M.S.
Sarda, Ankit M.S.
Meda, Venkata Sai Kalyan Northern Illinois University M.S.Costa, Joseph Stephen Northeastern University M.S.
Chu, Sheng University of California, Riverside Ph.D.
Javvadi, Monica Northern Illinois University M.S.Vunnam, Suresh Babu Northern Illinois University M.S.Toh, Seng Oon University of California, Berkeley Ph.D.Bai, Yanbo Northwestern University Ph.D.Jewell-Larsen, Nels E. University of Washington Ph.D.Wang, Tianqi University of Rochester Ph.D.
Nam, Kibo Ph.D.
Hou, Linlin University of Cincinnati Ph.D.
Barrington, Luke Ph.D.
Xu, Kevin Columbia University Ph.D.
Jaber, Nabih University of Windsor (Canada) Ph.D.
Colon, Marlyn University of South Florida M.S.Ch.E.
Xie, Jiong Tufts University Ph.D.
Stites, Matthew R. Utah State University Ph.D.
Wagner, Kevin D.Sc.
Yao, Chih-Wei Ph.D.
Floresca, Herman Carlo The University of Texas at Dallas Ph.D.
Du, Xinyu Wayne State University Ph.D.
Ou, Yen-Fu Ph.D.
Li, Jing University of Delaware Ph.D.
Wan, Hong The University of Utah Ph.D.
Shen, Chung-An University of California, Irvine Ph.D.
Gogineni, Sandeep Ph.D.
Das, Hirakendu Ph.D.
Hejase, Jose Ale Michigan State University Ph.D.
Zhou, Hang Northwestern University Ph.D.
The Cooper Union for the Advancement of Science and Art
Rutgers The State University of New Jersey - New Brunswick
The University of Wisconsin - Madison
University of California, San Diego
The George Washington UniversityUniversity of California, Los Angeles
Polytechnic Institute of New York University
Washington University in St. LouisUniversity of California, San Diego
Keele, Rodney C. The University of Oklahoma Ph.D.
Ciftcioglu, Berkehan University of Rochester Ph.D.
Zhang, Zhenyu Ph.D.
Keasler, Craig Alan Boston University Ph.D.
Tin, Steven Cornell University Ph.D.
Bramhavar, Suraj Boston University Ph.D.
Stojanovic, Ivana Boston University Ph.D.
Costanzo, Giuseppe Tommaso M.Sc.A.
Smetaniuk, Daniel Patrick University of Alberta (Canada) M.Sc.
Jajamovich, Guido Hugo Columbia University Ph.D.
Chaoui, Hicham Ph.D.
Dehlaghi Jadid, Behzad University of Calgary (Canada) M.Sc.
Islam, Z. M. Faizul M.A.Sc.
Ding, Yan Ph.D.
Huang, Shaohui Lehigh University M.S.Gong, Chen Columbia University Ph.D.
Anstey, Jonathan S. M.Eng.
Jassar, Surinder Ryerson University (Canada) Ph.D.
Jin, Yuehai Auburn University Ph.D.
Yasotharan, Hemesh University of Toronto (Canada) M.A.Sc.
Asgari Kamiabad, Amirhassan University of Toronto (Canada) M.A.Sc.
Zhao, April Yang University of Toronto (Canada) M.A.Sc.
Ahmad, Talha Carleton University (Canada) M.A.Sc.
Zhao, Pengkai Ph.D.
Padmanabhan, Krishna University of Notre Dame Ph.D.
Aalamifar, Fereshteh Queen's University (Canada) M.A.Sc.
Ahmadi, Amir Ali Ph.D.
Garg, Jivtesh Ph.D.
Cobb, James Tory University of Florida Ph.D.
Farshidi, Amin University of Calgary (Canada) M.Sc.
Akopyan, Filipp A. Cornell University Ph.D.Naghoosi, Elham University of Alberta (Canada) M.Sc.
Mourou, Mostafa M.Ing.
Ecole Polytechnique, Montreal (Canada)
Ecole Polytechnique, Montreal (Canada)
Universite du Quebec a Trois-Rivieres (Canada)
University of Ontario Institute of Technology (Canada)Ecole Polytechnique, Montreal (Canada)
Memorial University of Newfoundland (Canada)
University of California, Los Angeles
Massachusetts Institute of TechnologyMassachusetts Institute of Technology
Ecole de Technologie Superieure (Canada)
Alganas, Abdulelah M.A.Sc.
Chuang, Han-Ti Carleton University (Canada) M.A.Sc.Lotfifard, Saeed Texas A&M University Ph.D.
Jena, Premalata Ph.D.
Annapareddy, Naga Dinesh Reddy Texas A&M University - Kingsville M.S.
Hone, Andrew Nicholas University of Victoria (Canada) M.A.Sc.Ke, Youqi McGill University (Canada) Ph.D.Zhou, Yinghong Carleton University (Canada) Ph.D.
Ha, Myunghyun Georgia Institute of Technology Ph.D.
Achouri, Youssef M.Sc.A.
Rudolph, Scott M. University of Michigan Ph.D.Boppana, Krishna Jyothi West Virginia University M.S.
Winkler, Simone Angela Ph.D.
Seide, Germine M.Sc.A.
Hajati, Arman Ph.D.
Cho, Myungjin University of Connecticut Ph.D.Granados, Omar Florida International University Ph.D.
Belderrar, Ahmed M.Sc.A.
Karimelahi, Samira University of Toronto (Canada) M.A.Sc.
Wang, Haishan Carleton University (Canada) M.A.Sc.Sorour, Sameh University of Toronto (Canada) Ph.D.
Chen, Gang University of New Hampshire Ph.D.
Bi, Zhenxing Texas A&M University Ph.D.
Chakraborty, Sudip Ph.D.
Taylor, Joshua Adam Ph.D.
Tang, Weiguo University of Connecticut Ph.D.
Bae, Jung Hyun University of Michigan Ph.D.Prasai, Anish Georgia Institute of Technology Ph.D.
Falou, Omar Ryerson University (Canada) Ph.D.
Ren, He Ph.D.
Bustos, Paula A. M.S.
Chakib, Hicham Ph.D.
Shriyan, Sameet K. Drexel University Ph.D.
Bai, Yu Ph.D.
Chen, Jixu Rensselaer Polytechnic Institute Ph.D.
University of Ontario Institute of Technology (Canada)
Indian Institute of Technology, Kharagpur (India)
Universite du Quebec a Trois-Rivieres (Canada)
Ecole Polytechnique, Montreal (Canada)Ecole Polytechnique, Montreal (Canada)Massachusetts Institute of Technology
Ecole Polytechnique, Montreal (Canada)
Indian Institute of Technology, Kharagpur (India)Massachusetts Institute of Technology
The University of Wisconsin - MadisonUniversity of Massachusetts LowellUniversite de Sherbrooke (Canada)
Massachusetts Institute of Technology
Wan, Ping University of Victoria (Canada) Ph.D.Lam, Ngok McGill University (Canada) Ph.D.Zeng, Meng Texas A&M University Ph.D.
Olson, Chadwick Robert M.A.Sc.
Brown, Richard James Cornell University Ph.D.Liu, Nurrachman Chih Yeh University of Michigan Ph.D.
Shakibi, Babak University of Toronto (Canada) M.A.Sc.
Brzezina, Grzegorz M. Carleton University (Canada) Ph.D.
Jagabathula, Srikanth Ph.D.
Seo, Hae Jong Ph.D.
Pahadia, Anshu University of Calgary (Canada) M.Sc.
Jing, Hao Illinois Institute of Technology Ph.D.
Song, Shanshan Princeton University Ph.D.
Ruscito, Elizabeth Carleton University (Canada) M.A.Sc.
Park, Sunyoung McGill University (Canada) M.Eng.Krabbe, Joshua Dirk University of Alberta (Canada) M.Sc.Heo, Junseok University of Michigan Ph.D.
Liu, Liming University of Pittsburgh Ph.D.
Rara, Ham University of Louisville Ph.D.
Ghazisaeidi, Ali Carleton University (Canada) M.A.Sc.
Roy, Ambarish Ph.D.
Schnelle, Stephen Rice University M.S.
Geissbuehler, Simon M.Sc.A.
Nakiboglu, Baris Ph.D.
Acevedo, Juan Fernando M.Eng.
Lajevardi, Saina University of Alberta (Canada) M.Sc.
Abbas, Muhammad Awais M.A.Sc.
Li, Haifeng The Pennsylvania State University Ph.D.
Datta, Hiten Dalhousie University (Canada) M.A.Sc.
Shafaei, Sara University of Louisville Ph.D.
Kilaru, Rohit M.S.
Thirukailayanathan, Kirushananth Carleton University (Canada) M.A.Sc.
Ghias-Begloo, Ehsan Carleton University (Canada) M.A.Sc.Hingwala, Chirag Carleton University (Canada) M.A.Sc.
The University of Regina (Canada)
Massachusetts Institute of TechnologyUniversity of California, Santa Cruz
University of Massachusetts Lowell
Universite de Sherbrooke (Canada)Massachusetts Institute of TechnologyMemorial University of Newfoundland (Canada)
University of Ontario Institute of Technology (Canada)
The University of Texas at Arlington
Wyville, Mark Carleton University (Canada) Ph.D.
Abou Saleh, Ahmad Queen's University (Canada) M.A.Sc.
Shah, Parikshit Ph.D.
Nabar, Sidharth University of Washington Ph.D.Khoshnevis, Behrouz University of Toronto (Canada) Ph.D.Roy, Rajeev Carleton University (Canada) M.A.Sc.Woodmansee, Kacie University of Arkansas M.S.Zhou, Hua University of Alberta (Canada) M.Sc.
Dunford, Joseph I. M.Eng.
Nannapaneni, Chandana West Virginia University M.S.
Esmailzadeh, Ali M.A.Sc.
Wang, Wei Stevens Institute of Technology Ph.D.Yeung, Deryck Georgia Institute of Technology Ph.D.
Abouzaid, Mohammed Faical Ph.D.
Jin, Jin University of Toronto (Canada) Ph.D.
Song, Hui William University of Toronto (Canada) M.A.Sc.Grinek, Stepan University of Alberta (Canada) M.Sc.
Lee, Joonsung Ph.D.
Ouassal, Hassna M.Sc.A.
Hoseini, Pedram Paysarvi University of Alberta (Canada) M.Sc.
Hussmann, Ricky E. West Virginia University M.S.He, Hao University of Florida Ph.D.
Laredj, Mohammed M.Ing.
Dong, Xue University of Victoria (Canada) M.A.Sc.Khouzani, MHR University of Pennsylvania Ph.D.
Kuo, Kuan-Liang Francis Lakehead University (Canada) M.Sc.Eng.
Yoo, Sangmin University of Washington Ph.D.Goodman, Kevin University of Notre Dame Ph.D.
Hagar, Abdelrahman University of Toronto (Canada) Ph.D.
Rahman, Md Mahmudur Carleton University (Canada) Ph.D.
Zaheri, Mohammadreza University of Calgary (Canada) M.Sc.
Yeh, Wu-Feng University of Washington Ph.D.Raissi Dehkordi, Vahid McGill University (Canada) Ph.D.
Mercier, Charles M.Sc.A.
Li, Dan University of Victoria (Canada) M.A.Sc.
Kim, Janghoon Ph.D.
Massachusetts Institute of Technology
Memorial University of Newfoundland (Canada)
University of Ontario Institute of Technology (Canada)
Ecole Polytechnique, Montreal (Canada)
Massachusetts Institute of TechnologyEcole Polytechnique, Montreal (Canada)
Ecole de Technologie Superieure (Canada)
Universite de Sherbrooke (Canada)
The University of Wisconsin - Madison
Szyszkowicz, Sebastian S. Carleton University (Canada) Ph.D.
Arriagada Escudero, Alvaro J. University of Calgary (Canada) M.Sc.
Kochermin, Artur Carleton University (Canada) M.A.Sc.
Chagnon, Mathieu McGill University (Canada) M.Eng.
Patulea, Catalin Carleton University (Canada) M.A.Sc.
Liao, Yitao Boston University Ph.D.
Hong, Mingyi University of Virginia Ph.D.
Zhou, Xiuyi University of Pittsburgh Ph.D.
El Ghali, Nabil M.A.
Penumarthy, Sravanthi University of Arkansas M.S.
Li, Dongying University of Toronto (Canada) Ph.D.
Yoon, Hong-Jun University of Pittsburgh Ph.D.Marinkovic, Bozidar Yale University Ph.D.
Shen, Weilun Oregon State University Ph.D.
Spokoinyi, Boris Carleton University (Canada) M.A.Sc.
Benchikh, Salam M.Appl.Sc.
Lavoie, Thierry M. M.Sc.A.
Sarwer, Mohammed Golam University of Windsor (Canada) Ph.D.
Ehyaie, Danial University of Michigan Ph.D.Thamarakuzhi, Ajithkumar University of Connecticut Ph.D.
Gedeon, Paul M.Sc.A.
Cao, Yu Queen's University (Canada) Ph.D.Malcolm, James G. Georgia Institute of Technology Ph.D.
Al-Zoubi, Khaldoon Carleton University (Canada) Ph.D.
Cartier, Jean-Francois M.Sc.A.
Krzymien, Lukasz University of Alberta (Canada) Ph.D.Yu, Jianjun Auburn University Ph.D.Gao, Wei York University (Canada) Ph.D.
Khamsehashari, Elham M.Sc.A.
Chyurlia, Pietro Natale Alessandro Carleton University (Canada) Ph.D.
Song, Sanquan Ph.D.
Oloumi, Faraz University of Calgary (Canada) M.Sc.
Prathi, Rajeev Texas A&M University - Kingsville M.S.
Elliott, Robert Charles University of Alberta (Canada) Ph.D.
Kocabas, Ovunc Rice University M.S.
Ecole Polytechnique, Montreal (Canada)
Ecole Polytechnique, Montreal (Canada)Ecole Polytechnique, Montreal (Canada)
Ecole Polytechnique, Montreal (Canada)
Ecole Polytechnique, Montreal (Canada)
Ecole Polytechnique, Montreal (Canada)
Massachusetts Institute of Technology
Sidhu, Rajbir Kaur McGill University (Canada) M.Eng.
Dubey, Sunny Texas A&M University - Kingsville M.S.
Gogineni, Usha Ph.D.
Chatterjee, Priyam Ph.D.
Kolbah, Bojana Carleton University (Canada) M.A.Sc.
Gabr, Ahmed Carleton University (Canada) M.A.Sc.
Saini, Harjinder Singh Carleton University (Canada) M.A.Sc.
Fallahi, Kia University of Calgary (Canada) Ph.D.
Noriega, Alexandra University of North Texas M.S.
Dulipovici, Andrei M.Ing.
Gholami, Bahman M.Sc.A.
Krause, Kathleen Marion University of Alberta (Canada) Ph.D.
Maymon, Shay Ph.D.
Wagner, Sean Jorge University of Toronto (Canada) Ph.D.
Youssef, Ahmed University of Calgary (Canada) Ph.D.
Wu, Yiyue Princeton University Ph.D.Klinc, Demijan Georgia Institute of Technology Ph.D.Tian, David Case Western Reserve University M.S.
Ahmad, Nazia Carleton University (Canada) M.A.Sc.
Wen, Tingjun Carleton University (Canada) M.A.Sc.
Dedrick, Eric University of Kentucky Ph.D.Salem, Mohamed Carleton University (Canada) Ph.D.Abd Rahman, Nur Dayana University of Louisville M.S.
Thiemann, Joachim McGill University (Canada) Ph.D.
Joseph, George University of Louisville M.S.
Xu, Qingyang McMaster University (Canada) Ph.D.
Hassanzadeh, Alireza Ph.D.
Amini, Zahra M.Sc.
Ye, Fei University of Washington Ph.D.
Rezaei, Saeid University of Toronto (Canada) M.A.Sc.
Benbrahim, Salah-Eddine M.Sc.A.
Zhang, Tianhe McGill University (Canada) M.Eng.
Lakshmanan, Sriram Georgia Institute of Technology Ph.D.
Wang, Judith McGill University (Canada) M.Eng.Calman, Silvian University of Toronto (Canada) Ph.D.
Massachusetts Institute of TechnologyUniversity of California, Santa Cruz
Ecole de Technologie Superieure (Canada)Universite du Quebec a Trois-Rivieres (Canada)
Massachusetts Institute of Technology
The University of Alabama in HuntsvilleEcole Polytechnique, Montreal (Canada)
Ecole Polytechnique, Montreal (Canada)
Pasandideh, Mohammad Reza Carleton University (Canada) M.A.Sc.
Nasrullah, Azeem University of Houston Ph.D.Dinnissen, Pierre Carleton University (Canada) M.A.Sc.
Liang, Liang University of Toronto (Canada) M.A.Sc.
Kim, Meekyung Ph.D.
Gunaratne, Thushara Kanchana University of Calgary (Canada) Ph.D.
Malloy, Allison Carleton University (Canada) M.A.Sc.Yi, Sheng North Carolina State University Ph.D.Kutman, Denis Carleton University (Canada) M.A.Sc.Daher, Ali McGill University (Canada) M.Eng.Mookiah, Prathaban Drexel University Ph.D.Ouyang, Yijian University of Florida Ph.D.Yanez, Mauricio Queen's University (Canada) Ph.D.Cheng, Bowen University of Washington Ph.D.
McComber, Kevin A. Ph.D.
Kuzub, Jeremy Carleton University (Canada) M.A.Sc.
Shopov, Stefan Carleton University (Canada) M.A.Sc.
Jin, Cheng Carleton University (Canada) M.A.Sc.
Mobini, Nastaran Carleton University (Canada) M.A.Sc.
Wu, Marcelo University of Alberta (Canada) M.Sc.Haselman, Michael University of Washington Ph.D.Rahman, Md. Abdur University of Ottawa (Canada) Ph.D.Sharifi Tehrani, Saeed McGill University (Canada) Ph.D.
He, Rui M.Eng.
Zhang, Xiaohua University of Rochester Ph.D.Nayyar, Ashutosh University of Michigan Ph.D.
Katal Mohseni, Parsian McMaster University (Canada) Ph.D.
Navaratne, Donuwan Pamira University of Calgary (Canada) M.Sc.
Muker, Manmit Carleton University (Canada) M.A.Sc.Zhu, Jiang University of Toronto (Canada) Ph.D.Koulakezian, Agop University of Toronto (Canada) M.A.Sc.
Zuo, Fangzhi M.A.Sc.
Shao, Mingkai McMaster University (Canada) Ph.D.
Weng, Lei M.Eng.
Danu, Daniel G. McMaster University (Canada) Ph.D.Kim, Kyungseok Auburn University Ph.D.Wu, Yihong Princeton University Ph.D.
Massachusetts Institute of Technology
Massachusetts Institute of Technology
Memorial University of Newfoundland (Canada)
University of Ontario Institute of Technology (Canada)
Memorial University of Newfoundland (Canada)
Mahmood, Kashif Carleton University (Canada) M.A.Sc.
Sastry, Jyoti Georgia Institute of Technology Ph.D.Lu, Jianchao Drexel University Ph.D.
Bandaru, Praveen Texas A&M University - Kingsville M.S.
Salah, Mohamed Queen's University (Canada) M.A.Sc.
Appusamy, Prakash Texas A&M University - Kingsville M.S.
Kaila, Shilpa Texas A&M University - Kingsville M.A.
Hummel, Richard Queen's University (Canada) M.A.Sc.
Wang, Chao University of Ottawa (Canada) Ph.D.
Mostafavi, Somayeh University of Alberta (Canada) M.Sc.Khan, Daanish Carleton University (Canada) M.A.Sc.Li, Weiwei University of Toronto (Canada) M.A.Sc.
Smith, Steven Collins Colorado School of Mines Ph.D.
He, Shan Queen's University (Canada) Master
Shahidi, Shervin Queen's University (Canada) M.A.Sc.
Housseini, Boubacar M.Sc.A.
Atkins, Joshua The Johns Hopkins University Ph.D.Sample, Alanson University of Washington Ph.D.Yu, Qiaoyan University of Rochester Ph.D.Anand, Narenda Rice University M.S.
Liao, Andrea Carleton University (Canada) M.A.Sc.
Cove, Samuel R. M.Eng.
Chen, Moyuan University of Victoria (Canada) M.A.Sc.
Shahramian, Shahriar University of Toronto (Canada) Ph.D.
Almuzaini, Khalid University of Victoria (Canada) Ph.D.Ehtiati, Neda McGill University (Canada) Ph.D.El Ferezli, Elias University of Toronto (Canada) M.A.Sc.
Li, Houmin Auburn University Ph.D.
Bryant, Reginald Eugene Ph.D.
Cossaboom, Matthew S. M.A.Sc.
Mouradi, Rand Cleveland State University D.E.
Shema Habyalimana, Alexandre University of Ottawa (Canada) M.A.Sc.
Banik, Shantanu University of Calgary (Canada) Ph.D.
Lima, Jose Augusto University of Ottawa (Canada) M.A.Sc.
Klett, Robert Carleton University (Canada) M.A.Sc.
Universite du Quebec a Trois-Rivieres (Canada)
Memorial University of Newfoundland (Canada)
Massachusetts Institute of TechnologyRoyal Military College of Canada (Canada)
Zaeri Amirani, Mohammad M.A.Sc.
Ibrahim, Issah University of Windsor (Canada) M.A.Sc.
Han, Liang Ph.D.
Sundara Rajan, Kishore University of Washington Ph.D.Elsherbini, Adel A. University of Michigan Ph.D.
Saeed, Basil Carleton University (Canada) M.A.Sc.
Le Breton, Brian Alfred M.A.Sc.
Eid, Heba Carleton University (Canada) M.A.Sc.
Zhai, Wenyao Carleton University (Canada) M.A.Sc.
Wichakool, Warit Ph.D.
Ramanan, Sivagnanasundaram Drexel University Ph.D.Shabani, Javad Princeton University Ph.D.Wu, Wei Carnegie Mellon University Ph.D.Guo, Rui The Florida State University Ph.D.
Ban, Yong Ju M.Sc.A.
Zhang, Lei University of Toronto (Canada) M.A.Sc.Tyshchenko, Ekaterina University of Toronto (Canada) Ph.D.
Aflaki, Pouya University of Calgary (Canada) Ph.D.
Wang, Leon S. Carnegie Mellon University Ph.D.
Hidalgo Anfossi, Rodrigo McGill University (Canada) M.Eng.
Borsu, Valentin University of Ottawa (Canada) M.A.Sc.
Khilo, Anatol Ph.D.
Xu, Jie University of Florida Ph.D.Tatham, Benjamin Carleton University (Canada) M.A.Sc.Cay, Abdullah Old Dominion University Ph.D.Middleton, Gareth B. Rice University Ph.D.Tran, An Hong University of Calgary (Canada) M.Sc.Wahby, Mahmoud Queen's University (Canada) M.A.Sc.
Lee, Kyeong-Jae Ph.D.
Dahlem, Marcus Ph.D.
Nagaraj, Sriram Rice University M.S.
Lloyd, John Curtis M.A.Sc.
Hashmi, Shafiq Ullah University of Ottawa (Canada) Ph.D.Al Haddad, Pamela University of Toronto (Canada) M.A.Sc.
Omer, Elsharif A. Colorado School of Mines Ph.D.
University of Ontario Institute of Technology (Canada)
Ecole Polytechnique, Montreal (Canada)
Royal Military College of Canada (Canada)
Massachusetts Institute of Technology
Ecole Polytechnique, Montreal (Canada)
Massachusetts Institute of Technology
Massachusetts Institute of TechnologyMassachusetts Institute of Technology
Royal Military College of Canada (Canada)
Onat, Ilker University of Ottawa (Canada) Ph.D.
Rasouli, Mohammad University of Calgary (Canada) Ph.D.
Yildirim, Omer Ozgur Harvard University Ph.D.
Shaheen, Ghassan Carleton University (Canada) M.A.Sc.
Zhang, Tenglong M.S.
Paydavosi, Navid University of Alberta (Canada) Ph.D.
Xue, Ming University of Florida Ph.D.
Adnan, Farasatul McGill University (Canada) M.Eng.
Liu, Tong Auburn University Ph.D.He, He University of Ottawa (Canada) M.A.Sc.
Zheng, Chenxi Queen's University (Canada) M.A.Sc.
Yermakou, Siarhei Carleton University (Canada) M.A.Sc.
Kahlon, Arshdeep S. Carleton University (Canada) M.A.Sc.
Hossain, Khalid Shamsuddin McGill University (Canada) M.Eng.
Shahramian, Shayan University of Toronto (Canada) M.A.Sc.Lazic, Nevena University of Toronto (Canada) Ph.D.Day, Jared Rice University M.S.Leung, Christopher McGill University (Canada) M.Eng.Zhao, Xiao Queen's University (Canada) M.A.Sc.Liau, Andrew Queen's University (Canada) M.A.Sc.Chou, William University of Toronto (Canada) M.A.Sc.
Zhu, Difeng Ph.D.
To, Steve University of Toronto (Canada) M.A.Sc.
Ahmad, Faisal Saeed McGill University (Canada) M.Eng.
Wang, Yiming Carnegie Mellon University Ph.D.Cao, Rui University of Florida Ph.D.
Balde, Mamadou M.Sc.A.
Haque, Moez University of Toronto (Canada) M.A.Sc.
Kallankara, Vivek Narayanan McGill University (Canada) M.Eng.
Jahaniaval, Araz University of Guelph (Canada) M.Sc.
Chen, Fred Ph.D.
Zhou, Hui Ph.D.
Tyshchenko, Oleksiy University of Toronto (Canada) Ph.D.
Bansal, Tanesh University of Louisville Ph.D.
Li, Zhi University of Virginia Ph.D.
University of Massachusetts Lowell
The University of Wisconsin - Madison
Universite du Quebec a Trois-Rivieres (Canada)
Massachusetts Institute of TechnologyMassachusetts Institute of Technology
Napp, Nils University of Washington Ph.D.Chen, Yi-Hao University of Michigan Ph.D.
Blair, Ballard J. S. Ph.D.
Han, Changan Florida International University Ph.D.Wong, Herman M. K. University of Toronto (Canada) M.A.Sc.Taslimi, Shahrzad McGill University (Canada) M.Eng.
Bassam, Seyed Aidin University of Calgary (Canada) Ph.D.
Jia, Peng McGill University (Canada) M.Eng.Brgulja, Amir University of North Texas M.S.
Shirani, Rostam Carleton University (Canada) M.A.Sc.
Anderson, Gustave Drexel University Ph.D.
Pongpun, Sophit M.A.Sc.
Erkanli, Sertan Old Dominion University Ph.D.Wall, Kieran Andrew Queen's University (Canada) Ph.D.
Godoy, Philip Andrew Ph.D.
Wei, Dennis Ph.D.
Ossoucah, Philipe Junior M.Sc.A.
Zhu, Di Rensselaer Polytechnic Institute Ph.D.
Sabouni, Rami Carleton University (Canada) M.A.Sc.Changiz, Reyhaneh Carleton University (Canada) M.A.Sc.Hossain, Masum University of Toronto (Canada) Ph.D.Joushaghani, Arash University of Toronto (Canada) M.A.Sc.Khan, Abdul Hannan McGill University (Canada) M.Eng.
Alfaheid, Khalid M.A.Sc.
Iyengar, Vikram Varadarajan University of Virginia Ph.D.
Letarte, Dominic Ph.D.
Wang, Yuhang Harvard University Ph.D.
Cole, Bryan T. Boston University Ph.D.
Jayanti, Srikant North Carolina State University Ph.D.
Yu, Shih-An Columbia University Ph.D.
Chen, Zhiming University of California, Irvine Ph.D.
Almeida, Nuno Sucena Boston University Ph.D.
Chan, Jong Wu Columbia University Ph.D.
Collard-Frechette, Etienne M.Ing.
Zhang, Xuan Cornell University Ph.D.
Massachusetts Institute of Technology
The University of Regina (Canada)
Massachusetts Institute of TechnologyMassachusetts Institute of TechnologyEcole Polytechnique, Montreal (Canada)
University of Ontario Institute of Technology (Canada)
Ecole Polytechnique, Montreal (Canada)
Ecole de Technologie Superieure (Canada)
Elangovan, Karthik M.S.
Vankayalapati, Naresh University of Rhode Island Ph.D.Weltin-Wu, Colin Columbia University Ph.D.
Masaud, Khalid Musa University of Calgary (Canada) M.Sc.
Sarakbi, Lelass M.S.
Li, Dongxiao University of Pittsburgh Ph.D.
Lui, Devin Waine-Tak University of Toronto (Canada) M.A.Sc.
Moresco, Michele Boston University Ph.D.
Hamdi, Karama Ph.D.
Sterling, Mark University of Rochester Ph.D.
Mishra, Apurva University of Washington Ph.D.
Hassan, Syed Ali Georgia Institute of Technology Ph.D.
Suh, Inwon The Ohio State University Ph.D.
Roy, Arnab The Pennsylvania State University Ph.D.
Shrestha, Subodh M.E.
Ray, Baijayanta Ph.D.
Senay, Seda University of Pittsburgh Ph.D.
Harris, Theodore Robert North Carolina State University Ph.D.Jewart, Charles Milford University of Pittsburgh Ph.D.
An, Woosun University of Connecticut Ph.D.
Kasbekar, Gaurav S. University of Pennsylvania Ph.D.
Tsao, Yu-Chi Illinois Institute of Technology Ph.D.
Meric, Inanc Columbia University Ph.D.
Zhao, Zhen Drexel University Ph.D.
Islam, Rubaiyat University of Toronto (Canada) Ph.D.
Luyster, Bradley Alan University of Louisville M.Eng.Ford, Kevin Robert Lehigh University M.S.
Belleau, Christian Ph.D.
Sebbar, Mohamed M.Sc.A.
Lam, Simon Sai-Ming University of Toronto (Canada) Ph.D.
Carroll, Yazmin Ph.D.
Kamh, Mohamed Zakaria University of Toronto (Canada) Ph.D.
Megee, Rachel Lauren University of Arkansas M.S.Li, Zheng University of Delaware Ph.D.
Rutgers The State University of New Jersey - New Brunswick
California State University, Long Beach
Hong Kong University of Science and Technology (Hong Kong)
The Cooper Union for the Advancement of Science and ArtIndian Institute of Technology, Kharagpur (India)
Universite de Sherbrooke (Canada)Ecole Polytechnique, Montreal (Canada)
The University of Alabama in Huntsville
Ai, Nan Stevens Institute of Technology Ph.D.
Kim, Kuk-Hwan University of Michigan Ph.D.Pekkucuksen, Ibrahim E. Georgia Institute of Technology Ph.D.Sridharan, Mukundan The Ohio State University Ph.D.
Zhang, Yun Georgia Institute of Technology Ph.D.
Yan, David University of Toronto (Canada) M.A.Sc.Song, Zhen University of Arkansas M.S.
Park, Zivin University of Florida Ph.D.
Yoon, Se Young University of Virginia Ph.D.
Chi, Pei-Ling Ph.D.
Zhang, Chi University of Florida Ph.D.
Vashghani Farahani, Alireza University of Toronto (Canada) Ph.D.
Joshi, Vivek University of Michigan Ph.D.Saunders, Christopher Scott North Carolina State University Ph.D.Gonzalez Dominguez, Guadalupe Giselle Texas A&M University Ph.D.Rouse, David Marshall Cornell University Ph.D.
Abolghasem, Payam University of Toronto (Canada) Ph.D.
Wang, Ning Ph.D.
Xia, Xin Texas A&M University Ph.D.
Anand, Vijay Illinois Institute of Technology Ph.D.
Kerwin, Thomas The Ohio State University Ph.D.Uhl, Brecken H. New Mexico State University Ph.D.
Aghatehrani, Rasool North Dakota State University Ph.D.
Conover, Brandon Lee North Carolina State University Ph.D.
Ding, Siyi Vanderbilt University Ph.D.
Khosroshahi, Najmeh University of Victoria (Canada) M.A.Sc.
Huang, Xiaobo Ph.D.
Choi, Byonghyok University of Florida Ph.D.
Fanfan, He Ph.D.
Huang, Pingli Ph.D.
Foley, Matthew University of New Hampshire M.S.E.E.Niu, Xiaofeng Illinois Institute of Technology Ph.D.
Hwang, Euiseok Carnegie Mellon University Ph.D.
Williams, Saunya Michelle Georgia Institute of Technology Ph.D.
Wong, Fai Leung Ph.D.
University of California, Los Angeles
The Chinese University of Hong Kong (Hong Kong)
The Chinese University of Hong Kong (Hong Kong)
Ecole Polytechnique, Montreal (Canada)University of Illinois at Urbana-Champaign
The Chinese University of Hong Kong (Hong Kong)
Remany, Raji M.S.
Nepal, Anupam Shital Lamar University - Beaumont M.S.Chen, Yilun University of Michigan Ph.D.
Dikbas, Salih Georgia Institute of Technology Ph.D.
Whang, Roderick Jaehoon Oregon State University Ph.D.
Yang, Cheng-Chieh University of Toronto (Canada) M.A.Sc.
Liu, Yuzhe University of Notre Dame Ph.D.Zhang, Zheshen Georgia Institute of Technology Ph.D.
Barth, Adam Theodore University of Virginia Ph.D.
Liu, Gui Illinois Institute of Technology Ph.D.
Hu, Kangmin Oregon State University Ph.D.
Oh, Dongkeun Thomas Ph.D.
Ma, Wei-Hsiang University of Michigan Ph.D.
Kallempudi, Sreenivasarao Lamar University - Beaumont M.S.
Zanbaghi, Ramin Oregon State University Ph.D.
Lahlou, Mouncef Florida International University Ph.D.
Wu, Tai-Hsuan Ph.D.
Mastronarde, Nicholas Howe Ph.D.
LeBlanc, Daniel Universite de Moncton (Canada) M.Sc.A.
Sezer, Osman Gokhan Georgia Institute of Technology Ph.D.
Ndili, Obianuju Santa Clara University Ph.D.
Frank, Nicolas Walter Texas A&M University Ph.D.
Du, Jiangbing Ph.D.
Chang, Yu-Bing Texas A&M University Ph.D.
Zhang, Meng University of Michigan Ph.D.
Hu, Jie North Carolina State University Ph.D.Sierawski, Brian Vanderbilt University Ph.D.Tiwari, Badri Nath University of Notre Dame Ph.D.
Margolis, Anna University of Washington Ph.D.
Liu, Xin Illinois Institute of Technology Ph.D.
Kao, Jerry C. University of Michigan Ph.D.
Ruthala, Prashanth Texas A&M University - Kingsville M.S.
Seth, Sohan University of Florida Ph.D.
Sundararajan, Kalaivani Clemson University M.S.
University of Massachusetts Lowell
The University of Wisconsin - Madison
The University of Wisconsin - MadisonUniversity of California, Los Angeles
The Chinese University of Hong Kong (Hong Kong)
Eun, Dong Seog Yale University Ph.D.
Kim, Se Hun Georgia Institute of Technology Ph.D.
Alshawawreh, Jumana Ali Western Michigan University Ph.D.
Skataric, Maja M.S.
Ardrey, David B. Rensselaer Polytechnic Institute Ph.D.
Shao, Baiming Illinois Institute of Technology Ph.D.
Xu, Xiaolan University of Washington Ph.D.
Modjtahedi, Siamak Ph.D.
Rahman, Raina University of Kansas M.S.
Su, Pin-En Ph.D.
Chen, Kai Florida International University Ph.D.
Zhou, Xiangwei Georgia Institute of Technology Ph.D.Wu, Weiwei University of Houston Ph.D.
Aljamaan, Ibrahim University of Calgary (Canada) M.Sc.
Rives, Eric Ph.D.
Belilovsky, Eugene M.E.
Ashford, Graeme University of Toronto (Canada) M.A.Sc.
Grubic, Stefan Georgia Institute of Technology Ph.D.
Tian, Wei Illinois Institute of Technology Ph.D.
Carr, Joseph University of Arkansas Ph.D.
Ybarra, Jaime Anthony M.S.
Annapureddy, Venkata Ph.D.
Stefan, Deian M.E.
Masilamani, Ashok Prabhu University of Alberta (Canada) Ph.D.Alban, Eduardo Xavier Oregon State University Ph.D.
Abhyankar, Shrirang Illinois Institute of Technology Ph.D.
Rossi, Angelo Ph.D.
Bhattacharyya, Kaushik Ph.D.
He, Xiang Boston University Ph.D.
Wright, Kwame-Lante M.E.
Restrepo, Jose McGill University (Canada) Ph.D.
Shalev Housfater, Alon University of Toronto (Canada) Ph.D.
Huh, Suzanne Lynn Georgia Institute of Technology Ph.D.
Rutgers The State University of New Jersey - New Brunswick
University of California, Los Angeles
University of California, Los Angeles
The University of Alabama in HuntsvilleThe Cooper Union for the Advancement of Science and Art
California State University, Long BeachUniversity of Illinois at Urbana-ChampaignThe Cooper Union for the Advancement of Science and Art
Ecole Polytechnique, Montreal (Canada)Indian Institute of Technology, Kharagpur (India)
The Cooper Union for the Advancement of Science and Art
Li, Ruonan Ph.D.
Sharp, Matthew Daniel Cornell University Ph.D.
Gao, Yong University of Arkansas M.S.E.E.
Sagar, Nishant M.S.
Montealegre Lobo, Leonardo M.Sc.A.
Ma, Xiuquan University of Michigan Ph.D.
Minin, Serge Ph.D.
Azucena, Oscar A. Ph.D.
Zhao, Manqi Boston University Ph.D.
Dinc, Huseyin Georgia Institute of Technology Ph.D.
Carey, David R. Clarkson University Ph.D.
Chikoti, Bala Ketan Texas A&M University - Kingsville M.S.
El-Gabaly, Ahmed Maher Queen's University (Canada) Ph.D.
Labbe, Paul Ph.D.
Wang Limketkai, Victoria Lulu Ph.D.
Tavassolian, Negar Georgia Institute of Technology Ph.D.
Jiang, Pei-Chi Ph.D.
Yu, Zhuizhuan Texas A&M University Ph.D.
Lam, Kenneth M.E.
Wunnava, Sashi Prabha University of North Texas M.S.
Chung, Jinwook W. Ph.D.
Kar, Sanjeeb Kumar Ph.D.
Venkatraman, Sundeep University of Notre Dame Ph.D.
Brevdo, Eugene Princeton University Ph.D.
Zhang, Yubin Ph.D.
Kupferstein, Jonathan S. M.E.
Chae, Jeong Seok Oregon State University Ph.D.Kakumanu, Sandeep Georgia Institute of Technology Ph.D.Sorgenfrei, Sebastian Columbia University Ph.D.
Mukkavilli, Satya Pavani Divya Texas A&M University - Kingsville M.S.
Dobriyal, Mayank Texas A&M University - Kingsville M.S.
Song, Na The Johns Hopkins University Ph.D.
Chen, Siqi Rensselaer Polytechnic Institute Ph.D.
University of Maryland, College Park
Rutgers The State University of New Jersey - New BrunswickEcole Polytechnique, Montreal (Canada)
University of Illinois at Urbana-ChampaignUniversity of California, Santa Cruz
Ecole Polytechnique, Montreal (Canada)University of California, Los Angeles
University of California, Los Angeles
The Cooper Union for the Advancement of Science and Art
Massachusetts Institute of TechnologyIndian Institute of Technology, Kharagpur (India)
The Chinese University of Hong Kong (Hong Kong)The Cooper Union for the Advancement of Science and Art
Le, Johnny University of North Texas M.S.Rousson, Alain University of Toronto (Canada) M.A.Sc.
Kpodjedo, Hinnoutondji Ph.D.
Yang, Lei University of Florida Ph.D.
Cao, Houwei Ph.D.
Yamamoto, Hideaki M.S.E.
Saeed, Usman Georgia Institute of Technology Ph.D.
Xing, Lei Rensselaer Polytechnic Institute Ph.D.
Nick, Morteza University of Michigan Ph.D.
Sherlock, Tim University of Houston Ph.D.Zhang, Rui Auburn University Ph.D.Liao, Yu-Te University of Washington Ph.D.
Cooley, John Jacob Ph.D.
Li, Kanlun Lehigh University M.S.
Morris, Darius T., Jr. Rice University M.S.
Sun, Zhen Gang Ph.D.
Sheikh, Omar M. Carleton University (Canada) Ph.D.
Crouse, David Frederic University of Connecticut Ph.D.Inti, Rajesh Oregon State University Ph.D.
Vulovic, Boris Ph.D.
Kim, Ickchan Texas A&M University Ph.D.
Giar, Ryan Ph.D.
Wang, Minshen University of Houston Ph.D.
Zhang, Zhijuan University of Houston Ph.D.
Kalgaonkar, Kaustubh Georgia Institute of Technology Ph.D.Musheinesh, Malakeh University of Michigan Ph.D.Afzal, Muhammad Haris University of Calgary (Canada) Ph.D.
Toma, Takahiro Lehigh University M.S.
Tompkins, Frank Brad Harvard University Ph.D.
Zaman, Tauhid R. Ph.D.
Kurt, Arda The Ohio State University Ph.D.
Sun, Zhi Georgia Institute of Technology Ph.D.
Thitsa, Makhin Old Dominion University Ph.D.
Hashmi, Atif G. Ph.D.
Abdo, Yaser S. E. Ph.D.
Ecole Polytechnique, Montreal (Canada)
The Chinese University of Hong Kong (Hong Kong)The University of Alabama in Huntsville
Massachusetts Institute of Technology
Hong Kong Polytechnic University (Hong Kong)
University of California, Los Angeles
The University of Wisconsin - Madison
Massachusetts Institute of Technology
The University of Wisconsin - MadisonRoyal Military College of Canada (Canada)
Karmakar, Supriya University of Connecticut Ph.D.
Garner, Glenwood North Carolina State University Ph.D.
Zhang, Shuo University of Connecticut Ph.D.
Banerjee, Koushik University of Illinois at Chicago Ph.D.
Rosario, Kevin Inguillo M.S.
Nguyen, Khoa Minh Ph.D.
Seok, Mingoo University of Michigan Ph.D.
Sheng, Bin Ph.D.
Cheng, Enya Santa Clara University Ph.D.Weaver, Bryan A. Drexel University Ph.D.Cho, Sungmee Texas A&M University Ph.D.
Ng, Man Cheuk Ph.D.
Lai, Caroline P. Columbia University Ph.D.
Rujanakraikarn, Roengrut Ph.D.
Gu, Jing Harvard University Ph.D.
Lee, Gyemin University of Michigan Ph.D.Shackleford, James Anthony Drexel University Ph.D.Jiang, Wei Auburn University Ph.D.Zarrin, Sepideh University of Toronto (Canada) Ph.D.
Ren, Fei Ph.D.
Shum, Warren University of Toronto (Canada) M.A.Sc.Liang, Zhigang North Carolina State University Ph.D.
Muralidhar, Anand Ph.D.
Cui, Dehu Texas A&M University Ph.D.
Gutshall, Caleb University of Arkansas M.S.E.E.
Biberman, Aleksandr Columbia University Ph.D.
Nwaekwe, Chinwe M. M.A.Sc.
Wolpert, David Solomon University of Rochester Ph.D.Kumar, Rohit Boston University Ph.D.
Chow, Albert C. Ph.D.
Blachman, Joshua M.E.
Ahmadi, Peyman University of Calgary (Canada) M.Sc.
Ayazi, Hossein Ali Ph.D.
Kim, Eung Jung Georgia Institute of Technology Ph.D.
Radhakrishnan, Chandrasekhar The Pennsylvania State University Ph.D.Halupka, David University of Toronto (Canada) Ph.D.
University of Massachusetts LowellMassachusetts Institute of Technology
The Chinese University of Hong Kong (Hong Kong)
Massachusetts Institute of Technology
The University of Wisconsin - Madison
Missouri University of Science and Technology
University of Illinois at Urbana-Champaign
University of Ontario Institute of Technology (Canada)
Massachusetts Institute of TechnologyThe Cooper Union for the Advancement of Science and Art
University of California, Los Angeles
Sadooghi-Alvandi, Maryam University of Toronto (Canada) M.A.Sc.
Wang, Annie I. Ph.D.
Hu, Xiaolong Ph.D.
Pang, Chengzong Texas A&M University Ph.D.
Ng, Wai Man Ph.D.
Dehghanian, Vahid University of Calgary (Canada) Ph.D.Dai, Jianwei University of Connecticut Ph.D.You, Di The Ohio State University Ph.D.
Sun, Hongzhi University of Florida Ph.D.
Rodenchuk, Christopher Young McGill University (Canada) M.Eng.
Dimplu, Darshan Anandappa M.S.
Harron, Wilson University of Guelph (Canada) M.A.Sc.
Engelbrecht, Linda Oregon State University Ph.D.
Cui, Song Cleveland State University D.E.
Brown, Thomas Oregon State University Ph.D.
Gondesi, Raveendhar Reddy Texas A&M University - Kingsville M.S.
Ahmed, Hassan Aamer Queen's University (Canada) Ph.D.
Wang, Kai Harvard University Ph.D.
Elkarami, Bashier University of Windsor (Canada) M.A.Sc.
Zhao, Yue Ph.D.
Yao, Jingming University of Connecticut Ph.D.
Peng, Han Rensselaer Polytechnic Institute Ph.D.Tera, Naveen R. University of Nevada, Reno M.S.
Ouyang, Wanli Ph.D.
Zhang, Ze University of Notre Dame Ph.D.
Sortomme, Eric University of Washington Ph.D.
Wang, Di Florida Atlantic University Ph.D.
Barrett, Sebastien J.G.G. M.A.Sc.
Zahedi, Sadaf Ph.D.
Shah, Anish Narendra Ph.D.
Luo, Xi M.S.
Reinke, John R. Carnegie Mellon University Ph.D.Tantawy, Ashraf M. Vanderbilt University Ph.D.
Massachusetts Institute of TechnologyMassachusetts Institute of Technology
The Chinese University of Hong Kong (Hong Kong)
California State University, Long Beach
University of California, Los Angeles
The Chinese University of Hong Kong (Hong Kong)
Royal Military College of Canada (Canada)University of California, Los AngelesUniversity of California, Los AngelesCalifornia State University, Long Beach
Wang, Grace I. Ph.D.
Ma, Nan Boston University Ph.D.Leelathakul, Nutthanon Carnegie Mellon University Ph.D.
Abichandani, Pramod Drexel University Ph.D.
Atabaki, Amir H. Georgia Institute of Technology Ph.D.Sreenath, Koushil University of Michigan Ph.D.Shi, Jianlei University of Washington Ph.D.
Motamedi, Ali Reza Ph.D.
Jakubczak, Szymon Kazimierz Ph.D.
Tenenbaum, Adam . University of Toronto (Canada) Ph.D.
Song, Xiaomin Texas A&M University Ph.D.Sells, Jeremy University of Florida Ph.D.McCallum, Grant A. Case Western Reserve University Ph.D.
Chu, Yue Jack Ph.D.
Ahmed, Taneem University of Toronto (Canada) M.A.Sc.
Sadiq, Sadiq Jafar University of Toronto (Canada) M.A.Sc.
Kopa, Anthony Cornell University Ph.D.
Belkacemi, Rabie West Virginia University Ph.D.
Dong, Huihang University of Louisville Ph.D.
Murawski, Robert The Ohio State University Ph.D.Ke, Lei Iowa State University Ph.D.Nalam, Satyanand University of Virginia Ph.D.Yin, Wenjing Oregon State University Ph.D.
Rashti, Mohammad Javad Queen's University (Canada) Ph.D.
Narayanaswamy, Balakrishnan Carnegie Mellon University Ph.D.
Zhang, Yong University of Toronto (Canada) Ph.D.
Lee, Leung Kway University of Michigan Ph.D.Yen, Sheng-Feng (Steve) University of Florida Ph.D.Zhou, Qiugui University of Virginia Ph.D.Lingamneni, Avinash Rice University M.S.
Subramanian, Arunkumar Georgia Institute of Technology Ph.D.
Rini, Stefano University of Illinois at Chicago Ph.D.
Park, Chulwoo University of Connecticut Ph.D.
Askari, Murtaza Georgia Institute of Technology Ph.D.
Chang, Long University of Houston Ph.D.
Massachusetts Institute of Technology
Massachusetts Institute of TechnologyMassachusetts Institute of Technology
Massachusetts Institute of Technology
Khaled, Haithem M.Eng.
Wang, Zhuo Ph.D.
Luo, Lan Auburn University Ph.D.
Huh, Won Seok University of Michigan Ph.D.
Yang, Can Ph.D.
Soysa, Madushanka University of Alberta (Canada) M.Sc.Prajapati, Parthivkumar Bharatbhai Lamar University - Beaumont M.S.
Zhang, Jian Ph.D.
Wen, Xuejin The Ohio State University Ph.D.
Eun, Christine K. University of Michigan Ph.D.Martin, Labrecque University of Toronto (Canada) Ph.D.
Kose, Ayse Rezzan Yale University Ph.D.
Singh, Prashant University of Michigan Ph.D.
Liu, Xuan The Johns Hopkins University Ph.D.
Chen, Xi Texas A&M University Ph.D.
Tredeau, Frank P. Ph.D.
Wang, Yi University of Michigan Ph.D.
Achigui Jeazet, Hugues M.Sc.A.
Bateman, Alec J. University of Virginia Ph.D.
Sekar, Vikram Texas A&M University Ph.D.
Nekahi, Azam Ph.D.
Han, Lin Princeton University Ph.D.
Nicolescu, Elena North Carolina State University Ph.D.
Zeng, Peng Illinois Institute of Technology Ph.D.
Saragadam, Ganesh Texas A&M University - Kingsville M.S.
Meduri, Praveen Koushik Old Dominion University Ph.D.
Mortazy, Ebrahim Ph.D.
Zhang, Kan Ph.D.
Balamash, Salem Abdullah Florida Institute of Technology Ph.D.
Vitaz, Jacquelyn A. University of Michigan Ph.D.
Al-Joumayly, Mudar Ph.D.
Touti, Bachir M.Ing.
Maina, Ciira wa Drexel University Ph.D.
Ecole de Technologie Superieure (Canada)University of Illinois at Urbana-Champaign
Hong Kong University of Science and Technology (Hong Kong)
Hong Kong University of Science and Technology (Hong Kong)
University of Massachusetts Lowell
Universite du Quebec a Trois-Rivieres (Canada)
Universite du Quebec a Chicoutimi (Canada)
Ecole Polytechnique, Montreal (Canada)The University of Wisconsin - Madison
The University of Wisconsin - MadisonEcole de Technologie Superieure (Canada)
Babadi, Behtash Harvard University Ph.D.
Yao, Chunhua Ph.D.
Gurkaynak, Yusuf Illinois Institute of Technology Ph.D.
Salmani, Zeeshan University of North Texas M.S.
Henault, Simon Ph.D.
Pulla, Venkata Siva Prasad Cornell University Ph.D.
Zhao, Shen Cleveland State University D.Eng.
Mamai, Charikleia University of South Carolina M.S.
Zhao, Mingbo Cornell University Ph.D.
Chen, Caiyi Arizona State University M.S.
Feng, Yue Old Dominion University Ph.D.
Rahman, Md. Saifur Cornell University Ph.D.
Fauss, Emma K. University of Virginia Ph.D.
Sebastian, Anish Idaho State University Ph.D.
Wang, Bin Cornell University Ph.D.
Topp, Matthew Arizona State University M.S.
Kittel-Ouimet, Thierry M.Eng.
Kheirkhahi, Erfan Northeastern University M.S.
Hsu, Yu-Jen Columbia University Ph.D.
Hariharan, Sruthi Arizona State University M.S.
Johnston, Matthew Leigh Columbia University Ph.D.
Gangopadhyay, Daibashish University of Washington Ph.D.
Ganesan, Srisivapriya Arizona State University M.S.Ning, Hao Arizona State University M.S.Goshi, Sudheer Portland State University M.S.
Fang, Zhao The Pennsylvania State University Ph.D.
Fan, Shu-Hao Georgia Institute of Technology Ph.D.
Nguyen, Cuong Phuc Illinois Institute of Technology Ph.D.
French, Lester A., Jr. The University of Maine Ph.D.
Tompkins, R. Cortland University of Dayton Ph.D.
Chun, Ik Su Ph.D.
Tanguay, Louis-Francois Ph.D.
Djerafi, Tarek Ph.D.
The University of Wisconsin - Madison
Royal Military College of Canada (Canada)
Ecole de Technologie Superieure (Canada)
University of Illinois at Urbana-ChampaignEcole Polytechnique, Montreal (Canada)Ecole Polytechnique, Montreal (Canada)
Schizas, Ioannis D. University of Minnesota Ph.D.
Rogers, Katherine Margaret Ph.D.
Levesque, Philippe Ph.D.
Rao, Chinmay The Pennsylvania State University Ph.D.
Detwiler, Thomas Frederick Georgia Institute of Technology Ph.D.
Doll, Travis Michael Drexel University Ph.D.
Karpelson, Michael Harvard University Ph.D.Johnson, Angelique Candace University of Michigan Ph.D.
Yang, Shih-Chin Ph.D.
El Miligi, Haytham University of Victoria (Canada) Ph.D.
Ling, Jun University of Florida Ph.D.
Tang, Rui Arizona State University M.S.Liang, Hanshuang Arizona State University M.S.
Pickering, Shawn L. The Pennsylvania State University Ph.D.
Hassan, Waleed J. Michigan State University M.S.Jiang, Shan Florida International University Ph.D.
Hwang, Seunghyun Eddy Georgia Institute of Technology Ph.D.
El Harouni, Ahmed The Johns Hopkins University Ph.D.
Asif, Fayez M.A.Sc.
Powell, Harry Courtney, Jr. University of Virginia Ph.D.
Maricic, Danijel University of Rochester Ph.D.
Hartley, Timothy D. R. The Ohio State University Ph.D.
Stuenkel, Mark Eric Ph.D.
Peng, Chung-Ching University of Florida Ph.D.
Laotaveerungrueng, Noppasit Case Western Reserve University Ph.D.
Tran, Con D.Eng.
Karadayi, Kerem University of Washington Ph.D.
Shyamkumar, Prashanth University of Arkansas M.S.
Matthews, Kristopher Dan Cornell University Ph.D.
Bandyopadhyay, Tapobrata Georgia Institute of Technology Ph.D.
Kaushal, Vikas K. Ph.D.
Chou, Tzu-Han Ph.D.
Liu, Jinru Arizona State University M.S.
Wang, Mingdong Ph.D.
University of Illinois at Urbana-ChampaignEcole Polytechnique, Montreal (Canada)
The University of Wisconsin - Madison
University of Ontario Institute of Technology (Canada)
University of Illinois at Urbana-Champaign
Ecole de Technologie Superieure (Canada)
University of Massachusetts LowellThe University of Wisconsin - Madison
The Chinese University of Hong Kong (Hong Kong)
Eskandari Halvaii, Ali Texas A&M University Ph.D.
Yang, Yong Drexel University Ph.D.
Lai, Ki-Kit Ph.D.
Yang, Xi The Ohio State University Ph.D.
Rock, Benjamin Y. Ph.D.
Liu, Ming-Wei The Pennsylvania State University Ph.D.
Sarfaraz, Maysam M.S.E.
Hegde, Samprita M.S.
Francis, Sarah Ashley Vanderbilt University Ph.D.
Chaurey, Vasudha University of Virginia Ph.D.
Bennett, David Bart Ph.D.
Wang, Ning-Yi Ph.D.
Pinagapany, Srinivas M.S.
Al-Hosani, Khalifa Hasan The Ohio State University Ph.D.Macione, James Joseph University of Connecticut Ph.D.
Rahim, Nilufa Ph.D.
Akula, Naga Venkata Swathik University of North Texas M.S.
Palma, John Francis Ph.D.
Liaw, Arthur M.E.
Raines, Bryan Dennis The Ohio State University Ph.D.
Basham, James Ian The Pennsylvania State University Ph.D.
Ramprakhyath Reddy, Kadaru Texas A&M University - Kingsville M.S.
Poirier, Christopher C. The Johns Hopkins University Ph.D.
Kim, Jungsuk Ph.D.
Sinha, Arpita Portland State University M.S.
Chung, David Georgia Institute of Technology Ph.D.
Huang, Rongsheng University of Florida Ph.D.
Bakshi, Hrishikesh M.S.
Ge, Xiaoqing Rensselaer Polytechnic Institute Ph.D.Zhou, Jing Clemson University M.S.
Tamersi, Amarnath M.S.
Bonet, Guillermo Gallego Georgia Institute of Technology Ph.D.
Hong Kong Polytechnic University (Hong Kong)
The University of Wisconsin - Madison
The University of Tennessee at ChattanoogaRutgers The State University of New Jersey - New Brunswick
University of California, Los AngelesUniversity of California, Los AngelesRutgers The State University of New Jersey - New Brunswick
New Jersey Institute of Technology
University of Massachusetts LowellThe Cooper Union for the Advancement of Science and Art
University of California, Santa Cruz
Rutgers The State University of New Jersey - New Brunswick
Tennessee Technological University
Yang, Yi Georgia Institute of Technology Ph.D.
Shouse, Kirke Western Carolina University M.S.
Wang, Yang Ph.D.
Bouharras, Mohamed M.Ing.
Barazarte Conte, Ronald Yoel Texas A&M University Ph.D.
Venkatesan, Bala Murali Krishna Ph.D.
Basu, Saurav University of Virginia Ph.D.
Kakodkar, Yogesh M.S.
Vyakaranam, Bharat GNVSR Cleveland State University D.E.
Herzog, Joseph B. University of Notre Dame Ph.D.
Zhou, Haolang The Johns Hopkins University Ph.D.
Alshannaq, Shadi Sami The Ohio State University Ph.D.
Park, Jonghoo Ph.D.
Wu, Rongxiang Ph.D.
Nguyen, Kien Chi Ph.D.
Ganguly, Sanjib Ph.D.
Guo, Jianping Ph.D.
Hong, Se Gi Columbia University Ph.D.
Trowler, Derik Wesley University of Arkansas M.S.E.E.
Hall, James Stroman Georgia Institute of Technology Ph.D.
Dias, Neville Lourenco Antonio Ph.D.
Varanese, Nicola Ph.D.
Liu, Yi-Chun Ph.D.
Bills, Braden South Dakota State University M.S.E.E.
Suh, Sangwook Georgia Institute of Technology Ph.D.
Majid, Ashraf M. Georgia Institute of Technology Ph.D.
Al-Amody, Fuad H. University of Connecticut Ph.D.
Kim, Joo Seuk University of Michigan Ph.D.
Hossain, Ashraf Ph.D.
Bagarty, Durgesh Prasad Ph.D.
Rahimian, Mina Mashhadi Texas A&M University Ph.D.
The University of Wisconsin - MadisonEcole de Technologie Superieure (Canada)
University of Illinois at Urbana-Champaign
Rutgers The State University of New Jersey - New Brunswick
The University of Wisconsin - MadisonHong Kong University of Science and Technology (Hong Kong)University of Illinois at Urbana-ChampaignIndian Institute of Technology, Kharagpur (India)The Chinese University of Hong Kong (Hong Kong)
University of Illinois at Urbana-ChampaignNew Jersey Institute of TechnologyUniversity of California, Los Angeles
Indian Institute of Technology, Kharagpur (India)Indian Institute of Technology, Kharagpur (India)
Gudkov, Dmytro M.S.
Hutsel, Michael R. Georgia Institute of Technology Ph.D.
Kumar, Malay University of Michigan Ph.D.
Frankford, Mark T. The Ohio State University Ph.D.Bresch, Erik University of Southern California Ph.D.Barsi, Christopher Princeton University Ph.D.
Zheng, Haipeng Princeton University Ph.D.
Ding, Ruihua University of Washington Ph.D.
Haque, Razi-ul Muhammad University of Michigan Ph.D.
Poudel, Aashish Michigan Technological University M.S.
Douglas, Erica Ann University of Florida Ph.D.
Bikorimana, Jean Marie Vianney University of Arkansas M.S.E.E.
Acolatse, Kodzovi Ph.D.
Gong, Fei The Ohio State University Ph.D.
Xu, Jing Ph.D.
Samarao, Ashwin K. Georgia Institute of Technology Ph.D.
Jalloul, Amer M.A. University of Idaho Ph.D.
Kanakamedala, Senaka Krishna Louisiana Tech University Ph.D.
Chinnaswamy, Krithikha University of New Hampshire M.S.
Thengum Pallil, George A. Florida Atlantic University M.S.
Qian, Zhengfeng Ph.D.
Vaidya, Vaibhav University of Washington Ph.D.
Bhutada, Aditya Portland State University M.S.
Kremo, Haris Ph.D.
Deoras, Anoop The Johns Hopkins University Ph.D.
Xiong, Yuan The Johns Hopkins University Ph.D.
Zhang, Kang The Johns Hopkins University Ph.D.
Vernaza, Paul N. University of Pennsylvania Ph.D.
Stava, Eric Ph.D.
Gopala, Prashanth Kumar M.S.
Palaniappan, Ramanathan Georgia Institute of Technology Ph.D.
Elsayed, Mohamed Mostafa Texas A&M University Ph.D.
State University of New York at Stony Brook
New Jersey Institute of Technology
The Chinese University of Hong Kong (Hong Kong)
The Chinese University of Hong Kong (Hong Kong)
Rutgers The State University of New Jersey - New Brunswick
The University of Wisconsin - MadisonRutgers The State University of New Jersey - New Brunswick
Shi, Weiwei Ph.D.
Kim, Yongchul North Carolina State University Ph.D.
Vats, Divyanshu Carnegie Mellon University Ph.D.
Ataei Naeini, Mehdi University of Calgary (Canada) M.Sc.
Laflamme-Mayer, Nicolas M.Sc.A.
Hoffman, Galen Brandt The Ohio State University Ph.D.
Friedman, Diana C.W. University of Washington Ph.D.
Suster, Michael August Case Western Reserve University Ph.D.Salfi, Joseph R. University of Toronto (Canada) Ph.D.Cao, Ke University of Toronto (Canada) M.A.Sc.
Chokshi, Trushal Vijaykumar University of Michigan Ph.D.
Lin, Kevin L. Ph.D.
Kwak, Byung-il North Carolina State University Ph.D.
Prasad, Pratap Simha Auburn University Ph.D.Long, Stephen Andrew Texas A&M University Ph.D.
Ren, Jinfeng Texas A&M University Ph.D.
Nimmakayala, Sudhir Reddy Texas A&M University - Kingsville M.S.
Gul Muhammad, Ishaq University of Windsor (Canada) Ph.D.
Vu, Thang Texas A&M University Ph.D.
Sisupalan Lathikumari, Sreejith M.S.
Srinivasa, Sunil University of Notre Dame Ph.D.
Wedge, Nathan Alexander Case Western Reserve University Ph.D.Roan, Philip R. University of Washington Ph.D.
Holleran, Susan M.S.E.
Jenkins, Christopher William University of Colorado at Denver M.S.
Zhou, Yi University of North Texas M.S.
Zhou, Xiaohu North Carolina State University Ph.D.
Wang, Dexiang University of Florida Ph.D.
Raible, Daniel Edward Cleveland State University D.Eng.
Zhang, Zhongxiang Ph.D.
Puryear, Andrew Lee Ph.D.
Carrero, Christopher Oklahoma State University M.S.
Lu, Tzu-Ming Princeton University Ph.D.
The Chinese University of Hong Kong (Hong Kong)
Ecole Polytechnique, Montreal (Canada)
University of Illinois at Urbana-Champaign
The University of Texas at Arlington
The University of Alabama in Huntsville
The Chinese University of Hong Kong (Hong Kong)Massachusetts Institute of Technology
El-Hawwary, Mohamed Ibrahim University of Toronto (Canada) Ph.D.
Liu, Xin Drexel University Ph.D.
McIntosh-Dorsey, Dion Charlene University of Virginia Ph.D.
Lee, Chris University of Arkansas M.S.E.E.
Purelli, Sreekanth Reddy Texas A&M University - Kingsville M.S.
Gadre, Manasi Mississippi State University M.S.
Jiang, Chen University of Alberta (Canada) M.Sc.
Kook, Se Hun Georgia Institute of Technology Ph.D.
Hanks, Jonathan Braun M.Sc.
Chu, Min University of Florida Ph.D.
Yang, Jeffrey Ming-Jer University of Southern California Ph.D.
Chen, Wendi Drexel University Ph.D.
Cheung, Chun Ph.D.
Silan, Jeremy Lucas Santa Clara University Ph.D.
Yuan, Li Ph.D.
Ruege, Alexander Charles The Ohio State University Ph.D.
Huang, Fangping Case Western Reserve University Ph.D.
Ru, Yu Ph.D.
Gestner, Brian J. Georgia Institute of Technology Ph.D.
Mersenski, Richard Mack University of South Carolina M.S.
Jarupan, Boangoat The Ohio State University Ph.D.
Wang, Zehua M.Eng.
O'Connor, Alan C. Harvard University Ph.D.
Morgan, Ahmed Abdel Fattah Hassan University of Victoria (Canada) Ph.D.
Jeong, Seongwook University of Minnesota Ph.D.
Chen, Yan Ph.D.
Gopi, Deepak M.S.
Beaulieu, Aaron J. University of Calgary (Canada) M.Sc.
Speer, Kevin M. Case Western Reserve University Ph.D.
Zadehgol, Ata Ph.D.
Sung, Cheng-Han Ph.D.
Xu, Jian Purdue University M.S.
The University of Alabama in Huntsville
Hong Kong University of Science and Technology (Hong Kong)
Hong Kong University of Science and Technology (Hong Kong)
University of Illinois at Urbana-Champaign
Memorial University of Newfoundland (Canada)
The Chinese University of Hong Kong (Hong Kong)Rutgers The State University of New Jersey - New Brunswick
University of Illinois at Urbana-ChampaignThe University of Wisconsin - Madison
Waguespack, Randy Paul Louisiana Tech University Ph.D.
Song, Keum Su The Ohio State University Ph.D.
T.V., Narayanan Georgia Institute of Technology Ph.D.
kim, GyuTae Old Dominion University Ph.D.
Sagari, Shweta M.S.
de Freige, Makram McGill University (Canada) M.Eng.
He, Zhenan Oklahoma State University M.S.
Khan, Faisal Nadeem Ph.D.
Lee, Jaesung Cornell University Ph.D.
Klida, Michael M. Boston University Ph.D.
Hewlett, Joel David Auburn University Ph.D.
Tremblay, David M.Sc.A.
Lin, Bo Lehigh University M.S.Chalivendra, Gayathri Arizona State University M.S.
Khazron, Pavel Ph.D.
Singhal, Nitesh Ph.D.
Liu, Zheng Case Western Reserve University Ph.D.
Dokania, Rajeev Kumar Cornell University Ph.D.
Paranjape, Pooja Suhas Iowa State University M.S.
Tulpule, Pinak The Ohio State University Ph.D.
Leung, Clement University of Toronto (Canada) M.A.Sc.
University of Calgary (Canada) Ph.D.
Oh, Seong Won Texas A&M University Ph.D.
Liu, Juhua Carnegie Mellon University Ph.D.
Xia, Daxue Ph.D.
Liao, Huaiwei Carnegie Mellon University Ph.D.
Kuchibhatla, Sridhar West Virginia University Ph.D.
Kranz, Michael S. Georgia Institute of Technology Ph.D.
Blood, Ellery A. Carnegie Mellon University Ph.D.
Roy, Abinash University of Illinois at Chicago Ph.D.Ozbek, Ayse Merve North Carolina State University Ph.D.
Mansjur, Dwi Sianto Georgia Institute of Technology Ph.D.
Maiya, Shashank V. University of Notre Dame Ph.D.
Rutgers The State University of New Jersey - New Brunswick
Hong Kong Polytechnic University (Hong Kong)
Universite du Quebec a Rimouski (Canada)
Polytechnic Institute of New York UniversityUniversity of California, Los Angeles
El-Deeb, Walid Saber Abdel Aleam Ibrahim
The Chinese University of Hong Kong (Hong Kong)
Mhaguen, Nisrine M.Sc.A.
Gupta, Lavesh Kumar M.S.
Lee, Dohyoung University of Toronto (Canada) M.A.Sc.
Zahedi-Ghasabeh, Arash Ph.D.
Park, Young Min University of Michigan Ph.D.Kamel, Ahmed Mohsen Mohamed University of Calgary (Canada) Ph.D.
Semenuk, Steven Alexander M.A.Sc.
Goyal, Nitin Arizona State University M.S.
Tsai, Hsin-Yu Sidney Ph.D.
Wysocki, Bryant T. Cornell University Ph.D.
Jang, Jinwook Ph.D.
Schwarzwalder, Joseph James George Mason University Ph.D.
Poshtkouhi, Shahab University of Toronto (Canada) M.A.Sc.
Zong, Xiangdong University of Toronto (Canada) M.A.Sc.
Morales, George Jason Florida Atlantic University Ph.D.
Ibrahim, Hamdy Abd-Elhameed Ibrahim University of Calgary (Canada) Ph.D.
Hong, Yang Ph.D.
Guo, Huaihai Ph.D.
Ferdousi, Fahmida The University of Texas at Austin Ph.D.
Smith, Benjamin P. University of Toronto (Canada) Ph.D.
Roy, Tania Vanderbilt University Ph.D.
Roberge, Vincent Remi M.A.Sc.
Lee, Jefferson University of Toronto (Canada) M.A.Sc.
Lopez Echevarria, Daniel Oregon State University Ph.D.
Zheng, Xiujuan Ph.D.
Gamage, Ganesh Doluweerawatta University of Calgary (Canada) Ph.D.
Chua, Eng Keong Carnegie Mellon University Ph.D.
Fong, Chi Keung Ph.D.
Ciaramello, Francis Michael Cornell University Ph.D.
Zheng, Jie Ph.D.
Armstrong, Sarah Elizabeth Vanderbilt University Ph.D.
Universite du Quebec a Chicoutimi (Canada)Rutgers The State University of New Jersey - New Brunswick
University of California, Los Angeles
Royal Military College of Canada (Canada)
Massachusetts Institute of Technology
University of Massachusetts Amherst
The Chinese University of Hong Kong (Hong Kong)New Jersey Institute of Technology
Royal Military College of Canada (Canada)
Hong Kong Polytechnic University (Hong Kong)
The Chinese University of Hong Kong (Hong Kong)
University of Illinois at Urbana-Champaign
Yu, Jay J. University of Hawai'I at Manoa M.S.
Pankratz, Erik Texas A&M University Ph.D.
Chandrasekaran, Venkat Ph.D.
Fang, Lu Ph.D.
Wardlaw, Jason Lee Texas A&M University Ph.D.
Lee, Shu-Ting Santa Clara University Ph.D.
Brown, Douglas W. Georgia Institute of Technology Ph.D.
Wang, Xiaolan The Johns Hopkins University Ph.D.
El Khatib, Sameh Tarek McGill University (Canada) Ph.D.
Cendejas, Richard Adrian Princeton University Ph.D.
Cai, Xing Hua McGill University (Canada) M.Eng.
Kim, Jihwan North Carolina State University Ph.D.
de Roucy de Flacourt, Maxime M.Sc.A.
Xu, Michelle Ye-Chen University of Toronto (Canada) Ph.D.
Tran, Thi Thuy Ha Ph.D.
Caldwell, Charmane Venda The Florida State University Ph.D.
Lu, Jianhua Ph.D.
Talei, Vahid M.Sc.A.
Fernandez, Robert Joseph West Virginia University M.S.Dasgupta, Aritra Vanderbilt University Ph.D.Abdul Ghani, Nahi University of Toronto (Canada) Ph.D.
Mcknight, Ken M.P.H.
Liu, Jingbo Rice University M.S.
Hinton, Ryan W. University of Virginia Ph.D.
Akkineni, Laila P. West Virginia University M.S.
Wen, Yue University of Toronto (Canada) M.A.Sc.
Onaissi, Sari University of Toronto (Canada) Ph.D.
Gascon-Samson, Julien M.Sc.A.
Prasanna, Shashank Arizona State University M.S.
Lawry, Tristan Rensselaer Polytechnic Institute Ph.D.
Yoo, Geonwook University of Michigan Ph.D.
Abdel-Fattah, Mahmoud Old Dominion University Ph.D.
Fan, Haifeng The Florida State University Ph.D.
Massachusetts Institute of TechnologyHong Kong University of Science and Technology (Hong Kong)
Ecole Polytechnique, Montreal (Canada)
Universite de Sherbrooke (Canada)
University of California, Los AngelesEcole Polytechnique, Montreal (Canada)
The George Washington University
Ecole Polytechnique, Montreal (Canada)
Serediuc, Corina Ioana Rice University M.S.
Dai, Rui Georgia Institute of Technology Ph.D.
Liu, Runyu M.S.
Bilgin, Berker Illinois Institute of Technology Ph.D.
Yang, Yi West Virginia University Ph.D.
Ip, Jessica Wai Yan McGill University (Canada) M.Eng.
Lajevardi, Payam Ph.D.
Kish, Gregory Joseph University of Toronto (Canada) M.A.Sc.
Goykhman, Yuriy M. University of Michigan Ph.D.
Fazlollahi, Niloofar Boston University Ph.D.
Kulkarni, Raghavendra Laxman Texas A&M University Ph.D.
Potscavage, WIlliam J., Jr. Georgia Institute of Technology Ph.D.Morgensen, Michael P. North Carolina State University Ph.D.
Olanrewaju, Ayokunle Oluwafemi University of Alberta (Canada) M.Sc.
Dai, Yongheng Ph.D.
Diamos, Gregory Frederick Georgia Institute of Technology Ph.D.
Hamza, Bashar M. West Virginia University M.S.
Muntimadugu, Kirthi Krishna Rice University M.S.
Liu, Te-Yu Ph.D.
Zhou, Han Ph.D.
Andersen, Martin Skovgaard Ph.D.
Wang, Hua Stevens Institute of Technology Ph.D.
Zhang, Xiaoxiao University of Hawai'I at Manoa Ph.D.
Chen, Kuanfu Ph.D.
Jain, Gaurav University of Toronto (Canada) M.A.Sc.Lee, Won Seok Rensselaer Polytechnic Institute Ph.D.George, Nishant J. University of Virginia Ph.D.
Li, Dong Ph.D.
Khayyat, Khalid M. Jamil University of Victoria (Canada) Ph.D.
Cross, Allen S. University of Rochester Ph.D.
Huang, Junbin The Pennsylvania State University Ph.D.Youssef, Menatoallah M. University of Dayton Ph.D.
Lerdsitsomboon, Wuttichai University of Florida Ph.D.
Dahlgren, Robert Paul Ph.D.
University of Massachusetts Lowell
Massachusetts Institute of Technology
The Chinese University of Hong Kong (Hong Kong)
The University of Wisconsin - MadisonThe University of Wisconsin - MadisonUniversity of California, Los Angeles
University of California, Santa Cruz
Hong Kong University of Science and Technology (Hong Kong)
University of California, Santa Cruz
Zhang, Yang University of Rochester Ph.D.
Deng, Yanhua Princeton University Ph.D.
Devaraj, Kiruthika Georgia Institute of Technology Ph.D.
Faiz, Mir Mohammad Abul Florida Institute of Technology Ph.D.
Kim, Hyunchul Ph.D.
Shafer, Scott A. M.S.
Hameed, Mazin Abdulrasool Michigan State University M.S.
Kalish, William Mississippi State University M.S.
Johnston, Jason Caleb M.S.E.E.
Morrow, Mulloy M.S.
Ma, Jieming University of Washington M.S.E.E.
Wang, Chao Princeton University Ph.D.
Hou, Guanji Arizona State University Ph.D.Arlagadda Narasimharaju, Jyothi Swaroop Arizona State University M.S.
Patel, Ankit K. M.S.
Hayes, Timothy C. University of South Carolina M.S.
Islam, Mohammed Moinul Old Dominion University Ph.D.
Rogers, Jennifer Northeastern University M.S.
Li, Haitao Michigan State University M.S.
Arbab, Mohammad Hassan University of Washington Ph.D.Zure, Tugrul University of Windsor (Canada) M.Sc.
Bo, Lin Arizona State University M.S.
Borota, Dusan M.S.
Zhuang, Jie Old Dominion University Ph.D.
Hu, Shuang Arizona State University M.S.
Wang, Yipeng M.S.
Darakhshan Rokhsari, Mirsadraddin University of Colorado at Denver M.S.Ramakrishna Rao, Nishita Arizona State University M.S.
Realov, Simeon Dimitrov Columbia University Ph.D.
Stelzer, Michael Allen Old Dominion University Ph.D.Esreraig, Mohamed Ali M. Mahmoud Michigan State University M.S.
Abbey, Hemanshu Arizona State University M.S.
Jang, In Sock Columbia University Ph.D.
University of California, Santa CruzThe George Washington University
The University of Tennessee at ChattanoogaUniversity of California, San Diego
California State University, Los Angeles
Rutgers The State University of New Jersey - New Brunswick
University of California, Santa Barbara
Gao, Kanke Ph.D.
Li, Lin Michigan State University M.S.
An, Bin M.S.
Chen, Dongzi Arizona State University M.S.
Krishnan, Ranganath Arizona State University M.S.
Wang, Qian University of Kansas M.S.
Tee, Zikai Arizona State University M.S.
Cameron, Trevor R. University of Calgary (Canada) M.Sc.Zazzera, Joshua Arizona State University M.S.
Liu, Shubo Arizona State University M.S.
Yang, Qi University of Arkansas M.S.E.E.Dong, Yan University of Minnesota Ph.D.Akinlabi-Oladimeji, Korede Michigan State University M.S.
Morrow, Clayton T. M.S.
Bayram, Sevinc Ph.D.
Lu, Enoch Ph.D.
Ahiakwo, Onyinyechukwu M.S.
Yu, Xinxin M.Engr.
Roychoudhury, Shoumik Temple University M.S.E.E.Tran, Sang Van University of California, Irvine Ph.D.
Barlow, Matthew University of Arkansas M.S.E.E.
Suarez, John Princeton University Ph.D.
Eichinger, George Fredric, III Northeastern University M.S.
Ma, Yan Arizona State University M.S.
van Hout, Julien M.S.
Mendizabal, Mario University of Windsor (Canada) M.A.Sc.
Anifowose, Olakunle Temple University M.S.E.E.
Yu, Shengchao Ph.D.
Mashal, Alireza Ph.D.
Hossain, Arif Arizona State University Ph.D.
Sharma, Suryabh Michigan Technological University M.S.
Yan, Ping Texas A&M University Ph.D.
Salloum, Ahmed Arizona State University M.S.
State University of New York at Buffalo
University of California, Santa Cruz
The University of Texas at San AntonioPolytechnic Institute of New York UniversityPolytechnic Institute of New York UniversityTennessee Technological UniversityUniversity of California, Los Angeles
University of California, Los Angeles
Rutgers The State University of New Jersey - New BrunswickThe University of Wisconsin - Madison
Lee, Sangkook Ph.D.
Das, Satyajit University of California, Irvine M.S.Siddiqui, Muhammad Yousuf San Jose State University M.S.Avci, Serhat Nazim University of California, Irvine M.S.
Chen, Cheng Arizona State University M.S.
Dikbas, Derya Oklahoma State University M.S.
Parada, Maria Carolina The Johns Hopkins University Ph.D.
Horimai, Daichi M.S.
Tyagi, Kanishka M.S.
Larsen, Cory A. Utah State University M.S.Erkilinc, Mustafa Sezer Rochester Institute of Technology M.S.Kamhoua, Charles Alexandre Kenmogne Florida International University Ph.D.Suh, Changho University of California, Berkeley Ph.D.Rajapaksa, Indrajith University of California, Irvine Ph.D.
Trillon, Adrien Ph.D.
Loganathan, Rajprasad University of Kansas M.S.Gui, Xiaoyan University of California, Irvine Ph.D.
Mahadevan, Rupa Arizona State University M.S.
Markulik, Matthew The University of Texas at El Paso M.S.
Kong, Ting University of California, Riverside Ph.D.
Hunt, Ashley N. M.S.
Wooters, Stuart Neale University of Virginia Ph.D.
Chang, Ka Fai Ph.D.
Camier, Thomas Romain M.Sc.A.
Fatemi, Hediyeh Oklahoma State University M.S.Silva Monroy, Cesar A. University of Washington Ph.D.
Kanajar, Pavan M.S.
Bou Sleiman, Sleiman The Ohio State University Ph.D.
Wang, Guoping University of California, Riverside Ph.D.
Vavilala, Sharath Chandra M.S.
Wagner, Andrew W. Ph.D.
Nguyen, Hien Minh Ph.D.
Muralidharan, Pradyumna Arizona State University M.S.
Chaudhari, Gunavant Dinkar Portland State University M.S.
State University of New York at Buffalo
State University of New York at Stony BrookThe University of Texas at Arlington
Ecole Polytechnique, Montreal (Canada)
The University of Alabama in Huntsville
The Chinese University of Hong Kong (Hong Kong)Universite de Sherbrooke (Canada)
The University of Texas at Arlington
Tennessee Technological UniversityUniversity of Illinois at Urbana-ChampaignUniversity of Illinois at Urbana-Champaign
Tapia-Ahumada, Karen de los Angeles Ph.D.
Liu, Peng Washington State University Ph.D.
Krishnan, Anantharaman The University of Arizona Ph.D.Yang, Tao Washington State University Ph.D.
Marshall, Gregory M. Ph.D.
Youzkatli El Khatib, Bassel M.Sc.A.
Babich, Timothy A. M.E.
Bendlin, Ralf Matthias University of Notre Dame Ph.D.
Mihret, Melaku M.S.
Nunna, Ajay Kumar Northern Illinois University M.S.
de Sisternes Garcia, Luis Illinois Institute of Technology Ph.D.
Kulkarni, Ameya M.S.
Mishra, Pankaj University of Southern California Ph.D.
Osaretin, Idahosa Andrew The Ohio State University Ph.D.
Piwowarski, Ryan Arizona State University M.S.
Dong, Lijun Ph.D.
Gowda, Vinay Ramachandra M.S.
Singhal, Rahul Portland State University M.S.
Zhu, Yan Northwestern University Ph.D.Siddalinga Swamy, Darshan Oklahoma State University M.S.
Shah, Digant M.S.
Phadke, Amey P. Oklahoma State University M.S.
Vemula, Sri Charan University of Houston Ph.D.
Fan, Zihong University of Southern California Ph.D.
Woods, Sara K. M.S.
Pokala, Nikita Northern Illinois University M.S.
Somers, William M.S.
Rontani, Damien Georgia Institute of Technology Ph.D.
Golatkar, Rohan B. M.S.
Mahanti, Prasun Arizona State University Ph.D.
Ashmore, John The University of Mississippi M.E.Sc.
Massachusetts Institute of Technology
Universite de Sherbrooke (Canada)Ecole Polytechnique, Montreal (Canada)University of Maryland, College Park
Tennessee Technological University
The University of Texas at Arlington
Rutgers The State University of New Jersey - New BrunswickThe University of Texas at Arlington
The University of Texas at Arlington
Tennessee Technological University
Rutgers The State University of New Jersey - New Brunswick
State University of New York at Binghamton
Karri, Jyothi University of Colorado at Boulder M.S.
Johnston, Daniel University of Idaho Ph.D.
Wani, Ashish Vijay Oklahoma State University M.S.
Goyal, Vivek Kumar University of California, Riverside Ph.D.
Subrina, Samia University of California, Riverside Ph.D.
Rahaman, Md Sajjad University of Illinois at Chicago Ph.D.
Trotter, Matthew S. Georgia Institute of Technology Ph.D.
Westafer, Ryan S. Georgia Institute of Technology Ph.D.
Naik, Manjish Arvind Arizona State University M.S.Sim, Gek Hong Multimedia University (Malaysia) M.Eng.Sc.Parashare, Chaitali Ravindra University of Virginia Ph.D.Kishk, Mohammad A. The University of Mississippi M.S.
Hudgins, Matthew Lamar University of California, Riverside Ph.D.
Keskinpala, Hande Kaymaz Vanderbilt University Ph.D.
Brown, Echo M.S.
Yu, Liangjiang Oklahoma State University M.S.
Hoang, Linh V. Ph.D.
Lapiccirella, Fabio Emilio University of California, Davis Ph.D.
Akond, Mohammad Shahed Ph.D.
Arellano, Jesus A. Utah State University M.E.
Klokotov, Dmitri Vyacheslavovich Ph.D.
Thirumalai, Arvind Arizona State University M.S.
Panchal, Jignesh S. Ph.D.
Chan, Ellen H. University of Toronto (Canada) M.A.Sc.
Zheng, Rui Arizona State University M.S.
Park, Ji Hoon Ph.D.
Wang, Chuan University of Southern California Ph.D.
Zhao, Jing The Ohio State University Ph.D.
Gunda Bhat, Pradeep The University of Mississippi M.S.
Sanghvi, Manan M.S.
Nakarmi, Ukash Oklahoma State University M.S.Manthena, Rajakumar Varma The University of Utah M.S.Chin Yang, Oliver Ang Multimedia University (Malaysia) M.Eng.Sc.
Fernandez Rocha, Bautista Ph.D.
Montana Tech of The University of Montana
University of California, Santa Cruz
King Fahd University of Petroleum and Minerals (Saudi Arabia)
University of Illinois at Urbana-Champaign
Rutgers The State University of New Jersey - New Brunswick
University of California, Los Angeles
University of Massachusetts Lowell
University of California, Santa Cruz
Sitter, Nicholas James The University of Iowa M.S.Wang, Yun University of Southern California Ph.D.Luo, Xi University of California, Berkeley Ph.D.
Haddad, Rami Jubrail The University of Akron Ph.D.
Kuo, Jerry Weiming Ph.D.
Sun, Jin The University of Arizona Ph.D.
Kocaman, Serdar Columbia University Ph.D.
Shao, Tiange Ph.D.
Mhada, Fatima Zahra Ph.D.
Mukongolo, Marvell Michigan State University M.S.
Liu, Xiaowen Michigan State University M.S.
Yok Wooi, Matthew Teow Multimedia University (Malaysia) Ph.D.
Rissacher, Daniel J. Clarkson University Ph.D.
D'Souza, Sandeep Louis Ph.D.
Kamalanathan, Deepak Arizona State University Ph.D.
Jiang, Wei University of Rochester Ph.D.
Talabathula, Shravani Mississippi State University M.S.
Monroe, William Stonewall The University of Iowa M.S.Kambli, Ketan University of Colorado at Boulder M.S.Ren, Wei University of California, Davis Ph.D.
Ehmann, Andreas F. Ph.D.
Mahoney, Nicholas John Clemson University M.S.
Kenny, Thomas Donald The University of Maine Ph.D.
Ma, Wann-Jiun M.S.
Parker, Charles Walter Boston University Ph.D.
Texas A&M University Ph.D.
Waters, Jonathan University of New Hampshire M.S.
Prabakar, Kumaraguru Arizona State University M.S.
Zhou, Meng Arizona State University M.S.
Huzayyin, Ahmed Ali Soliman University of Toronto (Canada) Ph.D.
Al-Essa, Abdulrahman Suliman M.S.
Hu, Rui University of Windsor (Canada) M.A.Sc.
Liu, Lezhang Wayne State University M.S.
University of California, Los Angeles
Missouri University of Science and TechnologyEcole Polytechnique, Montreal (Canada)
University of California, Los Angeles
University of Illinois at Urbana-Champaign
University of Maryland, College Park
Abdul-Latif, Mohammed Mohsen Abdul-Salam
King Fahd University of Petroleum and Minerals (Saudi Arabia)
Chakraborty, Sreya M.S.
Davidova, Rebeka University of South Florida M.S.E.E.Wong, Chan Wong University of Florida Ph.D.
Ben Ammar, Hatem Universite de Moncton (Canada) M.Sc.A.
Wang, Xin University of California, Riverside Ph.D.
Koehler, Andrew Daniel University of Florida Ph.D.
Li, Taoran Iowa State University M.S.
Zhang, Qing University of California, Riverside M.S.
Fong, Lik Hang Silas Ph.D.
Ilic, Jovana University of California, Davis Ph.D.Baker, Greg San Jose State University M.S.
Hou, Huijie Texas A&M University Ph.D.
Ahmed, Shaheen The University of Memphis Ph.D.
Kambhampati, Rahul M.S.
Tzanidis, Ioannis The Ohio State University Ph.D.
Lei, Kin Pang Ph.D.
Pandey, Parul The University of Utah M.S.
Li, Xiang Iowa State University M.S.
Anantapadmanabhan, Akshay M.E.
Aldham, Mark Lee University of Toronto (Canada) M.A.Sc.
Paul, Rajat Kanti University of California, Riverside M.S.
Abbasi, Shaghayegh University of Washington Ph.D.
Buddha, Santoshi Tejasri Arizona State University M.S.
Boland, Patrick Michael, Jr. Old Dominion University Ph.D.
Zhao, Ye Northeastern University M.S.LiCausi, Nicholas Rensselaer Polytechnic Institute Ph.D.
LaFevre, Kyle Arizona State University M.S.
Xue, Wenqin Mississippi State University M.S.
Reeves, Galen University of California, Berkeley Ph.D.
Khatri-Chhetri, Prasanna Rochester Institute of Technology M.S.
Kumar, Ashith M.S.
Abrishami, Hamed University of Southern California Ph.D.
Onabajo, Marvin Olufemi Texas A&M University Ph.D.
The University of Texas at Arlington
The Chinese University of Hong Kong (Hong Kong)
Tennessee Technological University
The Chinese University of Hong Kong (Hong Kong)
The Cooper Union for the Advancement of Science and Art
The University of Texas at Arlington
Wu, Victor Kai Yuen Ph.D.
Pham, Hai Duc University of California, Davis M.S.
Bosco, Bruce Arizona State University M.S.
Malani, Navneet Iowa State University M.S.
Lee, Dong Jin University of Michigan Ph.D.
Venkataramanan, Guruguhanathan M.S.
Jahanian, Amin University of California, Irvine Ph.D.
Pinto, Sonia Preeti M.S.
Sadr, Behnaz Oklahoma State University M.S.
Tanwani, Aneel Kumar Ph.D.
Lei, Jing Ph.D.
Odun-Ayo, Theresa Avosuahi Ph.D.
Vicente, John Barbosa, II Columbia University Ph.D.
Basilio-Sanchez, Gilberto Boston University Ph.D.
Shorten, Andrew William University of Toronto (Canada) M.A.Sc.
Truitt, Stanley The University of Mississippi M.S.
Park, Hyunwoo University of Florida Ph.D.
Rajagopalan, Harish Ph.D.
Li, Lin University of California, Riverside Ph.D.
Guzman Tamayo, Ana M. Florida International University Ph.D.
Ruyle, Jessica E. Ph.D.
Han, Zhaoying Vanderbilt University Ph.D.
Elashry, Naglaa Fathy Ebid Aly M.S.
Wang, Tianhan Iowa State University M.S.Park, Jaewon Texas A&M University Ph.D.Mekisso, Betelhem Mateos Oklahoma State University M.S.Cho, Sangman The University of Arizona Ph.D.
Rida, Amin Georgia Institute of Technology Ph.D.
Hallahan, Ryan C. Carnegie Mellon University Ph.D.
Zhao, Du Arizona State University M.S.
Cheng, Kuang-Yu Ph.D.
Akour, Amneh M. The Ohio State University Ph.D.
Black, Dolores A. Vanderbilt University Ph.D.
University of Illinois at Urbana-Champaign
Rutgers The State University of New Jersey - New Brunswick
Rutgers The State University of New Jersey - New Brunswick
University of Illinois at Urbana-ChampaignRutgers The State University of New Jersey - New BrunswickMissouri University of Science and Technology
University of California, Los Angeles
University of Illinois at Urbana-Champaign
Southern Illinois University at Carbondale
University of Illinois at Urbana-Champaign
Mukherjee, Amit Rensselaer Polytechnic Institute Ph.D.
Razavi, Seyyed Farhad Ph.D.
Mittal, Sushil Ph.D.
Yeleti, Sandeep Mississippi State University M.S.
Snow, Luke M. San Jose State University M.S.
Trezise, Tyler University of Victoria (Canada) M.A.Sc.
Del Real, Oscar The University of Texas at El Paso M.S.
Parag, Parimal Texas A&M University Ph.D.
Verma, Rajeev University of Michigan Ph.D.
Esuruoso, Olakunle University of Windsor (Canada) M.A.Sc.
Deen, David A. University of Notre Dame Ph.D.
Bhallamudi, Vidya Praveen The Ohio State University Ph.D.
Gummadi, Subha Ramakrishna Ph.D.
Nazari, Ali University of Michigan Ph.D.Yau, Kenneth Hoi Kan University of Toronto (Canada) Ph.D.
Fan, Wen Ph.D.
Zhang, Junwei Ph.D.
Emami, Roozbeh Northeastern University Ph.D.
Li, Yiyang University of California, Riverside Ph.D.
Thareja, Rohit M.S.
Chaudhry, Mohammad Asad Rehman Texas A&M University Ph.D.
Jung, HyunChul The Ohio State University Ph.D.
Zhang, Yihai University of Victoria (Canada) Ph.D.
Foreman, Eric A. Clarkson University Ph.D.
Goldin, Jeremy C. Utah State University M.S.
Snoussi, Yassine M.Eng.
Jeffrey, Mark C. University of Toronto (Canada) M.A.Sc.
Hariharan, Srikanth The Ohio State University Ph.D.
Lee, Seung Youl Cornell University Ph.D.
Wu, Peng University of Minnesota Ph.D.Yu, Wen The University of Texas at Dallas M.S.E.E.
Yang, Xi Ph.D.
Strojny, Brandan Thomas The Ohio State University Ph.D.
University of California, Los AngelesRutgers The State University of New Jersey - New Brunswick
University of Illinois at Urbana-Champaign
The Chinese University of Hong Kong (Hong Kong)The University of Nebraska - Lincoln
Rutgers The State University of New Jersey - New Brunswick
Ecole de Technologie Superieure (Canada)
The Chinese University of Hong Kong (Hong Kong)
Fu, Chao Arizona State University M.S.Meola, Joseph The Ohio State University Ph.D.
Singh, Harshvardhan Pratap University of Toronto (Canada) M.A.Sc.
Yan, Jie Bang Ph.D.
Temme, Andrew K. G. Michigan State University M.S.
Huang, Huang Ph.D.
Huynh, Adam Phuc Minh University of Houston Ph.D.
Goff, Elise M.S.E.
Rashdan, Mostafa Salah Abd Elhakeem University of Calgary (Canada) Ph.D.
Siddiqua, Ayesha Oklahoma State University M.S.
Vora, Ateet M.S.
Mishra, Chandrabhanu Ph.D.
Gorthi, Swathi Utah State University M.S.
Funai, Amanda K. University of Michigan Ph.D.
Bagley, Jeremy Quinn University of Washington Ph.D.
Llano-Ruiz, Jhon-Fredy M.Sc.A.
Thobbi, Anand Rajiv Oklahoma State University M.S.
Loiacono, Michael T. Ph.D.
William, Freddy The University of Texas at Dallas M.S.E.E.
Vining, Jennifer Ph.D.
Gummalla, Samatha Arizona State University M.S.
Lee, Wonsok Ph.D.
Lee, Ho-Young Oregon State University Ph.D.
Kaul, Sanjit Krishnan Ph.D.
Downs, Chandler Tufts University M.S.
Kramer, Olivier M.Sc.A.
Bentil, Ekua Nuama Princeton University Ph.D.
Aslam, Salman Georgia Institute of Technology Ph.D.Ferdousi, Sifat University of California, Davis M.S.
Geurin, Scott Osborn University of Arkansas M.S.
Gasser, Safa M. Ph.D.
Ryan, Joseph University of Virginia Ph.D.
Kim, Ju Sung Texas A&M University Ph.D.
University of Illinois at Urbana-Champaign
Hong Kong University of Science and Technology (Hong Kong)
The University of Alabama in Huntsville
Rutgers The State University of New Jersey - New BrunswickIndian Institute of Technology, Kharagpur (India)
Ecole Polytechnique, Montreal (Canada)
Rutgers The State University of New Jersey - New Brunswick
The University of Wisconsin - Madison
University of Illinois at Urbana-Champaign
Rutgers The State University of New Jersey - New Brunswick
Ecole Polytechnique, Montreal (Canada)
University of California, Santa Cruz
Spinney, Patrick S. The University of Maine Ph.D.
Aki, Hazar University of South Florida M.S.E.E.
Li, Patrick S. University of Toronto (Canada) M.A.Sc.
Ntareme, Annick M.Sc.A.
Au, Yeung Siu Kei Ph.D.
Bashir, Muhammad Muqarrab Georgia Institute of Technology Ph.D.
Gullayanon, Rutchanee Georgia Institute of Technology Ph.D.
Lakshmi Narayanan, Ram Gopal Ph.D.
Wu, Zhi University of Dayton Ph.D.
Yang, Benjamin Bing-Yeh Ph.D.
Velez-Pulgarin, Marcela M.Sc.A.
Liu, Kuang-Hung University of Michigan Ph.D.Hendry, Gilbert R. Columbia University Ph.D.
Lay, Holly Susan Queen's University (Canada) Ph.D.
Yu, Minrui Ph.D.
Vincent, Blaze University of Colorado at Boulder M.S.
Chon, Jaehong University of Washington Ph.D.
Gerami, Cyrus M.S.
Hunt, Mitchell Ryan M.S.
Milosevic, Pavle Ph.D.
Younan, Harvy M.S.
Pitre, Ryan R. University of New Orleans Ph.D.
Musuvathy, Srideep University of Southern California Ph.D.
Sabelkin, Mykhaylo D.Eng.
Choi, Myung Jin Ph.D.
Aminian, Behnam University of Calgary (Canada) M.Sc.
Tangudu, Jagadeesh K. Ph.D.
Berube, Daniel M.Eng.
Werth, Nicholas Arizona State University M.S.
Ding, Haipeng University of California, Riverside Ph.D.
Mavidi, Geetha Northern Illinois University M.S.
Taluja, Pawandeep Singh North Carolina State University Ph.D.
Lahmiri, Salim M.Eng.
Ecole Polytechnique, Montreal (Canada)Hong Kong University of Science and Technology (Hong Kong)
University of Massachusetts Lowell
The University of Wisconsin - MadisonEcole Polytechnique, Montreal (Canada)
The University of Wisconsin - Madison
Rutgers The State University of New Jersey - New BrunswickThe University of Alabama in HuntsvilleUniversity of Illinois at Urbana-ChampaignCalifornia State University, Long Beach
Ecole de Technologie Superieure (Canada)Massachusetts Institute of Technology
The University of Wisconsin - MadisonEcole de Technologie Superieure (Canada)
Ecole de Technologie Superieure (Canada)
Wang, Leijie University of California, Riverside Ph.D.
Reyes, Matthew G. University of Michigan Ph.D.
Gogna, Mukesh University of Connecticut Ph.D.
Nolen, Craig Merten University of California, Riverside Ph.D.
Devendhiran, Manoj M.S.
Soundappa Elango, Iniyan Arizona State University M.S.
Chang, Jiang Ph.D.
Kandappan Singaravadivelu, Sivakumar M.S.
Shahil, Khan Mohammad Farhan University of California, Riverside Ph.D.
Daniel, Michael Thomas Northeastern University M.S.
Dettlaff, W. Hank G. Arizona State University M.S.
Li, Xuan University of Rhode Island Ph.D.
Krishnan, Venkatachalam Arizona State University M.S.
Mukherjee, Amitav University of California, Irvine Ph.D.
Hibbits, Jordan A. Rochester Institute of Technology M.S.
Yang, Chenhui Arizona State University Ph.D.
Turkdogan, Sunay Arizona State University M.S.
Pan, Hua University of Arkansas M.S.E.E.
Wang, Zhenxing Princeton University Ph.D.
Wang, Kai Ph.D.
Lian, Hongbing The University of Texas at Dallas Ph.D.Gourineni, Rakesh Kumar Mississippi State University M.S.Zhang, Yuanyuan University of Kansas Ph.D.
Cui, Minshan Mississippi State University M.S.
Bhorkar, Abhijeet Ph.D.
Araujo Cespedes, Fabiola University of South Florida M.S.E.E.
Hammoudeh, Monther A. University of Colorado at Denver M.S.
Ghajar, Mohammad Reza Arizona State University Ph.D.
Han, Yongping Arizona State University Ph.D.
Naik, Abhishek University of South Alabama M.S.
Zhu, Zeqin Arizona State University Ph.D.
Jalali, Sammuel Ph.D.
State University of New York at Buffalo
Polytechnic Institute of New York UniversityState University of New York at Buffalo
State University of New York at Buffalo
University of California, San Diego
The Claremont Graduate University
Doshi, Ankur Wayne State University M.S.
Ni, Zefeng Ph.D.
Zambrano Nunez, Maytee Northeastern University Ph.D.
Patel, Shyamal Northeastern University Ph.D.
Torres, Joseph Michael University of Colorado at Denver M.S.
Fahd, Ziad A. M.S.
Candelaria, Jared M. University of Colorado at Denver M.S.Shih, Yi-Chun University of Washington Ph.D.
Saibua, Siwat The University of Texas at Dallas Ph.D.
Lodugureddy, Harish Kumar Reddy Northern Illinois University M.S.
Mudholkar, Mihir University of Arkansas Ph.D.
Fazel, Amin Michigan State University Ph.D.
Santorello, Mark M.S.
Gupta, Samir Arizona State University M.S.Nair, Rohit University of Delaware Ph.D.
Liu, Xing Arizona State University M.S.
Yao, Wei Arizona State University Ph.D.
Montazeri, Ali The University of Texas at Dallas Ph.D.
Tian, Geng M.S.
Skidmore, Scott M. University of South Florida M.S.E.E.
Lyle, Andrew Paul University of Minnesota Ph.D.Zhou, Liang University of Arkansas Ph.D.
Bekritsky, Benjamin Ph.D.
Wang, Chun-Cheng University of California, Irvine Ph.D.Li, Wei Mississippi State University Ph.D.
Chen, Xuechen University of California, Riverside Ph.D.
Bakshi, Vivek Portland State University M.S.
Pancholi, Gauravkumar R. M.S.
Khan, Javed Miller University of California, Riverside Ph.D.
Yu, Chi-Li Arizona State University Ph.D.
Liu, Hui Arizona State University M.S.
Engstrom, Erika Arizona State University Ph.D.
Breau, Frank J., III University of Rhode Island M.S.
Buscher, Michael Brandon University of Arkansas M.S.E.E.
University of California, Santa Barbara
Southern University and Agricultural and Mechanical College
The George Washington University
University of California, Los Angeles
Polytechnic Institute of New York University
California State University, Los Angeles
Ramakrishnan, Shankar Arizona State University M.S.
Rahafrooz, Amir University of Denver Ph.D.
Khullar, Nidhi M.S.
Wang, Zimin Mississippi State University M.S.
Yunus, Mohammad University of Minnesota Ph.D.
Jleed, Hitham H. M.S.
Wang, I-Hsiang University of California, Berkeley Ph.D.
Northrop, Judith A. Arizona State University M.S.
Modarres-Zadeh, Mohammad J. Oklahoma State University M.S.
Aflatouni, Firooz University of Southern California Ph.D.
Lebold, Bruce Oklahoma State University M.S.
Soyak, Eren Northwestern University Ph.D.Kosuru, Lakshmi Anusha University of Kansas M.S.
Scott, Sean Purdue University Ph.D.
Yuan, Zhe Oklahoma State University Ph.D.
Prattipati, Reshma Priyanka Northern Illinois University M.S.
Wu, Wei Northwestern University Ph.D.Berndsen, Kevin J. University of Cincinnati M.S.Kim, Sung Arizona State University Ph.D.
Palaiyanur, Harikrishna R. University of California, Berkeley Ph.D.
Shahmohammadi, Mohammad The Ohio State University Ph.D.
Desmond, Jill M. Duke University M.S.
Stillinger, Chad J. Oregon State University Ph.D.
Carmel-Veilleux, Tennessee M.Ing.
Ye, Shunyuan Ph.D.
Du, Mengmeng The University of Texas at Dallas Ph.D.
Wilczewski, Jeffrey Rochester Institute of Technology M.S.
DiSanto, Thomas Michael M.S.
Sankaran, Jeyantt Srinivas M.S.
Sinha, Saurabh Arizona State University Ph.D.
John, Dylan B. M.S.
Lo, Cheuk Chi University of California, Berkeley Ph.D.
Thakar, Shreyas Kiran M.S.
Ramirez, Ignacio University of Minnesota Ph.D.
The University of Texas at Arlington
The University of Texas at San Antonio
Ecole de Technologie Superieure (Canada)Polytechnic Institute of New York University
State University of New York at BuffaloThe University of Texas at Arlington
Southern Illinois University at Carbondale
The University of Texas at Arlington
Kriener, Robert Charles Clemson University M.S.
Jung, Jihoon M.S.
Marcu, Cristian University of California, Berkeley Ph.D.
Battula, Sandeep Reddy Northern Illinois University M.S.
M.S.
Choi, Halim The University of Iowa M.S.
Peng, Wenxin University of Nevada, Las Vegas M.S.E.E.
Tu, Kai Arizona State University Ph.D.Vaizurs, Raja Sarath Chandra Prasad University of South Florida M.S.E.E.Kiefer, Brian Daniel Arizona State University M.S.Chou, Jeffrey Brian University of California, Berkeley Ph.D.
Arama, Bogdan Purdue University M.S.E.
Curtis, Alden Colorado State University M.S.Dumond, Danielle Dartmouth College Ph.D.
Gong, Jianping Northeastern University M.S.
Ahn, Joon University of Southern California Ph.D.
Meulendyk, Bennett J. The University of Maine Ph.D.
Kamousi, Baharan University of Minnesota Ph.D.
M.S.
Sontidpanya, Chayanon M.S.
Hung, Yew Mun Multimedia University (Malaysia) Ph.D.
Bawa, Manan Northern Illinois University M.S.
Baghaie, Marjan University of Southern California Ph.D.
Mekala, Nitisha Northern Illinois University M.S.
Mathema, Preety Oklahoma State University M.S.
Soltan, Maryam University of Southern California Ph.D.
Din, Moeen ud University of Windsor (Canada) M.A.Sc.
Ramesh, Nisha The University of Utah M.S.
Babalola, Karolyn O. Georgia Institute of Technology Ph.D.
Li, Feng University of California, Irvine Ph.D.
Jeon, Jaeseok University of California, Berkeley Ph.D.Huang, Wei University of California, Irvine Ph.D.Patlolla, Chandrasekhar Reddy The University of Texas at Dallas M.S.Lai, Jiali University of California, Davis M.S.
Liao, Rui Michigan Technological University Ph.D.
The University of Texas at San Antonio
Appanaicknepatti Ramachandran, Vijayanand
The University of Texas at Arlington
Besprozvanny, Randy-Alexander Randolph
University of California, San DiegoTennessee Technological University
Armstrong, Craig M.S.
Pottim, Karunakar Reddy Northern Illinois University M.S.Avasthi, Sushobhan Princeton University Ph.D.
Aenugu, Vanitha Northern Illinois University M.S.
Zhang, Hongyi Northeastern University M.S.
Richardson, Francois Dominique M.Ing.
Trakimas, Michael Tufts University Ph.D.
Trinklein, Eddy H. Michigan Technological University M.S.
Kuppaswamy, Karthik M.S.
Gomez Garcia Alvestegui, Daniel University of Kansas M.S.
Patwardhan, Kaustubh Anil The University of Iowa M.S.
Rossi, Lorenzo University of Southern California Ph.D.
Shaga, Ravi Krishna Michigan State University M.S.
Almutawa, Ahmad T. University of South Florida M.S.E.E.
Huang, He University of California, Davis Ph.D.
Lenox, Joseph M.S.
George, Joseph Sanju M.S.
Tafesse, Yohannes Z. Michigan Technological University M.S.
Arefin, Md. Sayedul M.S.
Jayadevan, Keshavanand University of South Florida M.S.E.E.
Zou, Chao Michigan Technological University Ph.D.
Mitharwal, Rajendra Utah State University M.S.
Illa, Kaushik M.S.
Chen, Xun Lehigh University Ph.D.
Bou Serhal, Rachel E. Michigan State University M.S.
Kallakuri, PavanaSirisha Tennessee State University M.S.
Yun, Ruida Tufts University Ph.D.
Thoutam, Laxman Raju Northern Illinois University M.S.
Evana, Naga Sai Shravan The University of Alabama M.S.
Min, Seungkee Arizona State University Ph.D.
Davis, Janet Thao San Jose State University M.S.
Smith, William Anthony The University of Utah M.S.
University of California, San Diego
Ecole de Technologie Superieure (Canada)
Southern Illinois University at Carbondale
Southern Illinois University at CarbondaleState University of New York at Buffalo
Southern University and Agricultural and Mechanical College
State University of New York at Buffalo
Oh, Han The University of Arizona Ph.D.
Pearlman, Paul Charles Yale University Ph.D.
Congreve, Daniel Norbert Iowa State University M.S.
Yelten, Mustafa Berke North Carolina State University Ph.D.
Kim, Hyun Tae Arizona State University Ph.D.
Qiu, Yang Ph.D.
Langston, Jeffrey M.S.
Lee, Gil Young The Ohio State University Ph.D.
Li, Zhi Northeastern University M.S.
Baca Baylon, Ricardo The University of Texas at El Paso M.S.
Hwang, Andrew I. M.S.
Li, Zhi Washington State University Ph.D.
Xin, Yi M.S.
Chowdhury, Faisal Khair The University of Utah M.S.
Wei, Wei Mississippi State University Ph.D.
Gawande, Rohit Sudhir University of Virginia Ph.D.
Yao, Xiaofeng University of Minnesota Ph.D.Hou, Wen University of California, Irvine M.S.
Cobo Campo, Luis Ph.D.
Kirleis, Matthew Allen Purdue University M.S.
Chan, Michael M.S.
Xu, Jiang Northwestern University Ph.D.
Telidevara, Chaitanya M.S.
Li, Li Duke University M.S.
Texas A&M University Ph.D.
Burgoyne, Bryan Ph.D.
Wang, Yi University of Southern California Ph.D.
Hedau, Varsha Chandrashekhar Ph.D.
Liu, Kui Mississippi State University M.S.
Akouemo Kengmo Kenfack, Hermine N. Marquette University M.S.
Beemanpally, Kapila Devi Northern Illinois University M.S.
Urs, Ashwini M.S.
Sasson, Yonatan Northeastern University M.S.Su, Changyi Clemson University Ph.D.
The Chinese University of Hong Kong (Hong Kong)The University of Texas at San Antonio
State University of New York at Binghamton
University of Maryland, Baltimore County
Ecole Polytechnique, Montreal (Canada)
The University of Texas at San Antonio
The University of Texas at Arlington
Tabatabaei Yazdi, Seyed Mohammadsadegh
Ecole Polytechnique, Montreal (Canada)
University of Illinois at Urbana-Champaign
The University of Texas at Arlington
Zhang, Bo M.Eng.
Neilsen, Kevin D. Utah State University M.S.
Kniffin, Gabriel Paul Portland State University M.S.
Zhu, Jiangli Case Western Reserve University Ph.D.
Webb, Jeremy William University of California, Davis M.S.Zhang, Lei Michigan State University Ph.D.
Saraf, Ankur Wayne State University M.S.
Ghasemazar, Mohammad University of Southern California Ph.D.
Cao, Zongliang Michigan State University Ph.D.
Ramanathan, Shivram Clemson University M.S.
Kaplan, Daniel Joseph University of California, Irvine M.S.
Al-Malki, Talal Hasan M.S.
Brewer, Carl Petter The University of Utah M.S.
Arredondo, Alton M.S.
Qiu, Xiaotun Arizona State University Ph.D.
Kinhal, Kartik Arizona State University M.S.
Narumanchi, Gayatri The University of Texas at Dallas M.S.E.E.
Trang, Anh Ph.D.
Sekeroglu, Kazim M.Eng.
Chen, Xujie The Florida State University M.S.
Lee, Sheng Huang Iowa State University M.S.
Babikian, Sarkis University of California, Irvine M.S.Liu, Jie University of South Carolina Ph.D.
Torres, Jesus Angel M.S.
Sreenivassan, Aiswariya Arizona State University M.S.
Huque, Abu-Sayeed A. Ph.D.
Lumburu, Pramod Northern Illinois University M.S.Zhang, Wenshu Colorado State University Ph.D.
Tran, Viet Phong Ph.D.
Fan, Xiaofeng Rochester Institute of Technology Ph.D.
Wang, Yi-Hsiang University of California, Riverside Ph.D.
van Nieuwstadt, Lin M.C. University of Michigan Ph.D.
Karingada, Arun Thomas M.S.
State University of New York at Buffalo
King Fahd University of Petroleum and Minerals (Saudi Arabia)
The George Washington University
The Catholic University of AmericaSouthern University and Agricultural and Mechanical College
The University of Texas - Pan American
The University of Alabama in Huntsville
The University of North Carolina at Charlotte
The University of Texas at Arlington
Espinoza, Antonio Raul University of California, Davis M.S.Schmidt, William Joel, III Purdue University M.S.
Blise, Robert J. Marquette University M.S.
Rahman, Muhammad Maksudur University of California, Riverside Ph.D.
Yang, Yixi Purdue University M.S.E.C.E.
Dautov, Ruslan Rochester Institute of Technology M.S.
Yilmaz, Mustafa Harun M.S.
Ren, Minghan Arizona State University Ph.D.Bethina, Naga Praveen Northern Illinois University M.S.
Yeh, Yi-Shih M.S.
Swafford, Brian J. M.S.
Cheong, Hye Yeon University of Southern California Ph.D.
Ting, Yuk-Hong Jeff Ph.D.
Martinez, Matthew Colorado State University M.S.
Rose, David M.S.
Gueye, Assane University of California, Berkeley Ph.D.Venkataraman, Srinivasan Oklahoma State University Ph.D.Dutta, Joyita University of Southern California Ph.D.
Yeom, Hyunsoo Arizona State University Ph.D.
Dandach, Sandra Hala Ph.D.
Chen, Shih-Jui University of Southern California Ph.D.
Nair, Rohit Prabhakaran Oklahoma State University M.S.
Kadavarahalli, Nishanth Northern Illinois University M.S.Cho, Woo-Suhl Purdue University M.S.E.C.E.
Agoramurthy, Poornima Purdue University M.S.
Olivas, Richard I. The University of Texas at El Paso M.S.
Bendone Jayaram, Varun M.S.
Ali, Tariq Md The University of Texas at Dallas Ph.D.
Irci, Erdinc The Ohio State University Ph.D.
Kumar Muniyappa, Santosh M.S.
Huang, Chenling Michigan State University Ph.D.
Krishnamoorthi, Harish Arizona State University Ph.D.
Ibaroudene, Hakima M.S.
Wu, Xuebin Lehigh University Ph.D.
Southern University and Agricultural and Mechanical College
State University of New York at Stony BrookUniversity of Missouri - Kansas City
The University of Wisconsin - Madison
Montana Tech of The University of Montana
University of California, Santa Barbara
The University of Texas at San Antonio
The University of Texas at Arlington
The University of Texas at San Antonio
Basith, Iftekhar Ibne University of Windsor (Canada) M.A.Sc.Tang, Qian Ying University of California, Berkeley Ph.D.
Ramanandan, Arvind University of California, Riverside Ph.D.
Chen, Anning University of California, Riverside Ph.D.
Chandrasekaran, Abishek University of Colorado at Boulder M.S.
Agarwal, Ankit Ashok M.S.
He, Xin Colorado State University M.S.
Sochacki, John Arizona State University M.S.
Li, Yugia Ph.D.
Chanda, Naveen Kumar Mississippi State University M.S.
Lebron Figueroa, Angel G. M.S.E.E.
Mahotra, Sidharth The University of Texas at Dallas M.S.E.E.
Fu, Tricia C. Ph.D.
Ouyang, Wentao Ph.D.
Hobeika, Christelle D.Eng.
Baliga, Sunil Arizona State University Ph.D.
Asgari, Mohammad Hosein M.S.
Hathwar, Raghuraj Arizona State University M.S.
Wang, Chi-Chao Arizona State University Ph.D.
George, Hubert C. University of Notre Dame Ph.D.
Cadambe, Viveck R. University of California, Irvine Ph.D.
Loutherback, Kevin Princeton University Ph.D.
Ayari-Kanoun, Asma Ph.D.
Sharma, Sourabh M.S.
Bhat, Krishna Prasad M.S.
Uosef, Resk Ebrahem Ph.D.
Beh, Shiao Lin Multimedia University (Malaysia) Ph.D.
Kecicioglu, Balkan The University of Texas at Dallas Ph.D.Xu, Nan The University of New Mexico Ph.D.
Wang, Renxiang Purdue University M.S.E.C.E.
Sharma, Abhishek Arizona State University M.S.
Pandey, Abhishek Mississippi State University M.S.
The University of Texas at Arlington
The Chinese University of Hong Kong (Hong Kong)
Universidad Politecnica Puerto Rico (Puerto Rico)
University of California, Santa BarbaraHong Kong University of Science and Technology (Hong Kong)Ecole de Technologie Superieure (Canada)
State University of New York at Stony Brook
Universite de Sherbrooke (Canada)The University of Texas at ArlingtonState University of New York at BuffaloPolytechnic Institute of New York University
Baliganapalli Nagaraju, Pradeep M.S.
Gomez-Diaz, Juan Sebastian Dr.
Candido, Salvatore Joseph Ph.D.
Guhados, Shankar University of California, Davis Ph.D.
Zia, Beenish Portland State University M.S.
Arizzi, Rocco V. Ph.D.
Soto-Caban, Sandra Michigan State University Ph.D.
Integlia, Ryan Anthony Ph.D.
Taher, Ahmed Purdue University M.S.E.C.E.
Abdelmalek, Saif Eddine M.Eng.
Shafique, Md. Ashfaque Bin Arizona State University M.S.Tike, Surpriya Oklahoma State University M.S.
Tan, Ahmet M.S.
Sachidananda, Srikanteswara M.S.
Yang, Xuan Arizona State University M.S.
Hafiz, Omar University of California, Davis Ph.D.
Lee, Tao-Hua Texas A&M University Ph.D.
Kemp, Jeffrey Thomas Rochester Institute of Technology M.S.Amini, Arash Ali University of California, Berkeley Ph.D.Phillips, John University of Rhode Island M.S.Junghans, Jeremy University of Arkansas M.S.E.E.Brady, Bryan University of California, Berkeley Ph.D.
Manterola, Renato Mauricio M.S.
Vaidyanath, Suchethan Swaroop M.S.
Morales, Emiliano M.S.
Chakravarty, Abhijit Florida Institute of Technology Ph.D.
Lee, Beomseop University of California, Riverside Ph.D.
Zhan, Ning University of California, Riverside Ph.D.
Gregory, John W. Michigan State University M.S.
Ma, Feng Arizona State University Ph.D.
Shi, Changxin Northwestern University Ph.D.
Griffiths, Trace A. Utah State University M.S.
Ramolia, Pragnesh Ranchhodbhai M.S.
State University of New York at BuffaloUniversidad Politecnica de Cartagena (Spain)University of Illinois at Urbana-Champaign
The Catholic University of America
Rutgers The State University of New Jersey - New Brunswick
Ecole de Technologie Superieure (Canada)
Southern University and Agricultural and Mechanical CollegeThe University of Texas at Arlington
University of Maryland, College ParkThe University of Texas at ArlingtonThe University of Texas at San Antonio
The University of Texas at Arlington
Yang, Chieh Kai The Ohio State University Ph.D.
Condiff, Lesley R.M. Ph.D.
Park, Ji-Hoon University of California, Berkeley Ph.D.
Kanchanaharuthai, Adirak Case Western Reserve University Ph.D.
Olson, Alan Rochester Institute of Technology M.S.
Patchoo, Wisarn Washington State University Ph.D.
Nam, Kyung Moon Portland State University M.S.
Lou, Chung-Cheng University of Southern California Ph.D.Yi, Zhangjing Colorado State University M.S.
Rea Zanabria, Gino Pedro Enrique University of Kansas M.S.
Kanneganti, Sandeep Temple University M.S.E.E.
Stavinoha, Russell S. M.S.
Huh, Hoon University of Southern California Ph.D.
Singh, Jodhbir Michigan Technological University M.S.
Kreit, Eric Brian University of Cincinnati Ph.D.
Yue, Dong Ph.D.
Sadeque, Ahmed Zafar The University of Texas at Dallas Ph.D.
Ramaswamy, Rahul Ph.D.
Li, Debin Arizona State University Ph.D.
Mehta, Krati M.S.
Naqvi, Syed Mohammed Askari The University of Texas at Dallas Ph.D.Yang, Qihua City University of New York Ph.D.
Lin, Airs Hsueh-Chin M.S.
Purushothaman, Sujit Ph.D.
Qi, He Northeastern University M.S.
Tang, Wenjia City University of New York Ph.D.
Suh, Jun-Won The University of Texas at Dallas Ph.D.Paing, Thurein Soe University of Colorado at Boulder Ph.D.
Olmon, Robert L. University of Washington Ph.D.
Su, Ting-Wei Ph.D.
Bailey, Robert A. University of Colorado at Boulder Ph.D.Karmakar, Sanjay University of Colorado at Boulder Ph.D.Zhou, Li Arizona State University M.S.
The Catholic University of America
The University of Texas at San Antonio
The University of Texas at San Antonio
State University of New York at Buffalo
The University of Texas at San Antonio
California State University, Los AngelesPolytechnic Institute of New York University
University of California, Los Angeles
Krishnan, Balu Arizona State University Ph.D.
Charbiwala, Zainul Mohammed Ph.D.
Kononov, Victor Arizona State University Ph.D.
Qiu, Wenxun The University of Texas at Dallas Ph.D.
Zhou, Liang University of California, Irvine Ph.D.
Li, Jianwei Mississippi State University Ph.D.Pradhan, Nuraj Lal City University of New York Ph.D.
Yuan, Yu Ph.D.
Mgaya, Richard Hans Tennessee State University Ph.D.
Joshi, Swapna Ph.D.
Braun, Henry Arizona State University M.S.
Balzano, Laura Kathryn Ph.D.
Mittal, Nikhil R. M.S.
Ozyurt, Serdar The University of Texas at Dallas Ph.D.
Oyini Mbouna, Ralph Temple University M.S.E.E.
Johnson, Daniel G. M.S.
Hariri, Ali Mohamad Wayne State University Ph.D.
Votion, Johnathan N. M.S.
Bakanoglu, Kagan Ph.D.
Toukatly, Ryan Michael Rochester Institute of Technology M.S.
Almradi, Ahmed M. Rochester Institute of Technology M.S.
Demir, Alpaslan Ph.D.
Maurya, Satendra Arizona State University Ph.D.
Sinha, Anubhav Arizona State University M.S.
Jia, Yupeng University of Rochester Ph.D.
Zhang, Chi The University of Texas at Dallas Ph.D.
Albers, Darrin Colorado State University M.S.
Zheng, Yunan Northwestern University Ph.D.
Manem, Harika Ph.D.
Pelletier, Peter Robert Arizona State University Ph.D.
Reiber Kyle, Jennifer Lynn University of California, Riverside Ph.D.
Guo, Shaoyin Arizona State University Ph.D.
University of California, Los Angeles
University of California, San Diego
University of California, Santa Barbara
The University of Wisconsin - MadisonUniversity of California, Los Angeles
The University of Texas at San Antonio
The University of Texas at San AntonioPolytechnic Institute of New York University
Polytechnic Institute of New York University
Polytechnic Institute of New York University
Dodson, Alfred M. M.Eng.
Islam, K.M. Zahidul The University of Texas at Dallas Ph.D.
Xue, Bing Ph.D.
Wan, Junjun University of Cincinnati Ph.D.
Kim, Sangpyeong Arizona State University M.S.
Beyaz, Mustafa Ilker Ph.D.
Pokharel, Bijaya M.S.
Nguyen, Nam Ph.D.
Zomorrodian, Valiallah D.Eng.
Choi, Kwangsik Ph.D.
Vikas Haridas, Mudavakkat The University of Texas at El Paso M.S.
Chandra, Aashish M.S.
Camacho-Velazquez, Luis Manuel Ph.D.
Huang, Qin University of California, Davis Ph.D.
Ali, Muhammad Michigan Technological University Ph.D.
Tseng, Huai-Yuan University of California, Berkeley Ph.D.
Anabtawi, Nijad Arizona State University Ph.D.
Sanchez, Jose L. M.S.
Patil, Sharath M.S.
Panjwani, Susmit Azad Ph.D.
Steinke, Isaiah Peter University of Minnesota Ph.D.
Francis, Sebastian Maliyakal M.S.
Khodabandehloo, Golnar University of Windsor (Canada) Ph.D.
Harper, Christopher Samuel Mississippi State University M.S.
D'Souza, Reemy Maria M.S.
Mohammed, Khaja Hafeezuddin University of Arkansas M.S.E.E.
Merced-Grafals, Emmanuelle J. M.S.
Li, Yi Ph.D.
Qian, Wei Michigan State University Ph.D.
Crossley, Benjamin L. Air Force Institute of Technology Ph.D.
Ng, Nicole Tufts University M.S.
State University of New York at Binghamton
University of Missouri - Kansas City
University of Maryland, College ParkTennessee Technological UniversityUniversity of Illinois at Urbana-ChampaignUniversity of California, Santa BarbaraUniversity of Maryland, College Park
University of Missouri - Kansas CityThe University of Texas at Arlington
The University of Texas - Pan AmericanThe University of Texas at ArlingtonUniversity of Maryland, College Park
State University of New York at Buffalo
Rutgers The State University of New Jersey - New Brunswick
University of Puerto Rico, Mayaguez (Puerto Rico)University of Maryland, College Park
Byers, Kyle J. University of Kansas M.S.
Makowski, Nathanael Jared Portland State University M.S.
Lu, Wenjun Ph.D.
Jeong, Yongwoo Ph.D.
Nam, Isaac IL W. University of South Carolina M.S.
Han, Wei Kansas State University Ph.D.
Conley, Benjamin University of Arkansas M.S.
Ryu, Hoon Purdue University Ph.D.
Simmons, Justin French Portland State University M.S.
Srikantaiah, Sree Harsha Oklahoma State University Ph.D.
Zhang, Xiwen The University of Texas at Dallas Ph.D.
Lattanzio, Steven M. Duke University M.S.
Jin, Xiaohua University of South Carolina Ph.D.
Elmohbat, Entisar Southern Methodist University M.S.
Kowshik, Hemant Jagadish Ph.D.
Giacomoni, Anthony Michael University of Minnesota Ph.D.
Huang, Yue Michigan State University Ph.D.
Rozgic, Viktor University of Southern California Ph.D.
Guntur, Vasudha University of South Florida M.S.E.E.
Fulani, Sanil M.S.
Pourkhaatoun, Mohsen Michigan Technological University Ph.D.
Reddy, Uttam Ph.D.
Breitmeier, John Vernon Clemson University M.S.
Sagan, Michael W. Marquette University M.S.
Campola, Michael J. Arizona State University M.S.
Avendano Cecena, Alejandro Michigan Technological University Ph.D.
Morales, Cesar A. University of South Florida Ph.D.
Yang, Jie University of Minnesota Ph.D.
Ball, Alexis A. Michigan State University Ph.D.
Parra Pozo, Albert Purdue University M.S.E.C.E.
Green, Benjamin Arizona State University Ph.D.
University of Maryland, College ParkState University of New York at Buffalo
University of Illinois at Urbana-Champaign
The University of Texas at Arlington
University of Illinois at Urbana-Champaign
Lee, Cheol-Woong University of California, Berkeley D.Eng.
Kline, Jared Andrew Iowa State University M.S.
Sadaka, Nabil Arizona State University Ph.D.
Topakkaya, Hakan Iowa State University Ph.D.
Chakravarty, Nayan Iowa State University Ph.D.
Sabau, Serban Ph.D.
Akyol, Emrah Ph.D.
Utley, Christopher David Ph.D.
Zhang, Zhaobo Duke University Ph.D.
Lu, Wei Iowa State University Ph.D.
Shlayan, Neveen University of Nevada, Las Vegas Ph.D.Govoni, Mark-Anthony Stevens Institute of Technology Ph.D.
Kuang, Yue M.S.
Xu, Min Purdue University Ph.D.
Cole, John H. Purdue University Ph.D.
Kurugol, Sila Northeastern University Ph.D.
Alqadah, Hatim F. University of Cincinnati Ph.D.
Thoeming, Aaron Lee Iowa State University M.S.
McCanna, James Patrick M.S.
Tong, Chong M.S.
Abbasi Bastami, Babak University of Nevada, Las Vegas Ph.D.N. Murali, Vidya Clemson University Ph.D.
Ahmed, Irfan Michigan Technological University Ph.D.
Roos, Warren C. Case Western Reserve University Ph.D.Yin, Haiping University of South Florida Ph.D.
Song, Yu University of Rochester Ph.D.
Weise, Nathan David University of Minnesota Ph.D.
Xu, Lei Ph.D.
Lauenstein, Jean-Marie Ph.D.
Cordovez, Juan D. University of California, Irvine M.S.
Dey, Aritra Arizona State University Ph.D.Jain, Sidharath Iowa State University Ph.D.
Lee, Junghan Arizona State University Ph.D.
Li, Feng Rochester Institute of Technology Ph.D.
University of Maryland, College ParkUniversity of California, Santa BarbaraUniversity of California, Santa Barbara
California State University, Los Angeles
University of California, San DiegoState University of New York at Buffalo
The University of Texas at ArlingtonUniversity of Maryland, College Park
Chen, Yiming Washington State University Ph.D.
Puttarak, Nattakan Lehigh University Ph.D.
Peiffer, Benjamin Michael The University of Iowa M.S.
Arizona State University Ph.D.
Taylor, Gerard E. Ph.D.
Hayman, Matthew University of Colorado at Boulder Ph.D.Karimkashi, Shaya The University of Mississippi Ph.D.
Huang, Ching-Ling University of California, Berkeley Ph.D.
McClinton, Brittany University of California, Berkeley Ph.D.
Young, Sherry W. M.E.
Chang, Nick Chia-Jui University of California, Davis Ph.D.
Northup, Thomas University of Kansas M.S.
Hu, Qi Ph.D.
Siddique, Nafiul Alam Portland State University M.S.
Khalid, Murad University of South Florida Ph.D.
Yaakobi, Eitan Ph.D.
Sander, David Ph.D.
Dion, Joseph A. University of South Carolina Ph.D.
Hallas, Justin Matthew M.S.
Narisetty, Jayanthi Mary M.S.
Msechu, Eric James University of Minnesota Ph.D.
Pepper, Matthew E. Clemson University M.S.
Stoll, Lara Lynn University of California, Berkeley Ph.D.
Reynisson, Oskar Michigan Technological University M.S.
O'Shea, Andrew P. University of Rhode Island M.S.Fisher, Gregory W. Baylor University M.S.
Elhaji, Emad Mohamed Elhadi M.S.
Joshi, Punarvasu Arizona State University Ph.D.
Luessi, Martin Northwestern University Ph.D.
Chien, Li-Hsin Ph.D.
Dey, Dibyendu Northwestern University Ph.D.
Fisher, Francisco Lucas Northern Illinois University M.S.
Puthenthermadam, Sarath Chandran Puthen
University of California, San Diego
The Cooper Union for the Advancement of Science and Art
University of California, Santa Barbara
University of California, San DiegoUniversity of Maryland, College Park
University of California, San DiegoThe University of Texas at San Antonio
Southern Illinois University at Carbondale
State University of New York at Buffalo
Olabisi, Olorunishola O. Ph.D.
Nguyen, Thanh Minh University of Windsor (Canada) Ph.D.
Vishnubhotla, Srikanth Ph.D.
Vosters, Gregory Michigan Technological University M.S.
Kirachaiwanich, Davis Ph.D.
Jagapathi, Rajendarreddy University of South Alabama M.S.E.E.
Prabhu, Varun V. Clemson University M.S.
Naderi, Nader A. The University of New Mexico Ph.D.
Mohapatra, Debabrata Purdue University Ph.D.
Odom, Jonathan L. Duke University M.S.
Mane, Vibha Ph.D.
Li, Zhengzheng The University of Oklahoma Ph.D.Azarmehr, Mahzad University of Windsor (Canada) Ph.D.
Baraskar, Ashish Ph.D.
Lee, Yoo Seung University of Southern California Ph.D.
Kim, Sehwan University of California, Irvine Ph.D.Jiang, Fan Northwestern University Ph.D.
Dhindsa, Manjeet S. University of Cincinnati Ph.D.
Sun, Wei Iowa State University Ph.D.Joshi, Phaniraj University of Arkansas M.S.E.E.Shukla, Vimlesh Upendra Wayne State University M.S.
Chakraborty, Samit Kumar Tufts University M.S.
Trevino, Gerardo M.S.
Li, Jialing Ph.D.
Xie, Kai Lehigh University Ph.D.Latzo, Curtis T. University of South Florida Ph.D.
Tsai, Wen-Ching University of California, Davis Ph.D.
Tang, Limin The University of Texas at Dallas Ph.D.Tamimi, Ala Kansas State University Ph.D.
Hwang, Yoontae Ph.D.
Kemker, Ronald M. Michigan Technological University M.E.
Ben, Yu University of California, Berkeley Ph.D.
Azin, Meysam Case Western Reserve University Ph.D.
Poletti Soto, Juan Luis University of Southern California Ph.D.
State University of New York at Buffalo
University of Maryland, College Park
The University of Texas at Arlington
State University of New York at Stony Brook
University of California, Santa Barbara
The University of Texas at San AntonioPolytechnic Institute of New York University
University of California, Santa Barbara
Hosur Satyamurthy, Kumar Swamy M.S.
Ghosh, Pratim Ph.D.
Ledezma, Luis M. University of South Florida M.S.E.E.
Lee, Kangsun Ph.D.
Jiang, Xianhua University of Minnesota Ph.D.
Dahal, Som Nath Arizona State University Ph.D.
Pantelidou, Athina Eleousa Tufts University M.S.
Dutta, Neilanjan University of Delaware Ph.D.Pace, Stephen Daniel Michigan State University Ph.D.
Steinmann, Andrew F. M.S.
Sane, Nimish Ph.D.
Yousefi, Siamak The University of Texas at Dallas Ph.D.
Alkhatib, Mohammed Qassim The University of Texas at El Paso M.S.
Xu, Jing M.S.
Song, Chunrong Clemson University Ph.D.
Belai, Naod University of Nevada, Las Vegas M.S.E.E.
Tao, Chengwu Iowa State University Ph.D.Higgins, Thomas University of Kansas Ph.D.Noble, Sarah Lynn Purdue University Ph.D.Staffaroni, Matteo University of California, Berkeley Ph.D.
Chu, Stephen University of California, Irvine M.S.
Yalamanchili, Sireesha Ph.D.
Sattu, Ajay Kumar University of South Carolina Ph.D.
Chatterjee, Amitabh Ph.D.
Hanay, Y. Sinan Ph.D.
Voora, Venkata M. Ph.D.
Mehta, Jaimin The University of Texas at Dallas Ph.D.
Amanipour, Reza The University of Texas at El Paso M.S.
Goksu, Fikri University of Minnesota Ph.D.
Guo, Jian Tufts University Ph.D.
Smith, Joshua T. Purdue University Ph.D.
Aboh, Victor Purdue University M.S.E.C.E.
Grover, Sachit University of Colorado at Boulder Ph.D.
University of Missouri - Kansas CityUniversity of California, Santa Barbara
State University of New York at Buffalo
State University of New York at BinghamtonUniversity of Maryland, College Park
State University of New York at Buffalo
The University of Texas at San Antonio
University of California, Santa BarbaraUniversity of Massachusetts AmherstThe University of Nebraska - Lincoln
Patil, Shruti University of Minnesota Ph.D.
Coppinger, Matthew John University of Delaware Ph.D.
Mundorf, Adrienne University of Rhode Island M.S.
See Tao, Hoong Yan The University of Texas at El Paso M.S.
Choi, Sanghun Purdue University M.S.E.C.E.
Chung, Kil Woo Stevens Institute of Technology Ph.D.
Mohiuddin, Syed Farhan Northern Illinois University M.S.
Park, Sang Phill Purdue University Ph.D.Huang, Longbo University of Southern California Ph.D.Lekeaka-Takunju, Peter Michigan State University Ph.D.
Pathak, Pranav Oklahoma State University M.S.
Ouedraogo, Raoul Ouatagom Michigan State University Ph.D.
Tomar, Shweta Clemson University M.S.
Gopalan, Raghuraman Ph.D.
Baran, Dursun The University of Texas at Dallas Ph.D.
Bursalioglu Yilmaz, Ozgun University of Southern California Ph.D.
Haque, Jamal University of South Florida Ph.D.Weatherford, Harry H., Jr. University of South Carolina Ph.D.
Fuller, Dane F. Air Force Institute of Technology Ph.D.
Helou, Jirar Nicolas University of Delaware D.Eng.Kia, Behnam Arizona State University Ph.D.
Barth, Michael J. Rochester Institute of Technology M.S.
Singh, Satinder Pal Ph.D.
Varna, Avinash Laxmisha Ph.D.
Kim, Ra Seong Purdue University Ph.D.
Ikram, Umaira The University of Texas at Dallas M.S.E.E.
Falcones Zambrano, Sixifo Daniel Arizona State University Ph.D.
Abd El Qader, Makram University of Nevada, Las Vegas M.S.E.E.
Bendapudi, Sree Satya Kanth University of South Florida M.S.E.E.
Li, Yiqian University of California, Riverside Ph.D.
Liao, Yiting Ph.D.
Chen, Cheng-Yen Ph.D.
Lim, Taesun University of California, Irvine Ph.D.
University of Maryland, College Park
University of Maryland, College ParkUniversity of Maryland, College Park
University of California, Santa BarbaraPolytechnic Institute of New York University
Mendez Ruiz, Cesar The University of New Mexico Ph.D.
Kar, Sagnik M.S.
Hewitt, Donna E. M.S.
Browne, Kenneth E. The Ohio State University Ph.D.
Magruder, Kelly Christopher University of Southern California Ph.D.
Ma, Jin Seock M.S.
Carbone, Christopher P. University of Rhode Island Ph.D.
Deaver, Joshua S. Western Carolina University M.S.
Qazi, Muhammad University of South Carolina Ph.D.
Shen, Bingxin Ph.D.
Ahn, Byungwook Ph.D.
Rahman, Mohammad Moshiur The University of Texas at Dallas Ph.D.Alayesh, Mahmoud A. The University of New Mexico Ph.D.
Zettergren, Eric William Northeastern University M.S.
Marquez Arciba, Noel Felipe The University of Texas at El Paso M.S.Mark, Michael University of California, Berkeley Ph.D.
Ranade, Ajinkya P. M.S.
Ponnuru, Sandeep Ph.D.
Li, Qian The University of Arizona Ph.D.
Zou, Jia University of California, Berkeley Ph.D.
Kuo, Po-Yu The University of Texas at Dallas Ph.D.
William, Peter E. Ph.D.
Barnett, Christopher Alan Howard University M.Eng.
Qian, Weikang University of Minnesota Ph.D.
Ramalingam, Neevan Iowa State University Ph.D.
Terlip, Daniel V. University of Colorado at Boulder M.S.
Kim, Douglas E. The University of Texas at Dallas Ph.D.
Sahoo, Swaroop Colorado State University M.S.
Zujovic, Jana Northwestern University Ph.D.
Zanwar, Anand Umeshlal Mississippi State University M.S.
Almuntashri, Ali Y. Ph.D.
Khan, Muhammad Bilal University of South Carolina Ph.D.
Crespo, William F. Indiana State University M.S.
Abbott, Jeffrey Townsley Rochester Institute of Technology M.S.
University of Missouri - Kansas CityThe University of Texas at San Antonio
University of Maryland, College Park
State University of New York at Stony BrookState University of New York at Buffalo
State University of New York at BinghamtonUniversity of California, Santa Barbara
The University of Nebraska - Lincoln
The University of Texas at San Antonio
Gu, Yajun Michigan State University Ph.D.
Nayak, Avinash Pradeep University of California, Davis M.S.
Vu, Anh Quoc University of California, Riverside Ph.D.
Benson, Donald C. San Jose State University M.S.
Prasad, Rashmi University of Minnesota Ph.D.
Urgaonkar, Rahul University of Southern California Ph.D.
Doran, Christopher M.S.
Eslami, Pooya Northern Illinois University M.S.
Bilberry, Charles Craig Mississippi State University M.S.
Rouhi, Nima University of California, Irvine Ph.D.
Sriom, Sourabh University of Nevada, Las Vegas M.S.E.E.
Huang, Xiaopeng Stevens Institute of Technology Ph.D.
Tyagi, Anurag Ph.D.
Zhang, Sean X. Colorado State University M.S.
VanTassel, Justin M.S.
Nitre Seshadri, Shreyas University of Wyoming M.S.
Saddik, George Nabih Ph.D.
Dsouza, Winston M.S.
Kim, Jiseok Ph.D.
Ng, Ka Ki Purdue University Ph.D.
Shan, Xiaonan Arizona State University Ph.D.Akyuz, Nurbanu The University of Texas at Dallas M.S.E.E.
Parva, Praveen Reddy University of Rhode Island M.S.
Wong, Brian M.S.
Kuo, Jenny University of California, Davis Ph.D.
Villegas Pico, Hugo Nestor Iowa State University M.S.
Shover, Michael Andrew Colorado State University M.S.
Rajaram, Sridhar M.S.
Supatti, Uthane Michigan State University Ph.D.
Bongo, Andrew E. Northeastern University M.S.
Yoon, Daejung University of Minnesota Ph.D.La, Hung Manh Oklahoma State University Ph.D.Rasool, Shahzada Basharat Purdue University Ph.D.
Locke, Christopher University of South Florida Ph.D.
University of California, San Diego
University of California, Santa Barbara
State University of New York at Binghamton
University of California, Santa BarbaraThe University of Texas at ArlingtonUniversity of Massachusetts Amherst
State University of New York at Binghamton
The University of Texas at Arlington
Salamat, Shuaib Purdue University Ph.D.
Zheng, Shanshan Ph.D.
Osman, Omer A.S. M.S.
Bas, Erhan Northeastern University Ph.D.
Shao, Jiayi The University of New Mexico Ph.D.
Sanchez Barbetty, Mauricio Ph.D.
Arizona State University M.S.
Palacharla, Pavan Kumar Mississippi State University M.S.
Ogunniyi, Aderinto Morgan State University D.Eng.
Lu, Yang Ph.D.
Shah, Valay Dineshbhai M.S.
Dler, Dler M.S.
Choi, Kyung-Hak The University of Texas at Dallas Ph.D.
Cherry, Derrick Dewayne Mississippi State University Ph.D.
Ballard, John Robert University of Minnesota Ph.D.
Pollock, Bradley Bolt Ph.D.
Cui, Jian Ph.D.
Jian, Yuchuan Duke University Ph.D.
Mould, Nicholas Allen The University of Oklahoma Ph.D.Liu, Yu Northeastern University Ph.D.
Kelley, Robin Lynn Mississippi State University M.S.
Kabir, Abu T. Ph.D.
Fonseca, Benedito Jose Barreto, Jr. Ph.D.
Yoon, June Seung City University of New York Ph.D.
Juarez, Joseph Moses Arizona State University Ph.D.
Theilmann, Paul Thomas Ph.D.
Merugu, Srinivas The University of Utah Ph.D.Mahmoud, Walid Mustafa University of Windsor (Canada) Ph.D.
Marijan, Malisa University of Rochester Ph.D.
Khan, Shahrukh Akbar Lehigh University Ph.D.
Fan, Xing The University of Texas at Dallas Ph.D.
Xu, Mingguang Northwestern University Ph.D.
University of Maryland, College ParkThe George Washington University
University of Massachusetts Amherst
Alampoondi Venkataramanan, Sai Balasubramanian
Polytechnic Institute of New York UniversityThe University of Texas at ArlingtonSouthern Illinois University at Carbondale
University of California, San DiegoThe University of Texas at San Antonio
University of Colorado at Colorado SpringsThe University of Wisconsin - Madison
University of California, San Diego
Venugopal, Archana The University of Texas at Dallas Ph.D.
Roberg, Michael Dean University of Colorado at Boulder Ph.D.
Steenbergen, Elizabeth H. Arizona State University Ph.D.
Kim, Jonghyun University of Delaware Ph.D.
Alfaraj, Najla Mohammed Khalid Ph.D.
Bittner, Zachary S. Rochester Institute of Technology M.S.
Li, Tao Ph.D.
Hu, Hao Ph.D.
Dickeson, Jeffrey James Arizona State University Ph.D.
Lovejoy, James L., Jr. Ph.D.
Gopalakrishna, Vanishree Bijadi The University of Texas at Dallas Ph.D.
Ratto, Christopher Ralph Duke University Ph.D.
Jia, Ruting Ph.D.
Mruk, Joseph Rene University of Colorado at Boulder Ph.D.
Decrossas, Emmanuel University of Arkansas Ph.D.
Bakir, Ahmed M. University of Colorado at Denver M.S.Lim, Se Hoon Duke University Ph.D.
Fernandez, Jose M. Ph.D.
Liu, Xijie City University of New York Ph.D.Carrillo, Rafael E. University of Delaware Ph.D.Lu, Bo California Institute of Technology Ph.D.
Agrawal, Piyush The University of Utah Ph.D.
Nguyen, Chuong T. The University of Oklahoma Ph.D.Nomani, Md. Waliullah Khan University of South Carolina Ph.D.Hanson, Timothy Lars Duke University Ph.D.
Wang, Eric X. Duke University Ph.D.
Asfour, Huda Ph.D.
Shea, Christopher G. Rochester Institute of Technology M.S.
Ou, Fang Northwestern University Ph.D.
He, Lina Ph.D.
Huang, Ying Ph.D.
Enserink, Scott Warren Ph.D.
Chen, Minhua Duke University Ph.D.
Polytechnic Institute of New York University
Washington University in St. LouisPolytechnic Institute of New York University
University of Colorado at Colorado Springs
The University of Texas at San Antonio
The Catholic University of America
The George Washington University
Washington University in St. LouisState University of New York at BuffaloUniversity of California, Los Angeles
Croft, Jessica Erin Dudley The University of Utah Ph.D.
Chen, Wayne Huai Ph.D.
Hu, Xiao Ph.D.
Zhao, Weiyi Ph.D.
Lantz, Kevin Richard Duke University Ph.D.
Zhou, Hongyu University of Colorado at Boulder Ph.D.
Cota, Juan The University of Texas at El Paso Ph.D.
Devasia, Archana Rochester Institute of Technology Ph.D.
Le, Tan Ba Ph.D.
Chun, Young Jin Iowa State University Ph.D.
Cetinoneri, Berke Ph.D.
Zhang, Fan The University of Oklahoma Ph.D.
Lelis, Aivars J. Ph.D.
Mahadevan, Vijay Ph.D.
Hu, Weisheng Brigham Young University Ph.D.
Hegde, Guruprasad M. Ph.D.
Haneda, Eri Purdue University Ph.D.
Tan, Zhibin Wayne State University Ph.D.
Chukwu, Uwakwe Christian Ph.D.
Espino Flores, Oscar Alonso The University of Texas at El Paso M.S.
Yu, Juanjuan The University of Texas at Dallas Ph.D.
Kemmet, Sasha Iowa State University Ph.D.
Hsu, Hao-Han Purdue University Ph.D.
Friedrichs, Daniel A. University of Colorado at Boulder Ph.D.
Arizona State University Ph.D.
Song, Yu Ph.D.
Sharma, Rajnikant Brigham Young University Ph.D.
Thevaril, Jasmin Joseph University of Windsor (Canada) Ph.D.
Lewis, Shane Michael Ph.D.
Nidhi Ph.D.
Nalwa, Kanwar Singh Iowa State University Ph.D.
Cox, Russell Marquette University Ph.D.
University of California, San DiegoThe University of Texas at ArlingtonThe University of North Carolina at Charlotte
Polytechnic Institute of New York University
University of California, San Diego
University of Maryland, College ParkUniversity of California, San Diego
University of Arkansas at Little Rock
Tennessee Technological University
Paataguppe Suryanarayan Bhat, Chandrashekhar Thejaswi
Tennessee Technological University
State University of New York at BinghamtonUniversity of California, Santa Barbara
Olson, Nicholas Allen Ph.D.
Han, Kihwan Purdue University Ph.D.Hill, Bryce Ensign The University of Utah Ph.D.
Lee, Taehun The University of Texas at Dallas Ph.D.
Wang, Pu Stevens Institute of Technology Ph.D.
Jaber, Mustafa I. Rochester Institute of Technology Ph.D.
Zhu, Wei Mississippi State University Ph.D.
Selvarasah, Selvapraba Northeastern University Ph.D.
Phillips, Brian S. Brigham Young University Ph.D.
Ramamurthy, Jayanth R. Michigan Technological University Ph.D.
Wang, Peter H. University of Wyoming M.S.
Kim, Ki Chul University of Colorado at Boulder Ph.D.
Lim, Boon Pang Ph.D.
Lei, Yun The University of Texas at Dallas Ph.D.
Abu-Nimeh, Faisal T. Michigan State University Ph.D.
Moon, Sungwoo University of Colorado at Boulder Ph.D.
Low, Tze-Ping University of Southern California Ph.D.
Pate, Ryan Duke University Ph.D.
Kim, Kyoung-Dae Ph.D.
Kuo, Ping Piu Ph.D.
Li, Xiaowei University of Delaware Ph.D.
Jang, Min-Woo University of Minnesota Ph.D.
Taghavi, Zeinab Ph.D.
Wong, Molly Donovan The University of Oklahoma Ph.D.
Kumar, Dinesh The University of Iowa Ph.D.
Reddy, Nagilla Dikpal Ph.D.
Schnell, Ryan Wei-Ming University of Colorado at Boulder Ph.D.
Bayram, Can Northwestern University Ph.D.
Zhang, Deyin Ph.D.
Sastry, Shekhar Bangalore Ph.D.
Chang, Yung-Yeh Ph.D.
Jiang, Xuguang The University of Iowa Ph.D.
University of Illinois at Urbana-Champaign
University of Illinois at Urbana-Champaign
University of Illinois at Urbana-ChampaignUniversity of California, San Diego
University of California, San Diego
University of Maryland, College Park
University of Massachusetts AmherstState University of New York at Stony BrookVirginia Commonwealth University
Wang, Meng Ph.D.
Naqvi, Syed Roomi Arizona State University Ph.D.
Aboussouan, Eric Arizona State University Ph.D.
Rahman, Mohammad Tayabur The University of Texas at Dallas Ph.D.
Zhang, Yu University of Miami Ph.D.
Yan, Jie Iowa State University Ph.D.
Hsu, Ming Kai D.Sc.
Ernst, Joseph M. Purdue University Ph.D.
Fu, Chuan Iowa State University Ph.D.
Reyland, John M., Jr. The University of Iowa Ph.D.
Jayaraman Raghuram, Karthik Ph.D.
Lee, Sun Hee Purdue University Ph.D.
Valley, Justin K. University of California, Berkeley Ph.D.
Lee, Jong-Ha Temple University Ph.D.
Liao, Chi-Chih Ph.D.
West, Roger D. Utah State University Ph.D.Baez Rivera, Yamilka Isabel Mississippi State University Ph.D.
Hao, Ruiying University of Delaware Ph.D.
Han, Sunhyoung Ph.D.
Cullens, E. D. University of Colorado at Boulder Ph.D.
Powers, Michael Annona Ph.D.
Richards, Alyssa Magleby The University of Utah Ph.D.
Sunkam Ramanujam, Rohit Ph.D.
Mosley, James Arizona State University Ph.D.
Majumdar, Shantanu Michigan State University Ph.D.
Appuswamy, Rathinakumar Ph.D.
Kolluri, Seshadri K. Ph.D.
Deng, Guoqing University of Windsor (Canada) Ph.D.
Lin, Chenxi The University of Oklahoma Ph.D.
Punnamaraju, Srikoundinya University of Cincinnati Ph.D.
Yang, Ou University of Rochester Ph.D.
Li, Ju-Ching Ph.D.
State University of New York at Stony Brook
The George Washington University
University of California, Santa Barbara
University of Illinois at Urbana-Champaign
University of California, San Diego
University of Maryland, College Park
University of California, San Diego
University of California, San DiegoUniversity of California, Santa Barbara
The University of Texas at Arlington
Wu, Shang Chieh The University of Utah Ph.D.Tummidi, Ravi Sekhar Lehigh University Ph.D.
Jin, Yuzhe Ph.D.
Ramasamy, Lakshminarayanan University of Cincinnati Ph.D.
Mitra, Kaushik Ph.D.
Kyung, Gyu Bum Purdue University Ph.D.
Guzelgoz, Sabih University of South Florida Ph.D.
Korkua, Suratsavadee Koonlaboon Ph.D.
Safar, Mohammad A.A.A. Ph.D.
Laxminarayan, Srinivas Northeastern University Ph.D.
Hopf, Anthony P. Ph.D.
Rodriguez-Seda, Erick J. Ph.D.
Guzzon, Robert S. Ph.D.
Tsai, Chin-Chu Ph.D.
Zhang, Rui City University of New York Ph.D.
Kang, Young Hoon University of Southern California Ph.D.
Varma, Sanjay Ramesh Ph.D.
Zameroski, Nathan D. The University of New Mexico Ph.D.
Iyengar, Satish Giridhar Syracuse University Ph.D.
Jothimuthu, Preetha University of Cincinnati Ph.D.
Sanders, Jason M. University of Southern California Ph.D.
Mohammed, Mahmoud M. Kansas State University Ph.D.
Kim, Joohwa Ph.D.
Chen, Zhe Ph.D.
Saraf, Iqbal Rashid The University of Texas at Dallas Ph.D.
Raman, Kalyan Wayne State University Ph.D.
Wong, Tak Shing Purdue University Ph.D.
Jacobson, Natan Haim Ph.D.
Al Akkoumi, Mouhammad The University of Oklahoma Ph.D.McCurry, Charles D. Tennessee State University Ph.D.
Beaudoin, Brian Louis Ph.D.
Almalkawi, Mohammad J. The University of Toledo Ph.D.
Tan, Dawn Tse Hui Ph.D.
University of California, San Diego
University of Maryland, College Park
The University of Texas at ArlingtonUniversity of Maryland, College Park
University of Massachusetts AmherstUniversity of Illinois at Urbana-ChampaignUniversity of California, Santa BarbaraThe University of Texas at Arlington
University of Maryland, College Park
University of California, San DiegoTennessee Technological University
University of California, San Diego
University of Maryland, College Park
University of California, San Diego
Karamchandani, Nikhil Ph.D.
Mojumder, Niladri Narayan Purdue University Ph.D.
Wang, Weike Lehigh University Ph.D.
Tang, Gongguo Ph.D.
Hur, Seong-Ho Ph.D.
Shen, Ruijing University of California, Riverside Ph.D.
Liu, Keng-Hao Ph.D.
Qiu, Liang University of Delaware Ph.D.
Tippey, Jeffrey Scott M.S.
Yang, Jeng-Yuan University of Southern California Ph.D.
Kefauver, W. Neill University of Colorado at Boulder Ph.D.
Leonardi, Bruno Henrique Silveira Iowa State University Ph.D.
M.S.E.E.
Kim, Woo-Shik University of Southern California Ph.D.
Ritter, Richard Shane Ph.D.
Mountney, John M. Temple University Ph.D.
Leskiw, Donald Myron Syracuse University Ph.D.
Punch, A. J. Western Carolina University M.S.
Rasouli, Seid Hadi Ph.D.
Nikolic, Marija Ph.D.
Wang, Xiaoyan University of Nevada, Reno Ph.D.
Gu, Yang Iowa State University Ph.D.
Qiu, Kun Iowa State University Ph.D.
Galloway, Kevin Ph.D.
Shyam, Ashutosh Iowa State University Ph.D.
Elkholy, Emir Ph.D.
Rogers, Al-Aakhir A. University of South Florida Ph.D.
Nassar, Christopher James Rochester Institute of Technology Ph.D.
Wang, Yiren University of California, Davis Ph.D.
Munoz, Alvaro The University of Texas at Dallas Ph.D.
khayat Moghaddam, Elham The University of Iowa Ph.D.
Uzoechi, Lazarus Okechukwu Ph.D.
University of California, San Diego
Washington University in St. LouisUniversity of California, San Diego
University of Maryland, Baltimore County
The University of Texas at Arlington
Praveen Balaji, Thangamani Balasubramanian
The University of Texas at Arlington
The University of North Carolina at Charlotte
University of California, Santa BarbaraWashington University in St. Louis
University of Maryland, College Park
Rutgers The State University of New Jersey - New Brunswick
Tennessee Technological University
Ma, Zhan Ph.D.
Creazzo, Timothy A. University of Delaware Ph.D.
Eberhardt, Gerald M. Colorado State University M.S.
Liu, Wei Syracuse University Ph.D.
Tellez, Jason A. Air Force Institute of Technology Ph.D.
Krishnamurthy, Kalyani Duke University Ph.D.
Adams, Jacob J. Ph.D.
Yang, Yi-Hua Edward University of Southern California Ph.D.
Mischiati, Matteo Ph.D.
Reyes Rodriguez, Solimar Michigan State University Ph.D.
Rajwade, Jaisingh Cleveland State University D.E.
Yu, Jiao Ph.D.
Qu, Bin Ph.D.
Ioannou, Ioannis City University of New York Ph.D.
Musunuri, Shravana Kumar Mississippi State University Ph.D.
Fulton, Caleb J. Purdue University Ph.D.
Bowen, David Ph.D.
Hosoda, Takashi Ph.D.
Guerra, Diego Arizona State University Ph.D.
Kim, Hobin Ph.D.
Kang, Sang Hee University of Colorado at Boulder Ph.D.
Cantley, Kurtis D. The University of Texas at Dallas Ph.D.
Farahmand, Shahrokh University of Minnesota Ph.D.
Suo, Shaohua Marquette University M.S.
Hess, Allison Elizabeth Case Western Reserve University Ph.D.
Haghpanahi, Masoumeh Ph.D.
Luo, Yabing Brigham Young University Ph.D.
Lu, Xuesong University of Delaware Ph.D.
Li, Chih-ping University of Southern California Ph.D.
Neufeld, Carl Joseph Ph.D.
Roget, Adam Brent The University of Alabama M.S.
Polytechnic Institute of New York University
University of Illinois at Urbana-Champaign
University of Maryland, College Park
University of Maryland, College ParkState University of New York at Buffalo
University of Maryland, College ParkState University of New York at Stony Brook
University of California, San Diego
University of Maryland, College Park
University of California, Santa Barbara
Lu, Jie The University of Oklahoma Ph.D.
Kelly, John Joseph, Jr. M.S.
Swenson, Brian L. Ph.D.
Allais, Anthony A. University of Wyoming M.S.
Jain, Vibhor Ph.D.
Tjoa, Steven Kiemyang Ph.D.
Olac-vaw, Roman Ph.D.
Hatami, Safar University of Southern California Ph.D.
Venkateswaran, Sriram Ph.D.
Keane, Thomas P. Rochester Institute of Technology M.S.
Iyer, Lakshmi Varaha University of Windsor (Canada) M.A.Sc.
Nataraj, Raviraj Case Western Reserve University Ph.D.
Luo, Cheng Iowa State University Ph.D.
Timpson, Erik Joesph M.S.
Toure, Amadou City University of New York Ph.D.
Kavallappa, Tejaswini M.S.
McKay, Kyle Duke University Ph.D.
Stingu, Petru Emanuel Ph.D.
Mersich, Peter T. Ph.D.
Choi, Charles J. Ph.D.
Deb, Sanchali Ph.D.
Fu, Michael J. Case Western Reserve University Ph.D.
Yang, Fuchyi Ph.D.
Limaye, Omkar Deepak M.S.
Grichener, Alexander Ph.D.
Alessi, David Alan Colorado State University Ph.D.
Kumari, Anita University of South Florida Ph.D.
Haldar, Justin P. Ph.D.
Duan, Jingbo Iowa State University Ph.D.
Butterworth, Jeffrey A. University of Colorado at Boulder Ph.D.
Khalaf, Taha Abdelshafy Abdelhakim Iowa State University Ph.D.
State University of New York at BinghamtonUniversity of California, Santa Barbara
University of California, Santa BarbaraUniversity of Maryland, College ParkState University of New York at Buffalo
University of California, Santa Barbara
University of Missouri - Kansas City
University of Maryland, Baltimore County
The University of Texas at ArlingtonState University of New York at BuffaloUniversity of Illinois at Urbana-ChampaignThe University of Texas at Arlington
University of Illinois at Urbana-ChampaignThe University of Texas at ArlingtonUniversity of California, San Diego
University of Illinois at Urbana-Champaign
Scipion, Danny The University of Oklahoma Ph.D.
Christiansen, Bradley D. Air Force Institute of Technology Ph.D.
Li, Huanlin Ohio University Ph.D.
Tolstoy, Leonid Colorado State University Ph.D.
Haas, Alexander W. Purdue University Ph.D.
Dasgupta, Sansaptak Ph.D.
Choi, Woo-Hyuck University of Cincinnati Ph.D.
Wang, Zheng Colorado State University Ph.D.
Yan, Rongjin Colorado State University Ph.D.
Antony Jayasekar, Sathish Vimalraj San Jose State University M.S.
Lin, Yan-You Duke University Ph.D.
Ashraf, Nabil Shovon Arizona State University Ph.D.
Gilmore, Erwin Thomas Howard University Ph.D.
Aleksanyan, Arnak Duke University Ph.D.
Cura, Ahmet Sarp M.S.
Jakushokas, Renatas University of Rochester Ph.D.
Norberg, Erik J. Ph.D.
Khan, Muhammad Raashid Ph.D.
Lee, William W. Duke University Ph.D.
Villarreal Marimon, Jose Ignacio Iowa State University M.S.
Goergen, Nathan Ph.D.
Obi, Ogheneyunume Ighogbedhe Northeastern University Ph.D.
Wang, Ruilin Purdue University Ph.D.
Tao, Tao M.S.
Bando, Masanori Ph.D.
Mazumdar, Arya Ph.D.
Fang, Hongxia Duke University Ph.D.
Gu, Wen The University of Oklahoma Ph.D.
Park, Je Min University of California, Berkeley Ph.D.
Chin, Eric Yiow-Bing University of California, Berkeley Ph.D.
Chen, Yan Ph.D.
Ashtawy, Hossam Mohamed Farg Michigan State University M.S.
University of California, Santa Barbara
State University of New York at Binghamton
University of California, Santa BarbaraSouthern Illinois University at Carbondale
University of Maryland, College Park
University of Maryland, College ParkPolytechnic Institute of New York UniversityUniversity of Maryland, College Park
University of Maryland, College Park
Sadasivam, Shankar Ph.D.
Chabot, Eugene J. University of Rhode Island Ph.D.
Xu, Wenjie Michigan Technological University Ph.D.
Pigg, Scott Anthony The University of Utah Ph.D.
Wang, Jing Iowa State University Ph.D.
Mahrooghy, Majid Mississippi State University Ph.D.
Vretenar, Natasa The University of New Mexico Ph.D.
Chan, Ho Ph.D.
Arikatla, VaraPrasad The University of Alabama Ph.D.
Ghosh, Prasanta Kumar University of Southern California Ph.D.
Rakvongthai, Yothin Ph.D.
Barhouse, Katrina H. Marquette University M.S.
Palekis, Vasilios University of South Florida Ph.D.
Zhu, Wei The University of Toledo PEG
Chu, Shan Ph.D.
Chen, Jianfeng Ph.D.
Li, Shizheng Iowa State University Ph.D.
Chi, Yu Mike Ph.D.
Sui, Yunfeng Ph.D.
Pandey, Ashutosh The University of Utah Ph.D.Zhang, Zhi Colorado State University Ph.D.Jin, Chunlian University of South Carolina Ph.D.Bulan, Orhan University of Rochester Ph.D.
Kim, HongKwon Ph.D.
Ortiz Segovia, Maria Valezzka Purdue University Ph.D.
Ding, Hengzhou Purdue University Ph.D.
Samala, Ravi K. The University of Texas at El Paso Ph.D.
Shi, Cuizhu Iowa State University Ph.D.
Al-Naimi, Majid Ph.D.
Saadeh, Osama Shihadeh University of Arkansas Ph.D.
Vaishnav, Shesh Narayan Das M.S.
Ji, Limin University of Rochester Ph.D.
Lundberg, Erik Thomas Cornell University Ph.D.
University of Illinois at Urbana-Champaign
University of California, San Diego
The University of Texas at Arlington
State University of New York at Stony BrookState University of New York at Stony Brook
University of California, San DiegoThe University of North Carolina at Charlotte
University of California, San Diego
The George Washington University
The University of Texas at San Antonio
Lai, Po-Hsiang D.Sc.
Lee, Kyu Sung Arizona State University Ph.D.
Madera, Manuel M.S.
Cote, Carle Ph.D.
Sultornsanee, Sivarit Northeastern University Ph.D.
Adam, Elyse Ph.D.
Contreras Ortiz, Sonia Helena University of Connecticut Ph.D.
Bahn, William Louis Ph.D.
Wang, Hanfei Columbia University Ph.D.
Okasha, Mohamed Elsayed Aly Abd Elaziz Old Dominion University Ph.D.
Guo, Yi The University of Oklahoma Ph.D.
Moumbe, Arno Patrice M.Sc.A.
Huang, Wanjun The University of Texas at Dallas Ph.D.
Ragunathan, Sudarshan Arizona State University M.S.
Yousef, Amr Old Dominion University Ph.D.
Seddighrad, Parmoon University of Washington Ph.D.
Gnerlich, Markus Hans Lehigh University Ph.D.
Chandrasekar, Mithun Northeastern University M.S.
Motte, Robert Daniel University of South Carolina M.S.
Rockhill, Andrew Allen Ph.D.
Sengele, Sean Ph.D.
Spuhler, Philipp S. Boston University Ph.D.
Hu, Qingcong University of Colorado at Boulder Ph.D.
Mukherjee, Rishiraj University of South Florida M.S.E.E.
Mahkoum, Hicham M.Eng.
Zhao, Yanxiao Old Dominion University Ph.D.
Alatishe, Jimmy Olamoroti Ph.D.
Petrica, Paula Cornell University Ph.D.
Kellis, Spencer Sterling The University of Utah Ph.D.
Kebarighotbi, Ali Boston University Ph.D.
Lee, Jonathan Yi Ho University of Rochester Ph.D.
Washington University in St. Louis
University of Massachusetts LowellUniversite de Sherbrooke (Canada)
Ecole Polytechnique, Montreal (Canada)
University of Colorado at Colorado Springs
Ecole Polytechnique, Montreal (Canada)
The University of Wisconsin - MadisonThe University of Wisconsin - Madison
Ecole de Technologie Superieure (Canada)
The George Washington University
Hawi, Firas M.Sc.A.
Ding, Qian M.S.
Nieto-Wire, Clara B. City University of New York Ph.D.Michael, Stefanos Arizona State University M.S.
Kass, Mason Andrew Colorado School of Mines Ph.D.
Mohamed, Safaa Carleton University (Canada) M.A.Sc.
Wolf, Christopher M. Ph.D.
Chen, Nancy Fang-Yih Ph.D.
Arfin, Scott Kenneth Ph.D.
Balbaa, Islam Carleton University (Canada) M.A.Sc.
Harding, Matthew J. University of New Hampshire M.S.
Hewett, Russell Joseph Ph.D.
Rapoport, Benjamin Isaac Ph.D.
Sun, Yuze University of Michigan Ph.D.
Kulkarni, Ojas P. University of Michigan Ph.D.
Wong, Mark Englin Ph.D.
Asmari Sadabad, Ali Oklahoma State University M.S.
Slama, Michael C. C. Ph.D.
Riemann, Reina Ph.D.
Rosales-Garcia, Andrea Boston University Ph.D.
Ansari, Naveed Mohammed Asgar Auburn University Ph.D.
Hajimorad, Meghdad University of California, Berkeley Ph.D.
Chen, Albert B. K. University of Pennsylvania Ph.D.
Boucher, Patrice M.Sc.A.
Ou, Yu-Chin Ph.D.
Haulot, Gauvain Ph.D.
Heidari, Amin University of Toronto (Canada) M.A.Sc.Li, Gang Oklahoma State University M.S.
Lim, Norman Carleton University (Canada) M.A.Sc.
Bray, Justin Patrick University of New Hampshire M.S.
Singaraju, Janardhan University of Connecticut Ph.D.
White, Daniel B. Ph.D.
Chisum, Jonathan David University of Colorado at Boulder Ph.D.Huang, Minyang Northeastern University M.S.
Ecole Polytechnique, Montreal (Canada)University of California, San Diego
The University of Wisconsin - MadisonMassachusetts Institute of TechnologyMassachusetts Institute of Technology
University of Illinois at Urbana-ChampaignMassachusetts Institute of Technology
University of Maryland, Baltimore County
Massachusetts Institute of TechnologyMassachusetts Institute of Technology
Ecole Polytechnique, Montreal (Canada)University of California, San DiegoUniversity of California, Los Angeles
Massachusetts Institute of Technology
Lynch, Goran A. University of Pennsylvania Ph.D.Kostinski, Natalie Bernis Princeton University Ph.D.
Ginsberg, Craig M.E.
Yang, Jianchao Ph.D.
Lee, Kevin Shao-Kwan Ph.D.
Liu, Dan Auburn University Ph.D.
Lu, Yi University of Connecticut Ph.D.
Xu, Peng Clemson University M.S.
Richoux, William J. Ph.D.
Borowski, Brian S. Stevens Institute of Technology Ph.D.
Ambokar, Madhumita M.S.
Yu, Hang Rice University M.S.
Rossman, Mark Alexander Florida International University Ph.D.
Manikantan Shila, Devu Illinois Institute of Technology Ph.D.
Wiebold, Matthew D. Ph.D.
Sun, Nan University of Notre Dame Ph.D.
Srivastava, Kunal Ph.D.
Vigevani, Gabriele University of California, Berkeley Ph.D.
Bhasin, Shubhendu University of Florida Ph.D.
Quttoum, Ahmad D.Eng.
Smith, Kyle Christopher Ph.D.
Dreslinski, Ronald University of Michigan Ph.D.
Kilinc-Karzan, Fatma Georgia Institute of Technology Ph.D.
Kim, Yoon Chung University of Michigan Ph.D.
Ouyang, Cheng Ph.D.
Kraya, Ramsey A. University of Pennsylvania Ph.D.
Jayaraman, Jaianand M.S.
Thorolfsson, Thorlindur North Carolina State University Ph.D.Jia, Zhang Columbia University Ph.D.
Chu, Kevin Christopher Ph.D.
Rasem, Ahmad Carleton University (Canada) M.A.Sc.
Kaplan, Alan D. Ph.D.
Pugh, Matthew Owen Ph.D.
The Cooper Union for the Advancement of Science and ArtUniversity of Illinois at Urbana-ChampaignMassachusetts Institute of Technology
Massachusetts Institute of Technology
The University of Texas at Arlington
The University of Wisconsin - Madison
University of Illinois at Urbana-Champaign
Ecole de Technologie Superieure (Canada)Massachusetts Institute of Technology
University of Illinois at Urbana-Champaign
Tennessee Technological University
University of California, Los Angeles
Washington University in St. LouisUniversity of California, San Diego
Vanderford, John D. Northern Illinois University M.S.
Murcia Salazar, Clara Paola University of Delaware Ph.D.
Golestani, Ali-Mohammad University of Calgary (Canada) Ph.D.
Yang, Qing Auburn University Ph.D.
Kim, Hoon-sik Ph.D.
Young, Joseph Keith Rice University M.S.
Evans, Tristan University of Arkansas M.S.E.E.Ying, Huajun Texas A&M University Ph.D.
Aggarwal, Vikas University of Florida Ph.D.
Fouad, Yaser Mohamed Mostafa Carleton University (Canada) M.A.Sc.
Russell, Harry Benjamin University of Louisville M.Eng.
Mandal, Suman Kalyan Texas A&M University Ph.D.
Chen, Xi Rensselaer Polytechnic Institute Ph.D.
Smullen, Clinton Wills, IV University of Virginia Ph.D.
La Fratta, Patrick Anthony University of Notre Dame Ph.D.
Wang, Yi-Ju University of Houston Ph.D.
Escarra, Matthew David Princeton University Ph.D.
Boyer, Stephane M.Eng.
Agyekum, Melinda Y. Columbia University Ph.D.
Sinha, Nipun University of Pennsylvania Ph.D.
Parichha, Smruti University of California, Riverside M.S.
Hamilton, Lei H. Georgia Institute of Technology Ph.D.
Gagoski, Borjan Aleksandar Ph.D.
Lyle, Matthew Michigan State University M.S.
Vu, Huy Khanh Ph.D.
Emami, Kiarash University of Pennsylvania Ph.D.
Richard, Emile Carleton University (Canada) M.A.Sc.
Guo, Liang Ph.D.
Wang, Yongqiang Ph.D.
Couture, Simon M.Sc.A.
Lemay, Elizabeth Anne Ph.D.
Dabideen, Stephen Ph.D.
University of Illinois at Urbana-Champaign
Ecole de Technologie Superieure (Canada)
Massachusetts Institute of Technology
The Claremont Graduate University
Georgia Institute of Technology and Emory UniversityUniversity of Maryland, College ParkEcole Polytechnique, Montreal (Canada)University of Illinois at Urbana-ChampaignUniversity of California, Santa Cruz
Ozcanli Ozbay, Ozge C. Brown University Ph.D.
Wattanapanitch, Woradorn Ph.D.
Wang, Jiaqi University of Denver M.S.
Leelardcharoen, Kanoknart Georgia Institute of Technology Ph.D.
Forrester, Robert W. Carleton University (Canada) M.A.Sc.Parmar, Dilip University of Guelph (Canada) M.Sc.
Wang, Xiaoting University of Delaware Ph.D.
Hu, Yushi University of Pittsburgh Ph.D.
Kim, Sungwon North Carolina State University Ph.D.
Alcazar-Ortega, Manuel University of South Florida Ph.D.
Varadarajan, Balakrishnan The Johns Hopkins University Ph.D.
Cossairt, Oliver Columbia University Ph.D.Hormati, Amir H. University of Michigan Ph.D.
Lin, Hai University of Connecticut Ph.D.
Liu, Fang North Carolina State University Ph.D.
Porter, Joseph E. Vanderbilt University Ph.D.
Bales, Michael Ryan Georgia Institute of Technology Ph.D.
Wu, Hai Ph.D.
Therdsteerasukdi, Kanit Ph.D.
Johnson, Blake Robert Yale University Ph.D.Knutson, Christopher C. Oregon State University Ph.D.
Huang, Xian Columbia University Ph.D.
Jehannie-Lacasse, Julien M.Sc.A.
Kim, Seok Texas A&M University Ph.D.
Choudhury, Mihir Rice University Ph.D.Gupta, Nikhil Texas A&M University Ph.D.
Lee, Se-Hoon The University of Texas at Austin Ph.D.
Lam, Kong Ph.D.
Shirazi, Farzad A. University of Houston Ph.D.Bhagawat, Pankaj Texas A&M University Ph.D.
Kim, Young Ha Texas A&M University Ph.D.
Haim Faridian, Parisa Carleton University (Canada) M.A.Sc.
Aftabjahani, Seyed-Abdollah Georgia Institute of Technology Ph.D.
Caytak, Hershel Carleton University (Canada) M.A.Sc.
Massachusetts Institute of Technology
University of Illinois at Urbana-ChampaignUniversity of California, Los Angeles
Ecole Polytechnique, Montreal (Canada)
University of Illinois at Urbana-Champaign
De La Cruz, Jaime R. The University of Texas at El Paso M.S.
Keehr, Edward A. California Institute of Technology Ph.D.
Bertolazzi, Simone M.Sc.A.
Cheung, Brian W. M.E.
Lareau, Etienne M.Sc.A.
Saad, Souhail M. University of Northern Iowa M.S.Rodionov, Alex University of Toronto (Canada) M.A.Sc.
Jiang, Jiayan Ph.D.
Mukherjee, Kushal The Pennsylvania State University Ph.D.
Li, Xiaopeng Ph.D.
Cheng, Jing University of Rochester Ph.D.
Yu, Juanyi Ph.D.
Jiang, Li University of Michigan Ph.D.
Rivas, Annette O. Rochester Institute of Technology M.S.
Kong, Chae-Ryon Ph.D.
Isobe, Jun Ph.D.
Bijlani, Bhavin J. University of Toronto (Canada) Ph.D.
Hodges, Nicholas M.S.
Gollapalli, Ravi Paul Ph.D.
Clay, James N., III M.S.
Moon, Sungwook University of Florida Ph.D.
Yoruk, Erdem The Johns Hopkins University Ph.D.
Aschenbach, Konrad Hsu Ph.D.
Ahmed, Syed Illinois Institute of Technology Ph.D.Lim, Jun Bum North Carolina State University Ph.D.
Schmitt, John C. Ph.D.
Huang, Ray Kui-Jui California Institute of Technology Ph.D.Cordovez, Bernardo Cornell University Ph.D.Saudari, Sangameshwar Rao University of Pennsylvania Ph.D.
Vejarano, Gustavo University of Florida Ph.D.
Tie, Yun Ryerson University (Canada) Ph.D.
Sani, Ardalan Amiri Rice University M.Sc.
Landon, Jonathan C. Brigham Young University Ph.D.
Ecole Polytechnique, Montreal (Canada)The Cooper Union for the Advancement of Science and ArtEcole Polytechnique, Montreal (Canada)
University of California, Los Angeles
University of Massachusetts Lowell
Washington University in St. Louis
Massachusetts Institute of TechnologyUniversity of California, Los Angeles
Tennessee Technological UniversityThe University of Alabama in HuntsvilleCalifornia State University, Fullerton
University of Maryland, College Park
The University of Wisconsin - Madison
Li, Zhuo Temple University M.S.E.E.Ali, Kashif Queen's University (Canada) Ph.D.
Veyseh, Marzieh Ph.D.
Bei, Zongmin University of Rochester Ph.D.
Zhang, Yulei Ph.D.
Kumar, M. Ravi Ph.D.
Love, Robert D. University of Florida Ph.D.
Tu, Chang-Ching University of Washington Ph.D.
Ghanbari, Yasser Southern Methodist University Ph.D.
de la Rosa-Pohl, Diana G. University of Houston Ed.D.
Sun, Yu Ph.D.
Larsen, Paul Benjamin Ph.D.
Aydonat, Utku University of Toronto (Canada) Ph.D.
Cui, Chunhui Ph.D.
Kuo, Cheng-Hao University of Southern California Ph.D.
Sanchez Esqueda, Ivan Arizona State University Ph.D.
France, Christopher E. Ph.D.
Lee, Dongwon Georgia Institute of Technology Ph.D.
Ogden, Joshua M.S.
Zou, Yang Ph.D.
Zhuo, Jiachen Ph.D.
Christophersen, Jon Petter Montana State University Ph.D.
Zhang, Lili University of Connecticut Ph.D.
Jin, Renfeng Lehigh University Ph.D.
Honea, James W. Ph.D.
Novak, Cameron James M.S.
Shaer, Bassel M.Sc.A.
Yu, Huili Brigham Young University Ph.D.
Lo, Chien-Fong University of Florida Ph.D.Murakami, Trevin University of California, Davis M.S.
Han, Seung-Yeol Oregon State University Ph.D.
Dasika, Ganesh Suryanarayan University of Michigan Ph.D.
Urban, Christopher S. Rochester Institute of Technology Ph.D.
University of California, Santa Cruz
University of California, San DiegoIndian Institute of Technology, Kharagpur (India)
University of Illinois at Urbana-ChampaignUniversity of Maryland, College Park
The Chinese University of Hong Kong (Hong Kong)
University of California, Santa Cruz
Southern Illinois University at CarbondaleThe University of Alabama in HuntsvilleUniversity of Maryland, College Park
University of California, Santa BarbaraUniversity of Maryland, College ParkEcole Polytechnique, Montreal (Canada)
Dhamdhame, Amit Subhash Texas A&M University - Kingsville M.S.
Diaz Pinto, Carlos A. University of Houston Ph.D.
Fernandes, Poornika Gayathri The University of Texas at Dallas Ph.D.
Dhar, Bal Mukund The Johns Hopkins University Ph.D.
Elkholy, Ryan M. Ph.D.
Krishnamachari, R. T. University of Colorado at Boulder Ph.D.
Stanowski, Radoslaw Wojciech Ph.D.
Schmidt, Andrew Gregory Ph.D.
Mohammad, Wajihuddin Louisiana Tech University Ph.D.
Yang, Tsung-Han North Carolina State University Ph.D.
Wu, Chen Tufts University M.S.
Long, Yong University of Michigan Ph.D.
Yoon, Uooyeol D.Sc.
Xu, Hui Ph.D.
Zhao, Bo The Pennsylvania State University Ph.D.Alomair, Basel University of Washington Ph.D.
Peng, Renbin Syracuse University Ph.D.
Lei, Bao Ph.D.
Jeon, Sae Il Texas A&M University Ph.D.
Omiwade, Oluwasoji University of Houston Ph.D.
Barnes, Connelly Princeton University Ph.D.
Du, Dung K. Purdue University Ph.D.Muralidhar, Ashwini Arizona State University M.S.
Atesal, Yusuf A. Ph.D.
Hemond, Brian D. Ph.D.
Li, Peng University of Houston Ph.D.
Johnson, Michael S. Brigham Young University Ph.D.
Kelouwani, Sousso Ph.D.
Kim, Jinwoo North Carolina State University Ph.D.
Solak, Ibrahim Cagatay M.S.
Blitstein, Adam M. University of Nevada, Reno M.S.
Rutgers The State University of New Jersey - New Brunswick
Universite de Sherbrooke (Canada)The University of North Carolina at Charlotte
Washington University in St. LouisUniversity of California, Santa Cruz
University of California, Los Angeles
University of California, San DiegoMassachusetts Institute of Technology
Ecole Polytechnique, Montreal (Canada)
University of Massachusetts Lowell
Alvarado, Alejandro New Mexico State University Ph.D.
Ahmed, Hany Ahmed Abdelsalam Ph.D.
Poh, Ming-Zher Ph.D.
Chaidez, Miguel Angel The University of Texas at El Paso M.S.
Castello, Charles C. Florida International University Ph.D.
Lambert, Darcy Erin Portland State University M.S.
Feng, Ke Texas A&M University Ph.D.
Yang, Ting University of Alaska Anchorage M.S.
Metzger, Andre G. Ph.D.
Garalde, Daniel R. Ph.D.
Blanchard, Jonathan Universite de Moncton (Canada) M.Sc.A.
Teshome, Fiona M.S.
Siriani, Dominic Francis Ph.D.
Ansari, Amin University of Michigan Ph.D.
Schneider, Patrick Erik Ph.D.
Gomez, Matthew Robert University of Michigan Ph.D.
Wee, Chiau Yen Multimedia University (Malaysia) M.Eng.Sc.
He, Ming Rensselaer Polytechnic Institute Ph.D.
Kumari, Pravesh University of Florida Ph.D.
Lai, Puxiang Boston University Ph.D.
Yu, Xiaochun Carnegie Mellon University Ph.D.Camacho, Andrew Phillip Duke University M.S.
Cho, Yong Hee Texas A&M University Ph.D.
Cano, Jose Arizona State University M.S.
Liu, Hengchang University of Virginia Ph.D.
Zheng, Peng Carnegie Mellon University Ph.D.
Tkachuk, Sergiy Ph.D.
Fan, Wenbin University of Virginia Ph.D.
Woh, Mark University of Michigan Ph.D.Ma, Bojiang University of Victoria (Canada) M.A.Sc.
Wang, Tianyu Tom Ph.D.
Kamgarpour, Maryam University of California, Berkeley Ph.D.
Fei, Fei Ph.D.
Nusairat, Ashraf Illinois Institute of Technology Ph.D.
Southern Illinois University at CarbondaleMassachusetts Institute of Technology
University of California, San DiegoUniversity of California, Santa Cruz
The University of Texas at San AntonioUniversity of Illinois at Urbana-Champaign
The University of Wisconsin - Madison
University of Maryland, College Park
Massachusetts Institute of Technology
The Chinese University of Hong Kong (Hong Kong)
Kaanta, Bradley Carter Boston University Ph.D.
Ghadiok, Vaibhav Utah State University M.S.Feng, Shuguang University of Michigan Ph.D.
Gao, Weihua Syracuse University Ph.D.
French, David Michael University of Michigan Ph.D.
Tan, Wee Chong Texas A&M University Ph.D.
Dauby, Jason P. Ph.D.
Khalsa, Kimberly A. University of Michigan Ph.D.Gonzales, Erik Kirklind Oklahoma State University M.S.Pandarinath, Chethan Cornell University Ph.D.
Todorov, Geuorgui George M.E.
Khreich, Wael D.Eng.
Fantoni, Julie M.Sc.A.
Holmes, Matthew R. Brigham Young University Ph.D.
Masoom, Hassan University of Toronto (Canada) M.A.Sc.
Yuksel, Seniha Esen University of Florida Ph.D.
Voodi, Sudheer Texas A&M University - Kingsville M.S.
Rangel, Norma Lucia Texas A&M University Ph.D.
Gu, Yu Ph.D.
Xu, Wenjun Georgia Institute of Technology Ph.D.
Chen, Si The Johns Hopkins University Ph.D.
Kiefer, Arnold Melvin Ph.D.
Ahmed, Faysal University of Toronto (Canada) M.A.Sc.Korkmaz, Aylin University of Pittsburgh Ph.D.Koh, Bong Su Texas A&M University Ph.D.
Salmani, Hassan University of Connecticut Ph.D.
Angue Mintsa, Honorine Ph.D.
Yang, Xiaoqing Ph.D.
Tan, Vincent Yan Fu Ph.D.
Pu, Yang City University of New York Ph.D.
Kraya, Laura University of Pennsylvania Ph.D.
Zhang, Jingru Ph.D.
Kathiroli, Poorani Florida Atlantic University M.S.
Missouri University of Science and Technology
The Cooper Union for the Advancement of Science and ArtEcole de Technologie Superieure (Canada)Ecole Polytechnique, Montreal (Canada)
Massachusetts Institute of Technology
The University of Wisconsin - Madison
Ecole de Technologie Superieure (Canada)The Chinese University of Hong Kong (Hong Kong)Massachusetts Institute of Technology
Rutgers The State University of New Jersey - New Brunswick
Chung, Eric S. Carnegie Mellon University Ph.D.Benjamin, Daniel K. Georgia Institute of Technology Ph.D.
Nicholson, John Weldon North Carolina State University Ph.D.
Trudeau, Luc M.Ing.
Haungs, Alan C. M.S.
Chen, Gregory K. University of Michigan Ph.D.
Esmaili, Ghazaleh R. Ph.D.
Kumar, Kshitiz Carnegie Mellon University Ph.D.
Zafar, Bilal University of Southern California Ph.D.
Lin, Chen-Han Texas A&M University Ph.D.
Reid, M. T. Homer Ph.D.
Sherwood, Nicholas Ramsey Cornell University Ph.D.
Ozkeskin, Fatih Mert University of Michigan Ph.D.
Li, Gang North Carolina State University Ph.D.
Vawter, Noah Ph.D.
Inal, Ozgur Rice University Ph.D.
Kim, Young June The University of Memphis Ph.D.
Camp, Charles H., Jr. Georgia Institute of Technology Ph.D.
Bitzer, Phillip M. Ph.D.
Wang, Zhen Boston University Ph.D.
Sandoval Leon, Cesar Augusto West Virginia University M.S.
Jones, Peter B. Ph.D.
Kashif, Faisal M. Ph.D.
Psarrou, Dimitrios Florida Atlantic University M.S.
Pantelic, Vera McMaster University (Canada) Ph.D.Liu, Yong University of Connecticut Ph.D.
Ba, Demba Ph.D.
Jang, Ki Young University of Southern California Ph.D.Savelyev, Sergiy A. York University (Canada) Ph.D.Yu, Hao Auburn University Ph.D.
Dang, Tung C. M.S.Q.A.
Gao, Xiaotong Drexel University Ph.D.
Kim, Junhee University of Michigan Ph.D.
Doylend, Jonathan McMaster University (Canada) Ph.D.
Ecole de Technologie Superieure (Canada)State University of New York at Buffalo
University of California, San Diego
Massachusetts Institute of Technology
Massachusetts Institute of Technology
The University of Alabama in Huntsville
Massachusetts Institute of TechnologyMassachusetts Institute of Technology
Massachusetts Institute of Technology
California State University, Dominguez Hills
Zhang, Yulan Ph.D.
Zhao, Han The Florida State University Ph.D.
Zhou, Jing The Pennsylvania State University Ph.D.
Shaw, Charles Michael University of Michigan Ph.D.
Pierquet, Brandon J. Ph.D.
Cartin, Charles P. Ph.D.
Fink, Jacob Eugene North Dakota State University M.S.
Gao, Tianle Arizona State University M.S.
Chen, Chen Mississippi State University M.S.
Raman, Arun Princeton University Ph.D.
Devarajan, Thamarai selvi Ph.D.
Quirin, Sean Albert University of Colorado at Boulder Ph.D.
Lundquist, Eric J. The University of Utah Ph.D.
Huang, Chin-Ya Ph.D.
Ejofodomi, O'tega A. D.Sc.
Minor, Steven P. University of Arkansas M.S.
Sun, Yichun Lehigh University Ph.D.
Huang, Jingqing California Institute of Technology Ph.D.
Patel, Ankitkumar N. The University of Texas at Dallas Ph.D.
Liu, Yingtao Arizona State University Ph.D.
Kavalenka, Maryna N. University of Rochester Ph.D.
Lavin, Christopher Michael Brigham Young University Ph.D.
Powell, Keith B. The University of Arizona Ph.D.
Jia, Bin Mississippi State University Ph.D.
Jiang, Ruoyi University of Kansas M.S.
Preston, Keith Alan University of Kansas Ph.D.
Beaulieu, Steve M.Eng.
Batmanghelich, Nematollah Kayhan University of Pennsylvania Ph.D.
Xie, Zhiqiang Ph.D.
Carpenter, Aaron University of Rochester Ph.D.
Choi, Nack-Bong Lehigh University Ph.D.
Riha, Lubomir Bowie State University D.Sc.
University of Colorado at Colorado Springs
Massachusetts Institute of TechnologyVirginia Commonwealth University
State University of New York at Albany
The University of Wisconsin - MadisonThe George Washington University
Ecole de Technologie Superieure (Canada)
The University of Nebraska - Lincoln
Carbajo, Sergio Colorado State University M.S.
Guvenc, Ali Bilge University of California, Riverside Ph.D.
Qiao, Deli Ph.D.
Park, Yun Sang University of Minnesota Ph.D.
Jones, Brian Allen The University of Utah Ph.D.
Moberly, Raymond B. Ph.D.
Rollins, Nathaniel H. Brigham Young University Ph.D.
Ramamoorthy, Harihara Sudhahar M.S.
Zhang, Shengke Arizona State University M.S.
John, Ranjith Samuel E. University of Arkansas Ph.D.
Nistler, Jonathan Richard North Dakota State University M.S.
Diaz Aguilar, Alvaro Arizona State University Ph.D.
Karmacharya, Piush Temple University M.S.E.E.
Zhang, Zhuopeng University of Delaware Ph.D.
Kelly, Christopher John University of Windsor (Canada) M.A.Sc.
Price, Dorielle T. University of South Florida Ph.D.
Kim, Hoyoung M.S.
Hajihabib, Masih O. University of Colorado at Denver M.S.
Brinkley, Stuart Elliot Ph.D.
Macintyre, Melissa Lynn M.S.
Analui, Behdad University of California, Irvine M.S.
Lin, Lang Ph.D.
Gately, Matthew Brandon The University of Oklahoma Ph.D.
Teisseyre, Thomas Zdzislaw Ph.D.
Wang, Chifeng University of California, Irvine Ph.D.
Rosenfeld, Kurt Ph.D.
Al-Husseini, Amal Northeastern University Ph.D.
Krishnamachari, Uppiliappan Ph.D.
Bajpai, Ritu Ph.D.
Mainoo, Joseph Indiana State University Ph.D.Falkenstein, Erez Avigdor University of Colorado at Boulder Ph.D.Cabrera Mora, Flavio City University of New York Ph.D.
The University of Nebraska - Lincoln
The Claremont Graduate University
State University of New York at Buffalo
The University of Texas at San Antonio
University of California, Santa BarbaraThe University of Texas at San Antonio
University of Massachusetts Amherst
University of California, San Francisco
Polytechnic Institute of New York University
University of California, Santa BarbaraThe George Washington University
Lee, Alexander L. Colorado State University M.S.
Willis, Bryan Jon The University of Utah Ph.D.
Mackos, Chelsea R. Rochester Institute of Technology M.S.
Becker, Stephen R. California Institute of Technology Ph.D.
Cheung, Bing Leung Patrick Ph.D.
Nichols, Justin Tyler Southern Methodist University Ph.D.
Clough, Patrick G. Purdue University M.S.E.C.E.
Beainy, Fares Nizam The University of Oklahoma Ph.D.
Noori Naeini, Amir Ali Purdue University Ph.D.
Howard, Matthew D. Michigan Technological University M.S.
Sim, Sung Han Ph.D.
Kahawatte, Nalaka Kanishka Bandara University of California, Davis M.S.
Fadul, Jose E. Air Force Institute of Technology Ph.D.
Thimmegowda, Deepak The University of Utah Ph.D.Miyakawa, Ryan H. University of California, Berkeley Ph.D.
Lam, Vinh V. Ph.D.
Giri, Nivedhitha Clemson University M.S.Fife, Wade S. Brigham Young University Ph.D.
Carbajal Franco, Guillermo The University of Texas at El Paso Ph.D.
Sarvia, Tricia University of Rhode Island M.S.
Kohut, William Carl, III University of California, Davis M.S.
Surles, William A. University of Colorado at Boulder M.S.
Al-Sarayreh, Khalid D.Eng.
Price, Graham David University of Colorado at Boulder Ph.D.
Lee, Jae Ho Ph.D.
Youssfi, Ziad Michigan State University Ph.D.
Kerestes, Christopher University of Delaware Ph.D.Gebhardt, Daniel J. The University of Utah Ph.D.
Kowalczyk, Jeremy M. University of Hawai'I at Manoa Ph.D.
Zhang, Xiaolan Ph.D.
Kakade, Ravindra V. Purdue University M.S.M.E.
Masnadi-Shirazi, Hamed Ph.D.
The University of Wisconsin - Madison
University of Illinois at Urbana-Champaign
University of Illinois at Urbana-Champaign
Ecole de Technologie Superieure (Canada)
State University of New York at Albany
University of Illinois at Urbana-Champaign
University of California, San Diego
Datta, Basab Ph.D.
Gray, Nathan Wheeler The University of Utah Ph.D.
Sasthan Kuttipillai, Padmanaban Michigan Technological University M.S.
Fiss, Xander Rochester Institute of Technology M.S.Bhakta, Vikrant R. Southern Methodist University Ph.D.
Wang, Wenkai University of Rhode Island Ph.D.
Song, Xingyong University of Minnesota Ph.D.
Turkyilmaz, Ogun Northeastern University M.S.
Grimm, Allen Gary Portland State University M.S.
Albers, Timothy W. Portland State University M.S.
Tarzia, Stephen P. Northwestern University Ph.D.
Yu, Jaewook Ph.D.
Burke, Andrew J. University of Rhode Island M.S.
Keshmiri, Seyed Nasser Ph.D.
Garza, Guillermo M.S.
Nagata, Shinobu Ph.D.
Badhulika, Sushmee University of California, Riverside Ph.D.
Kajak, Bartosz University of Nevada, Las Vegas M.S.E.E.
Shanechi, Maryam Modir Ph.D.
Williard, Nicholas Dane M.S.
Plass, Bryan William Iowa State University M.S.
Strong, Andre' J Tennessee State University M.S.
Liu, Yang University of Nevada, Las Vegas M.S.E.
Khibin, Volodymyr Yevgenovich University of California, Davis M.S.
Zhang, Lin University of Southern California Ph.D.
Choi, Seokheun Arizona State University Ph.D.
Wildeson, Isaac H. Purdue University Ph.D.
Rawashdeh, Zaydoun Wayne State University Ph.D.
Jampana, Balakrishnam R. University of Delaware Ph.D.
Wu, Shenpei M.S.
Kwak, Myoungbo Ph.D.
Srisungsitthisunti, Pornsak Purdue University Ph.D.
Zuo, Long Syracuse University Ph.D.
University of Massachusetts Amherst
State University of New York at Stony Brook
Tennessee Technological UniversityThe University of Texas - Pan AmericanVirginia Commonwealth University
Massachusetts Institute of TechnologyUniversity of Maryland, College Park
University of Maryland, College ParkUniversity of California, San Diego
Larson, Jacoby M.S.
Hashemi, Matin University of California, Davis Ph.D.
Safaisini, Rashid Colorado State University Ph.D.
Bennett, Darnell D.Sc.
Chow, Yin-Lam Purdue University M.S.E.
Zhou, Kai University of Connecticut Ph.D.
Tidwell, Justin Garth The University of Utah M.S.
Shin, Doochul University of Southern California Ph.D.
Coss, Brian E. The University of Texas at Dallas Ph.D.
Narayanan, Karthik Rochester Institute of Technology Ph.D.Syed, Haider Dartmouth College M.S.
Liu, Jianxun University of Cincinnati Ph.D.
Xu, Guan Gary Oklahoma State University Ph.D.
McLanahan, Aric L. Ranen Washington State University Ph.D.
Sharma, Munish Kumar M.S.
He, Xinbo Clemson University Ph.D.Ogilvie, Andrew Roger The University of Alabama M.S.
Wirth, Justin C. Purdue University M.S.E.C.E.
Ponjanda-Madappa, Muthappa Oklahoma State University M.S.Dong, Qing Temple University Ph.D.
Burdt, Russell Allen Ph.D.
Faruque, Faisal The University of Utah M.S.
Moradi, Hassan The University of Oklahoma Ph.D.Klein, Theresa Jean The University of Arizona Ph.D.Sharma, Opinder Wayne State University M.S.
Valles, Damian The University of Texas at El Paso Ph.D.
Frajtag, Pavel North Carolina State University Ph.D.
Zu, Yihe Ph.D.
Swing, Jeffrey N. Rochester Institute of Technology M.S.
Navarro, Sergio A. The University of Texas at El Paso M.S.
Zhu, Chun Oklahoma State University Ph.D.
Tripathi, Neeraj Ph.D.
University of California, San Diego
The George Washington University
State University of New York at Buffalo
University of California, San Diego
University of Illinois at Urbana-Champaign
State University of New York at Albany
Ramos Rocha, Carlos D. The University of Texas at El Paso M.S.
Madhavapeddi, Arvind K. S. M.S.
Falacho, Helder Morgado University of Rhode Island M.S.
Nehrir, Amin Reza Montana State University Ph.D.
Khoshkhou, Arya Ph.D.
Barissi, Sasan Purdue University M.S.E.
Ravoori, Bhargava Ph.D.
Tierney, Brian David Arizona State University Ph.D.Hunter, Quinn T. University of California, Davis M.S.
Lie, Fee Li The University of Arizona Ph.D.
Karam, Zahi Nadim Ph.D.
Zhang, Yun University of California, Davis Ph.D.
Zhao, Yang Duke University Ph.D.
Katzenmeyer, Aaron Michael University of California, Davis Ph.D.
Mahamud, Rajib University of Nevada, Reno M.S.
Zhang, Lequan University of Southern California Ph.D.
Chandrashekar, Aravind M.S.
Zhu, Jiangang Ph.D.
Castillo, Carlos The University of Texas at El Paso M.S.Xie, Chongyang The University of New Mexico Ph.D.Ellis, Troy R. Air Force Institute of Technology Ph.D.Hu, Qiang University of South Florida Ph.D.
Purdue University Ph.D.
Moura, Scott J. University of Michigan Ph.D.
Manley, Robert G. Rochester Institute of Technology Ph.D.
Svimonishvili, Tengiz The University of New Mexico Ph.D.Amarnath, Avinash Portland State University M.S.
Fang, Jian M.S.
Lu, Ude University of Southern California Ph.D.
Ara, Riffat Rochester Institute of Technology M.S.
Phanomchoeng, Gridsada University of Minnesota Ph.D.
Qian, Feng University of California, Irvine Ph.D.
Tennessee Technological University
University of Maryland, College Park
University of Maryland, College Park
Massachusetts Institute of Technology
Southern Illinois University at CarbondaleWashington University in St. Louis
Venkata Subbaiah Ramakrishna, Supradeepa
Southern Illinois University at Carbondale
Chatterjee, Saurabh Arizona State University M.S.
Jafarpour, Sina Princeton University Ph.D.
Osmanagic, Emir Ph.D.
Oberg, Oliver Timothy Ph.D.
Han, Hyojung University of Colorado at Boulder Ph.D.
McKelvin, Mark Lee, Jr. University of California, Berkeley Ph.D.
Strefling, Paul Christian Michigan State University M.S.
Kelsey, Matthew Ph.D.
Baloglu, Bora Lehigh University Ph.D.
Dong, Alexander M.S.
Merkel, Cory E. Rochester Institute of Technology M.S.Waterbury, Andrew Cullen University of California, Berkeley Ph.D.
Badmaev, Alexander University of Southern California Ph.D.
Doshi, Saket Sham Colorado State University M.S.
Aswadha Narayanan, Shyam Sundar Clemson University M.S.Jayaprakash, Sharath Michigan State University Ph.D.
Chang, Chia-Wei Ph.D.
Walton, Timothy L. The Florida State University M.S.
Fan, Jialue Northwestern University Ph.D.
Cobb, William E. Air Force Institute of Technology Ph.D.
Zhuang, Xiaodan Ph.D.
Akkala, Arun Goud Purdue University M.S.E.C.E.
Zhu, Fengqing Purdue University Ph.D.
Chen, Rui The Florida State University M.S.
Kane, Aunica L. University of Minnesota M.S.
Xu, Linfeng M.S.
Marrs, Michael Arizona State University M.S.
Chaouch, Hacene Mahieddine The University of Arizona Ph.D.
Kuo, Fang-Ling University of North Texas Ph.D.
Ma, Jun The Johns Hopkins University Ph.D.
Luo, Lijuan Ph.D.
Drmanac, Dragoljub Gagi Ph.D.
Chu, Selina University of Southern California Ph.D.
Washington University in St. LouisUniversity of Maryland, College Park
Southern Illinois University at Carbondale
State University of New York at Binghamton
University of California, San Diego
University of Illinois at Urbana-Champaign
State University of New York at Buffalo
University of Illinois at Urbana-ChampaignUniversity of California, Santa Barbara
Nawrocki, Robert A. University of Denver M.S.
Kim, Dusung Ph.D.
Afacan, Onur Northeastern University Ph.D.
Zhong, Peng University of California, Irvine M.S.
Jalan, Bharat Ph.D.
Vanderet, Stephen James Indiana University M.S.
Bangal, Priya The University of Alabama M.S.
Elshaari, Ali Wanis Rochester Institute of Technology Ph.D.Dixon, P. Benjamin University of Rochester Ph.D.
Arritt, Brandon J. The University of New Mexico Ph.D.
Kharbash, Fekri Q. Ph.D.
Soubra, Hassan D.Eng.
Thiruvarankan, Rajaratnam Ph.D.
Venkatasubramanian, Girish University of Florida Ph.D.
Jahanshahi, Mohammad Reza University of Southern California Ph.D.
Moreno Rodriguez, Roosevelt Ph.D.
Hartnett, Richard Daniel University of Rhode Island M.S.
Chang, Po-Hsien Ph.D.
Lakhanpal, Puneet University of Nevada, Las Vegas M.S.E.E.
Modi, Nilesh A. Ph.D.
Wu, Xing University of Southern California M.B.S.
Radway, Matthew J. University of Colorado at Boulder Ph.D.
Xu, Yunfei Michigan State University Ph.D.
Gao, Yi Georgia Institute of Technology Ph.D.
Pence, Benjamin Lynn University of Michigan Ph.D.
Cheng, Shunfeng Ph.D.
Moore, Eric D. University of Colorado at Boulder Ph.D.
Proffitt, Matthew R. Western Carolina University M.S.
Moro, Slaven Ph.D.
Shaffer, Joseph Arizona State University M.S.
Gillette, Scott Matthew Northeastern University M.S.
University of Massachusetts Amherst
University of California, Santa Barbara
University of Missouri - Kansas CityEcole de Technologie Superieure (Canada)Polytechnic Institute of New York University
State University of New York at Stony Brook
University of California, Santa Barbara
University of California, Santa Barbara
University of Maryland, College Park
University of California, San Diego
Wu, Weixin Clemson University M.S.
Vander Laan, Scott J. Purdue University M.S.E.C.E.
Fei, Huiyang Arizona State University Ph.D.
Taylor, Ryan Andrew The University of Alabama M.S.
Tate, Quinn The University of Utah M.S.
Pulford, Benjamin N. The University of New Mexico Ph.D.Papavasiliou, Anthony University of California, Berkeley Ph.D.
Reese, Brandon J. University of Colorado at Boulder M.S.
Han, Liang North Carolina State University Ph.D.
Baek, Seung Hoon Ph.D.
Esnaola, Jose Ignacio University of Delaware Ph.D.You, Junbok The University of Utah Ph.D.
Moghadas, Amin Ph.D.
Mishra, Kesari Duke University Ph.D.
Zhang, Kunbo Ph.D.
Smith, Ryan L. Ph.D.
Tsota, Kalliopi Purdue University Ph.D.Ryan, Peter John Northeastern University Ph.D.
Yarbrough, Allan W. Air Force Institute of Technology Ph.D.
Phan, Huy Ngoc Ph.D.
Manoharan, Anish Kumar University of Arkansas M.S.
Alsawalhi, Jamal Yousuf Purdue University M.S.E.C.E.
Veeraraghavan, Padma Priya Purdue University M.S.
Mahmoud, Haydar A. M.S.
Datta, Kushal Ph.D.
Rangan, Krishna Kumar Harvard University Ph.D.
Nawaz, Sadia Purdue University M.S.E.C.E.
Matta, Sherif M. A. Wayne State University M.S.
Annamalai, Muthiah Ph.D.
Wang, Hao Ph.D.
Santos, Nestor A., Jr. M.S.
Mak, Jacky Siu Wai University of Toronto (Canada) M.A.Sc.
Southern Illinois University at Carbondale
The University of Texas at San Antonio
State University of New York at Stony BrookWashington University in St. Louis
The University of Texas at Arlington
Southern Illinois University at CarbondaleThe University of North Carolina at Charlotte
The University of Texas at ArlingtonUniversity of California, San DiegoThe University of Texas - Pan American
Wu, Wenhua M.S.
Sharon, Gilad Ph.D.
Pais, Yanay University of South Florida M.S.E.E.
Batchu, Satish The University of Utah M.S.
Wuu, Jen-Yi Ph.D.
Nuccio, Scott R. University of Southern California Ph.D.
Mei, Qiang The University of Toledo PEGWang, Shuo The University of Toledo PEGFutia, Gregory L. Colorado State University M.S.
Mathews, Jay Arizona State University Ph.D.
Chang, Hsiao-Kang University of Southern California Ph.D.
Lee, Sam Yung-Sen Wayne State University Ph.D.
Kratz, Jason Southern Methodist University Ph.D.
Moazzami, Farzad Morgan State University D.Eng.
Bohannon, Eric Rochester Institute of Technology Ph.D.
Putnam, Lance Jonathan Ph.D.
Kim, Jung Soo The Pennsylvania State University Ph.D.
Redd, Christian Brandt The University of Utah M.S.
Lloyd, G. Scott Brigham Young University Ph.D.
Arter, Jessica Ann University of California, Irvine Ph.D.
Yen, Andy Kar Wah M.Ing.
Kaushik, Ravi K. City University of New York Ph.D.
Liang, Yan University of Minnesota Ph.D.
Arvay, Adam Arizona State University M.S.
Kannasoot, Nipatjakorn The University of Texas at Dallas Ph.D.
Gustavson, Nathan M.S.
The University of Arizona Ph.D.
Di, Wei Purdue University Ph.D.
The University of Texas at ArlingtonUniversity of Maryland, College Park
University of California, Santa Barbara
University of California, Santa Barbara
Ecole de Technologie Superieure (Canada)
Southern Illinois University at Carbondale
Padmanabhan Ramalekshmi Thanu, Dinesh
Electrical (0544) 2011-2011
年代 頁數201120112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
20112011
2011
201120112011201120122012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?#名稱?#名稱?#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
20122012
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
2011
20112011
2011
2011
201120112011
2011
2011
20112011
2011
2011
2011
20112011
2011
2011
20112011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
201120112011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
201120112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
#名稱?#名稱?#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
2011
2011
2011
20112011201120112011
2011
2011
2011
20112011
2011
2011
20112011
2011
2011
2011
20112011
2011
20112011
2011
20112011
2011
2011
2011
20112011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
20112011
2011
20112011
2011
2011
201120112011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
201120112011
2011
2011
201120112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
2011
20112011
2011
2011
2011
20112011201120112011201120112011
2011
2011
2011
2011
2011
2011201120112011
2011
20112011
2011
2011
201120112011
2011
2011
2011
201120112011
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?#名稱?#名稱?#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
2011
20112011
2011
2011
2011
2011
2011
2011
201120112011
2011
2011
2011
2011
2011201120112011
2011
2011
2011
2011
201120112011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011201120112011
2011
20112011
2011
2011
2011
2011
2011
201120112011201120112011
2011
2011
2011
2011
20112011
2011
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011201120112011201120112011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?#名稱?#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
20112011
2011
201120112011
2011
20112011
2011
2011
2011
20112011
2011
2011
2011
2011
20112011201120112011
2011
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
#名稱?#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2012
20122012
2012
2012
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
20112011
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
2011
201120112011
2011
20112011
2011
2011
2011
2011
2011
2011201120112011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
2011
20112011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
201120112011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
201120112011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
20112011
2011
2011
2011
2011
2011
2011
2011
201120112011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
201120112011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
201120112011
2011
2011
2011
2011
2011201120112011
2011
20112011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
20112011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
2011
2011
2011
2011
2011
201120112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
2011
20112011
2011
201120112011
2011
2011
2011
201120112011
2011
2011
2011
2011
2011
2011
2011201120112011
2011
2011
2011
2011201120112011
2011
2011
2011
2011
2011
#名稱?
#名稱?#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
20112011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
201220122012
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
20112011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
201120112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
201120112011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
201120112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
201120112011
2011
2011
2011
20112011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
2011
2011
2011
2012
2012
2012
2012
2012
2012
2012
2012
2012
20122012
2012
2012
2012
2012
2012
20122012
2012
2012
2012
2012
2012
20122012
2012
20122012
2012
2012
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
2012
2012
2012
2012
2012
2012
2012
20122012
2012
201220122012
2012
2012
2012
2012
2012
20122012
2012
2012
2012
2012
2012
2012
2012
2012
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
201120112011
2011
2011
2011
2011
2011
20112011201120112011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?#名稱?#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011201120112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
201120112011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
201120112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
201120112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
20112011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011201120112011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
201220122012
2012
2012
2012
2012
2012
2012
2012
2012
2012
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2012
2012
2012
2012
2012
2012
20122012
2012
2012
2012
2012
2012
20122012
2012
2012
2012
2012
2012
20122012
2012
20122012
2012
2012
2012
2012
2012
2012
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
201120112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011201120112011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011201120112011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?#名稱?
#名稱?
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
20112011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
201120112011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
20112011201120112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2012
2012
2012
2012
2012
2012
20122012
2012
2012
2012
2012
20122012
2012
2012
201220122012
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
2012
2012
2012
2012
2012
20122012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
20112011
2011
2011
2011
2011
2011
20112011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
20112011
2011
201120112011
2011
2011
2011
20112011
2011
20112011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011201120112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
201120112011
2011
2011
2011
2011
2011
2011
20112011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
201120112011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2012
2012
2012
2012
2012
2012
20122012
2012
2012
2012
2012
2012
2012
20122012
2012
2012
2012
2012
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
20122012
2012
201220122012
2012
201220122012
2012
2012
2012
2012
2012
2012
2012
2012
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2012
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011201120112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2012
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2012
2012
20122012
2012
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
20112011
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
20112011
2011
2011
20112011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
201120112011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
20112011
2011
2011
2011
2011
201120112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
201120112011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
201120112011
2011
2011
2011
2011
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
2012
201220122012
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
2012
2012
2012
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
20112011
2011
20112011
2011
2011
201120112011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011201120112011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
20112011
2011
2011
2011
20112011
2011
2011
2011
2011
20112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
2011
2011
2011
2011
2011
2011
201120112011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
2011
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?#名稱?#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?
#名稱?