บทที่ 8jumrus.crru.ac.th/cp1701_digital/lesson8.pdf · 2012-06-06 · บทที่ 8...

Preview:

Citation preview

บทที่ 8 ฟลิปฟลอป

ฟลิปฟลอป เปนวงจรดจิิตอลอยางหนึ่งทีม่ีหนาที่เปล่ียนสถานะทางเอาตพุตเปน 2 เอาตพุตซ่ึงทั้งสองจะมีสถานะตรงกนัขามกัน เชน ถาเอาตพุต1 มีคาเปน “1” เอาตพุต 2 จะมคีาเปน “0” ในทํานองเดียวกนัถาเอาตพุต1 มีคาเปน “0” เอาตพุต 2 จะมคีาเปน “1” ฟลิปฟลอปแบงออกเปน 2 ชนิดใหญๆ ไดแก ไดเรกฟลิปฟลอป (Direct Flip-Flop) และ ทริกเกอรริงฟลิปฟลอบ (Triggering Flip-Flop) ไดเรกฟลิปฟลอป ไดเรกฟลิปฟลอป เปน Flip-Flop ที่สามารถทํางานไดทนัทีเมื่อ มีขอมูลดาตา 1 และดาตา 2 เอาตพุตทั้งสองจะเปลี่ยนสถานะไปตามคณุลักษณะของฟลิปฟลอปแตละประเภท ฟลิปฟลอปที่รูจักกันดีมีหลายชนิดไดแก RS- Flip-Flop , D- Flip-Flop , T- Flip-Flop และ J-K Flip-Flop เปนตน

ภาพที่ 8.1 ลักษณะแผนผังของฟลิปฟลอป

อารเอสแลตช (R.S. Latch) แบงออกไดเปน 2 ชนิด ไดแก นอรแลตช (Nor Latch) และแนนดแลตช (Nand Latch)

1. นอรแลตช ภาพที่ 8.2 วงจรของนอรแลตช

172

ตารางที่ 8.1 ตารางการทํางานนอรแลตชฟลิปฟลอป

อินพุต เอาตพุต เอาตพุต เดิม R S Q 0 1 0 0 0

1 1 0

0 1 0 1 1

1 0 0

0 1

1 0 0 0

1 1

0 1 1 1 0

0 0 0

Q

จากตารางที่ 8.1 การทํางานของนอรแลตชฟลิปฟลอป สามารถอธิบายไดดังนี ้ 1. เมื่อปอนสัญญาณอินพุตที่เปนลอจิก “0” ใหกับขา R และขา S นอรแลตชฟลิปฟลอปจะทํางานในสภาวะของการเกบ็ขอมูลเดิม แปลวา ถาอินพุตเดิมเปน “1” เอาตพุตใหมกจ็ะใหคา “1” แตถาอินพุตเดิมเปน “0” เอาตพุตใหมก็จะใหมีคาเปน “0” ซ่ึงเรียกวาสภาวะการเก็บขอมูล 2. เมื่อปอนสัญญาณอินพุตที่เปนลอจิก “0” ใหกับขา R และปอนสัญญาณอินพุตที่เปนลอจิก “1” ใหกับขา S นอรแลตชฟลิปฟลอปจะทํางานในสภาวะของการเซตคาเอาตพุตใหมีคาเปน “1” แปลวา ถาอินพุตเดิมเปน “1” เอาตพุตใหมก็จะใหคา “1” ดังเดิม แตถาอนิพุตเดิมเปน “0” เอาตพุตใหมกจ็ะถูกเซตใหมคีาเปน “1” ซ่ึงเรียกวาสภาวะการเซต

3. เมื่อปอนสัญญาณอินพุตที่เปนลอจิก “1” ใหกับขา R และปอนสัญญาณอินพุตที่เปนลอจิก “0” ใหกับขา S นอรแลตชฟลิปฟลอปจะทํางานในสภาวะของการรีเซตคาเอาตพุตใหมีคาเปน “0” แปลวา ถาอินพุตเดิมเปน “1” เอาตพุตใหมกจ็ะใหคา “0” แตถาอนิพุตเดิมเปน “0” เอาตพุตใหมมีคาเปน “0” ดงัเดิมซึ่งเรียกวาสภาวะการรีเซต

4. เมื่อปอนสัญญาณอินพุตที่เปนลอจิก “1” ใหกับขา R และขา S นอรแลตชฟลิปฟลอปจะทํางานแลวใหคาเอาตพุตออกมาเปน “0” และเอาตพุตตรงกันขาม ( Q ) มีคาเปน “0” ดวยซ่ึงเปนสภาวะทีไ่มนําไปใชงาน

173

ตารางที่ 8.2 ตารางการทํางานที่สมบูรณของนอรแลตช

R S Q Q 0 0 1 1

0 1 0 1

QN

1 0 0

Q N

0 1 0 ม

2. แนนดแลตช

ภาพที่ 8.3 วงจรแนนดแลตชฟลิปฟ ตารางที่ 8.3 ตารางการทํางานของแ

Store (เก็บขอมูลเดิม) Set Reset หามใช เพราะวาเอาตพุตไมเปนตรงกันขา

ลอป

นนดแลตช

อินพุต เอาตพุต เอาตพุต เดิม R S Q Q 0 1

0 0 1 1

1 1

0 1

0 1 1 1

0 0

0 1 1 0 0

0 1 1

0 1 1 1 0

1 1 0

174

จากตารางที่ 8.3 การทํางานของแนนดแลตชฟลิปฟลอป สามารถอธิบายไดดังนี ้1. เมื่อปอนสัญญาณอินพุตที่เปนลอจิก “0” ใหกับขา R และขา S แนนดแลตชฟลิปฟลอป

จะทํางานแลวใหคาเอาตพุตออกมาเปน “1” และเอาตพตุตรงกันขาม ( Q ) มีคาเปน “1” ดวยซ่ึงเปนสภาวะทีไ่มนําไปใชงาน 2. เมื่อปอนสัญญาณอินพุตที่เปนลอจิก “0” ใหกับขา R และปอนสัญญาณอินพุตที่เปนลอจิก “1” ใหกับขา S แนนดแลตชฟลิปฟลอปจะทํางานในสภาวะของการเซตคาเอาตพุตใหมีคาเปน “1” แปลวา ถาอินพุตเดมิเปน “1” เอาตพุตใหมก็จะใหคา “1” ดังเดิม แตถาอินพตุเดิมเปน “0” เอาตพุตใหมกจ็ะถูกเซตใหมคีาเปน “1” ซ่ึงเรียกวาสภาวะการเซต

3. เมื่อปอนสัญญาณอินพุตที่เปนลอจิก “1” ใหกับขา R และปอนสัญญาณอินพุตที่เปนลอจิก “0” ใหกับขา S แนนดแลตชฟลิปฟลอปจะทํางานในสภาวะของการรีเซตคาเอาตพุตใหมีคาเปน “0” แปลวา ถาอินพุตเดมิเปน “1” เอาตพุตใหมก็จะใหคา “0” แตถาอินพุตเดิมเปน “0” เอาตพุตใหมมีคาเปน “0” ดงัเดิมซึ่งเรียกวาสภาวะการรีเซต 4. เมื่อปอนสัญญาณอินพุตที่เปนลอจิก “1” ใหกับขา R และขา S แนนดแลตชฟลิปฟลอปจะทํางานในสภาวะของการเก็บขอมูลเดิม แปลวา ถาอินพุตเดิมเปน “1” เอาตพุตใหมก็จะใหคา “1” แตถาอินพุตเดมิเปน “0” เอาตพุตใหมก็จะใหมีคาเปน “0” ซ่ึงเรียกวาสภาวะการเก็บขอมูล

ตารางที่ 8.4 ตารางการทํางานที่สมบูรณของแนนดแลตชฟลิปฟลอป

R S Q Q 0 0 1 1

0 1 0 1

1 1 0

QN

1 0 1

Q N

ไดเรกฟลิปฟลอปแบบแนนหามใชมีลอจกิ “1” ซ่ึงดีกวาแบบนขอมูลช่ัวคราว หรือ ใชทําเปนวงจ

ไดเรกฟลิปฟลอปไดมีการบางวงจร เชน วงจรที่ใชสวติชตางกระเพื่อมของสัญญาณ ดังนั้นตอสัญญาณรูปสี่เหล่ียมที่แนนอน

หามใช เพราะเอาตพุตไมตรงขาม Set Reset Store (เก็บขอมูลเดิม)

ดแลตชจะนยิมใชมากกวาแบบนอรแลตช เพราะวาเอาตพตุที่อรแลตช ประโยชนของไดเรกฟลปิฟลอปมีดังนีค้ือ ใชเปนทีพ่ักรสวิตชเพื่อแกการกระเพื่อมของสัญญาณสี่เหล่ียม เปนตน นําไปใชประโยชนอยางมาก โดยเฉพาะแนนดแลตชเพราะในๆ มาทําเปนสัญญาณรูปสี่เหล่ียม ปญหาที่ตามมาคือจะเกดิการงแกโดยการใชแนนดแลตชตอเขาไป เพื่อใหเอาตพุตที่ไดเปน

175

ทริกเกอรริ่งฟลิปฟลอป ทริกเกอรร่ิงฟลิปฟลอป เปนฟลิปฟลอปที่จะทํางานไดก็ตอเมื่อปอนสัญญาณอินพุตใหทั้ง 2 ขาง แลวจะตองจายสัญญาณนาฬิกาเพื่อกระตุนใหฟลิปฟลอปเริ่มทํางาน การนําสัญญาณนาฬกิามากระตุนใหฟลิปฟลอปใหทํางานมี 2 วิธีเชน การนําเอาสัญญาณทริกลอจิก “0” และลอจิก “1” มากระตุนใหฟลิปฟลอปใหทํางาน สวนใหญจะใชสัญญาณรูปสี่เหล่ียม เพราะวามีลอจิก “0” และลอจิก “1” ที่แนนอน ทริกเกอรร่ิงฟลิปฟลอป แบงออกไดถึง 5 ชนิด ไดแก R-S ฟลิปฟลอป ( R-S Flip-Flop ) T ฟลิปฟลอป ( T Flip-Flop ) D ฟลิปฟลอป ( D Flip-Flop) และ J-K ฟลิปฟลอป ( J-K Flip-Flop )

สัญญาณทริกเกอรหรือสัญญาณคล็อค (Trigger Pulse หรือ Clock Pulse )

ภาพที่ 8.4 ลักษณะสัญญาณทริกเกอรฟลิปฟลอป ขอบขาขึ้น ภาพที่ 8.5 ลักษณะสัญญาณทริกเกอรฟลิปฟลอป ขอบขาลง

สัญญาณทริกเกอรฟลิปฟลอปขอบขาขึ้น หมายความวาเปนสัญญาณทริกเกอรทีใ่หฟลิปฟลอปทํางานเริ่มตั้งแตจากลอจิก “0” ไปสูลอจิก “1” หรือเรียกงายวา แบบขอบขาขึ้น ซ่ึงสามารถดูภาพสัญญาณทริกเกอร (สัญญาณล็อค) ไดจากภาพที่ 8.4

176

สวนสัญญาณทริกเกอรฟลิปฟลอปของขอบขาลง หมายความวา เปนสัญญาณทริกเกอรที่จะใหฟลิปฟลอปทํางาน เร่ิมตั้งแตลอจิก “1” ไปสูลอจิก “0” หรือเรียกงายๆ วา แบบขอบขาลง ซ่ึงดูสัญญาณทริกเกอร (สัญญาณคล็อค) ไดจากภาพที่ 8.5 1. R-S ฟลิปฟลอป

R-S ฟลิปฟลอปจะนําเอาวงจรแนนดแลตชหรือวงจรนอรแลตช มาใชเปนแบบ ทริกเกอร ฟลิปฟลอป พรอมทั้งมีไดเรกรีเซตอยูในตวัเสร็จ ดังทีแ่สดงในภาพที่ 8.6 โดยปกต ิR-S ฟลิปฟลอปแบบทริกเกอรจะมีอินพตุจํานวน 5 ขา ประกอบดวยขาขอมูล 2 ขา คือขา R และขา S และขาควบคมุอีกจํานวน 3 ขา ไดแก ขา Set ขา Clr และขา Clk มีเอาตพุตอยู 2 ขาไดแก ขา Q และขา Q R-S ฟลิปฟลอปแบบทริกเกอร ที่นิยมใชม ี 2 ชนิดคือแบบทีท่ํางานชวงขอบขาขึ้นและแบบที่ทํางานชวงขอบขาลง ภาพที่ 8.6 แผนผังของ R-S ฟลิปฟลอปแบบขอบขาขึ้น ตารางที่ 8.5 ตารางการทํางานของ RS-Flip Flop ขอบขาขึ้น

Clk Set Clr R S Q Q สถานะ 1 0 X X 1 0 เซต Q ใหเปน “1” 0 1 X X 0 1 เคลีย Q ใหเปน “0” 0 0 0 0 Qn nQ เก็บขอมูลเดิม 0 0 0 1 1 0 เซต 0 0 1 0 0 1 รีเซต 0 0 1 1 1 1 ใชงานไมได

177

ภาพที่ 8.7 แผนผัง R-S ฟลิปฟลอปแบบขอบขาลง ตารางที่ 8.6 ตารางการทํางานของ R-S Flip Flop แบบขอบขาลง

Clk Set Clr R S Q Q สถานะ 1 0 X X 1 0 เซต Q ใหเปน “1” 0 1 X X 0 1 เคลีย Q ใหเปน “0” 0 0 0 0 0 0 ใชไมได 0 0 0 1 1 0 เซต 0 0 1 0 0 1 รีเซต 0 0 1 1 Qn nQ เก็บขอมูลเดิม

ไดเรกอินตพุตของ R-S ฟลิปฟลอปหมายถึง ขาควบคุมการทํางานของฟลิปฟลอปที่จะ

ส่ังงานใหฟลิปฟลอปทํางานทันทีโดยไมสนใจสัญญาณคล็อคหรือดาตาอินพุต มี 2 แบบไดแก แบบที่ทํางานชวงขอบขาขึ้น และขอบขาลง ไดเรกอินพุตมไีดเปน 2 ขาดังนี ้ 1. Set หมายถงึ ขาไดเรกอินพุตของฟลิปฟลอป ถาปอนลอจิก “1” แกขานี้เมื่อใดจะทาํใหเอาตพุต Q เปนลอจิก “1” ทันทีโดยไมสนใจสัญญาณคล็อค หรือดาตาอินพุตแตอยางใด 2. Clr (Clear) หมายถึง ขาไดเรกอินพุตของฟลิปฟลอป ถาปอนลอจิก “1” แกขานี้เมือ่ใดใหเอาตพุต Q เปนลอจก “0” ทันที โดยไมสนใจสัญญาณคล็อคหรือดาตาอินพุตแตอยางใด

178

หมายเหตุ 1.ไดเรกอินพุตที่มี Set จะแตกตางกับ Set คือจะตองปอนลอจิก “1” แทนลอจิก “0”

2. ไดเรกอินพุตที่มี Clr (Clear) จะแตกตางกับ Clr คือจะตองปอนลอจิก “1” แทนลอจิก “0” ฟลิปฟลอปจึงจะทํางาน 3. ถาไมตองการใชงานตองปอนลอจิก “0” ทั้งสองขา คือ Set, Clr แตถาเปนขา Set , Clr จะตอง ปอนลอจิก “1” ทั้งคูฟลิปฟลอปจึงจะทํางาน

4. ไมควรใหไดเรกอินพุตทาํงานพรอมกันทั้งสองขา เพราะจะทําใหฟลิปฟลอปสับสน ไมรูวาจะทํา อินพุตใดกอน ดังนั้นจึงใหทํางานอยางใดอยางหนึ่งตามตองการ

5. Set , Clrทั้งสองขานี้จะเรยีกอกีแบบไดวาแอกทีฟโลว (Active High) Set , Clr ทั้ง สองขานี้จะเรยีกอีกแบบไดวาแอกทฟีไฮ (Active High)

6. ไดเรกอินพุตทั้งสองนี้จะมีอยูใน R-S ฟลิปฟลอป T ฟลิปฟลอป D ฟลิปฟลอป และ J-K ฟลิปฟลอป ซ่ึงจะมีหลักการทํางานเหมือนกัน

2. T ฟลิปฟลอป (Toggle FlipFlop) T ฟลิปฟลอป เปนฟลิปฟลอปที่มีการทํางานแบบที่เรียกวา ท็อกเกลิ-ฟลิปฟลอป หมาย-

ความวาจะมีการทํางานที่ทําใหเอาตพุตใหมเปนตรงกันขามกับเอาตพุตเดิมเสมอ T ฟลิปฟลอปแบงไดเปน 2 ชนิด ไดแก T ฟลิปฟลอปแบบขอบขาขึ้น และ T ฟลิปฟลอป แบบขอบขาลง ดังภาพ ภาพที่ 8.8 T ฟลิปฟลอปแบบขอบขาขึ้น ภาพที่ 8.9 ฟลิปฟลอปแบบขอบขาลง

179

ตัวอยางที่ 8.1 T ฟลิปฟลอปแบบขอบขาขึ้น ภาพที่ 8.10 T ฟลิปฟลอปแบบขอบขาขึ้น ภาพที่ 8.11 แผนผังเวลาของ T ฟลิปฟลอปแบบขอบขาขึ้น

ตารางที่ 8.7 การทํางานของ T-Flip Flop แบบขาขึ้น

T Set Clr Q Q สถานะ X 1 0 1 0 เซต Q X 0 1 0 1 เคลีย Q 0 0 1 0 Toggle 0 0 0 1 Toggle

180

ตัวอยางที่ 8.2 T ฟลิปฟลอปแบบขอบขาลง ภาพที่ 8.12 T ฟลิปฟลอปแบบขอบขาลง ภาพที่ 8.13 แผนผังเวลา ของ T ฟลิปฟลอปแบบขอบขาลง

ตารางที่ 8.8 การทํางานของ T- Flip Flop ขอบขาลง

T Set Clr Q Q สถานะ X 0 1 1 0 เซต Q X 1 0 0 1 เคลีย Q 1 1 1 0 Toggle 1 1 0 1 Toggle

181

ตัวอยางที่ 8.3 T ฟลิปฟลอปแบบขอบขาขึ้นและแบบขอบขาลง

ภาพที่ 8.14 การใช T ฟลิปฟลอบทั้งขาขึ้นและขาลง

วงจร T ฟลิปฟลอปสามารถนําเอาไปประยุกตใชในการหารความถี่ของสัญญาณ หรือสรางวงจรนับได แตการออกแบบวงจรในบางครั้งจําเปนตองใช T ฟลิปฟลอป แตในขณะนัน้ไมสามารถหา T ฟลิปฟลอบมาใชงานไดผูออกแบบ สามารถนําเอาฟลิปฟลอปประเภทอืน่ดัดแปลงได

การดัดแปลง R-S ฟลิปฟลอปใหเปน T ฟลิปฟลอป สามารถทําไดดังนี้ 1. นําขา S มาตอเขากับขา Q 2. นําขา R มาตอเขากับขา Q R-S ฟลิปฟลอป ก็จะทําหนาที่เหมือนกับ T ฟลิปฟลอปทุกประการ ภาพที่ 8.15 การดัดแปลง R-S ฟลิปฟลอปใหเปน T ฟลิปฟลอป

182

3. D ฟลิปฟลอป (Direct flipflop) D ฟลิปฟลอป เปนฟลิปฟลอป ที่มี 2 อินพุต อินพุตแรกจะเปนสัญญาณดาตาอินพุตที่สอง

จะเปนสัญญาณทริกเกอร เอาตพุตที่ไดจะเหมือนขอมลูทางดานอินพุตเสมอ ในกรณีที่สัญญาณทริกเกอรเขามา ซ่ึงก็แลวแตวา จะเปนแบบขอบขาขึ้นหรือแบบขอบขาลง D ฟลิปฟลอปแบงไดเปน 2 ชนิด ไดแก ฟลิปฟลอปแบบขอบขาขึ้น และ ฟลิปฟลอปแบบขอบขาลง ภาพที่ 8.16 D ฟลิปฟลอปแบบขอบขาขึ้น

ตารางที่ 8.9 การทํางานของ D ฟลิปฟลอปแบบขอบขาขึ้น

Clk Set Clr D Q Q สถานะ X 1 0 X 1 0 เซต Q X 0 1 X 0 1 เคลีย Q

0 0 1 1 0 ถายขอมูล 0 0 0 0 1 ถายขอมูล

ภาพที่ 8.17 D ฟลิปฟลอปแบบขอบขาลง

183

ตารางที่ 8.10 การทํางานของ D ฟลิปฟลอปแบบขอบขาลง

Clk Set Clr D Q Q สถานะ X 0 1 X 1 0 เซต Q X 1 0 X 0 1 เคลีย Q

1 1 1 1 0 ถายขอมูล 1 1 0 0 1 ถายขอมูล

ไดเรกอินพุตของ D ฟลิปฟลอป มี 2 อินพตุ ไดแก เคลยีร (Clear) และ เซต (Set) ขาทั้ง

สองมีการทํางาน 2 แบบไดแก ทํางานทีแ่อกทีฟไฮ (Active Hight) และทํางานทีแ่อกทีฟโลว (Active Low) ดังแผนผังและตารางการทํางาน ภาพที่ 8.18 D ฟลิปฟลอป Active Hight ตารางที่ 8.11 การทํางานของ D ฟลิปฟลอป Active Hight

CLK Set Clr D Q Q สถานะ X 1 0 X 1 0 เซต Q X 0 1 X 0 1 เคลีย Q

0 0 1 1 0 ถายขอมูล 0 0 0 0 1 ถายขอมูล

184

ภาพที่ 8.19 D ฟลิปฟลอป Active Low ตารางที่ 8.12 การทํางานของ D ฟลิปฟลอปแบบ Active Low

CLK Set Clr D Q Q สถานะ X 0 1 X 1 0 เซต Q X 1 0 X 0 1 เคลีย Q

1 1 1 1 0 ถายขอมูล 1 1 0 0 1 ถายขอมูล

ตัวอยางที่ 8.4 D ฟลิปฟลอปแบบขอบขาขึ้น ภาพที่ 8.20 D ฟลิปฟลอปแบบขอบขาขึ้น

185

ภาพที่ 8.21 แผนผังเวลาของ D ฟลิปฟลอปแบบขอบขาขึ้น

ตัวอยางที่ 8.5 D ฟลิปฟลอปแบบขอบขาลง

ภาพที่ 8.22 D ฟลิปฟลอปแบบขอบขาลง ภาพที่ 8.23 D แผนผังเวลาฟลิปฟลอปแบบขอบขาลง

186

ตัวอยางที่ 8.6 D ฟลิปฟลอปแบบขอบขาขึ้นและแบบขอบขาลง

ภาพที่ 8.24 D ฟลิปฟลอปแบบขอบขาขึ้น และขอบขาลง

ภาพที่ 8.25 D แผนผังเวลาฟลิปฟลอปแบบขอบขาขึ้น และขอบขาลง

การดัดแปลง D ฟลิปฟลอปมาทําเปน T ฟลิปฟลอป ภาพที่ 8.26 การดัดแปลง D ฟลิปฟลอปมาทําเปน T ฟลิปฟลอป

187

การดัดแปลง R-S ฟลิปฟลอปมาทําเปน D ฟลิปฟลอป ดังแผนผังที่แสดงในภาพที่ 8.27

ภาพที่ 8.27 การดัดแปลง R-S ฟลิปฟลอปมาทําเปน D ฟลิปฟลอป

4. J-K ฟลิปฟลอป J-K ฟลิปฟลิปฟลอปเปนฟลิปฟลอปชนิดที่ใชงานมากทีสุ่ด เพราะสามารถดัดแปลงทําเปน

T ฟลิปฟลอป และ D ฟลิปฟลอปไดดวย แบงออกเปน 2 แบบ J-K ฟลิปฟลอปแบบขอบขาขึ้น และ J-K ฟลิปฟลอป แบบขอบขาลง

ภาพที่ 8.28 J-K ฟลิปฟลอปแบบขอบขาขึ้น

ตารางที่ 8.13 ตารางการทํางานของ J-K ฟลิปฟลอปแบบขอบขาขึ้น

Clk Set Clr J K Q Q Status X 1 0 X X 1 0 Ctrl Set X 0 1 X X 0 1 Ctrl Clr

0 0 0 0 0 1 Store 0 0 0 1 0 1 Clear 0 0 1 0 1 0 Set 0 0 1 1 0 1 Toggle

188

ภาพที่ 8.29 J-K ฟลิปฟลอปแบบขอบขาลง ตารางที่ 8.14 ตารางการทํางานของ J-K ฟลิปฟลอปแบบขอบขาลง

Clk Set Clr J K Q Q Status X 1 0 X X 0 1 Ctrl Clr X 0 1 X X 1 0 Ctrl Set

1 1 0 0 1 0 Store 1 1 0 1 0 1 Clear 1 1 1 0 1 0 Set 1 1 1 1 0 1 Toggle

ไดเรกอินพุตของ J-K ฟลิปฟลอป แบงออกเปน 2 อินพุต เคลียร และ พรีเซต การทํางานของไดเรกอินพุต J-K ฟลิปฟลอปมี 2 ชนิดไดแก ไดเรกอินพุตฟลิปฟลอปแอกทีฟไฮ และไดเรกอินพุตฟลิปฟลอปแอกทีฟโลวดังภาพ

189

ภาพที่ 8.30 แผนผังของ J-K ฟลิปฟลอปไดเรกอินพุตแอกทีพไฮ

ตารางที่ 8.15 การทํางานของ J-K ฟลิปฟลอปไดเรกอินพตุแอกทีพไฮ

Clk Set Clr J K Q Q Status X 1 0 X X 1 0 Ctrl Set X 0 1 X X 0 1 Ctrl Clr

0 0 0 0 0 1 Store 0 0 0 1 0 1 Clear 0 0 1 0 1 0 Set 0 0 1 1 0 1 Toggle

ภาพที่ 8.31 แผนผังของ J-K ฟลิปฟลอปไดเรกอินพุตแอกทีพโล

190

ตารางที่ 8.16 ตารางการทํางานของ J-K ฟลิปฟลอปไดเรกอินพุตแอกทพีโล

Clk Set Clr J K Q Q Status X 1 0 X X 0 1 Ctrl Clr X 0 1 X X 1 0 Ctrl Set

1 1 0 0 1 0 Store 1 1 0 1 0 1 Clear 1 1 1 0 1 0 Set 1 1 1 1 0 1 Toggle

ตัวอยางที่ 8.7 J-K ฟลิปฟลอปแบบขอบขาขึ้น

ภาพที่ 8.32 ฟลิปฟลอปแบบขอบขาขึ้น

ภาพที่ 8.33 แผนผังเวลา การทํางานของฟลิปฟลอปขอบขาขึ้น

191

ตัวอยางที่ 8.8 J-K ฟลิปฟลอปแบบขอบขาลง ภาพที่ 8.34 ฟลิปฟลอปแบบขอบขาลง ภาพที่ 8.35 แผนผังเวลา การทํางานของฟลิปฟลอปขอบขาลง

การดัดแปลง J-K ฟลิปฟลอปใหเปน T ฟลิปฟลอป ซ่ึงสามารถทําไดโดยนําขา J และขา K มาตอเขากับแหลงจายไฟ 5 V หรือใหอยูในสภาวะลอจกิ “1” ภาพที่ 8.36 การดัดแปลง J-K ฟลิปฟลอปใหเปน T ฟลิปฟลอป

192

ตารางที่ 8.17 การทํางานของ T-Flip Flop ซ่ึงทําจาก J-K Flip Flop

Clk J K Q Q 1 1 1 0 1 1 0 1 1 1 1 0 1 1 0 1 1 1 1 0 1 1 0 1

ภาพที่ 8.37 แผนผังเวลาการดัดแปลง J-K ฟลิปฟลอปใหเปน T ฟลิปฟลอป การดัดแปลง J-K ฟลิปฟลอปใหเปน D ฟลิปฟลอป ซ่ึงสามารถทําไดโดยนําขา J มาตอเขากับอินพุตของน็อตเกต สวนเอาตพุตของน็อตเกตตวัเดยีวกันใหนําไปตอเขากับขา K ของตัวฟลิปฟลอป ดังภาพ ภาพที่ 8.38 การดัดแปลง J-K ฟลิปฟลอปใหเปน D ฟลิปฟลอป

193

ตารางที่ 8.18 การทํางานของ D-Flip Flop โดยทําจาก J-K Flip Flop

Clk J K Q Q 0 1 0 0 1 0 1 1 0 1 0 0 1 0 1 1 0 1 0 0 1 0 1 1

ภาพที่ 8.39 แผนผังเวลาการดัดแปลง J-K ฟลิปฟลอปใหเปน D ฟลิปฟลอป สรุป ฟลิปฟลอปเปนอุปกรณดจิิตอลอีกชนิดหนึง่ ที่ทําหนาที่เปล่ียนสัญญาณที่รับเขามาทางอินพุตใหเปล่ียนไป โดยแสดงออกทางเอาตพุต ฟลิปฟลอปมี 2 ประเภทใหญ ๆ ไดแกไดเรกฟลิป ฟลอบ และทริกเกอรร่ิงฟลิปฟลอปซึ่งทั้งสองประเภทนี้แตกตางกันตรงที่ตองมีสัญญาณมากระตุนใหทํางานหรือไม ไดเรกฟลิปฟลอปไมตองมีสัญญาณมากระตุนก็สามารถทํางานไดทันที เมื่อมีสัญญาณอินพุตปอนเขามีผลทําใหควบคุมการทํางานลําบาก สวนทริกเกอรร่ิงฟลิปฟลอปตองมีสัญญาณมากระตุนใหทํางาน ฟลิปฟลอปประเภทนีน้ิยมนํามาประยุกตใชงานมากวาไดเรกฟลปิฟลอป ทริกเกอรร่ิงฟลอปมีหลายชนิดไดแก RS ฟลิปฟลอป D ฟลิปฟลอป T ฟลิปฟลอป และ JK ฟลิปฟลอป แตละชนิดทํางานแตกตางกันออกไปตามคณุลักษณะของฟลิปฟลอปแตละชนิด สวน

194

ใหญมักนยิมนาํไปใชออกแบบวงจรนับ วงจรเลื่อนขอมูล วงจรหารความถี่ วงจรปองกันสัญญาณกระเพื่อมเปนตน

แบบฝกหัดทายบท 1. จงเขียนแผนผังเวลาของวงจรตอไปนี ้

ภาพที่ 8.40 การตอ D ฟลิปฟลอปและ T ฟลิปฟลอปรวมกัน

2. จงเขียนตารางความจริงของวงจรตอไปนี้ ภาพที่ 8.41 การตอ D ฟลิปฟลอป 2 ตัวแบบลําดับ

เอกสารอางอิง นภัทร วจันเทพินทร. 2545. วงจรดิจิตอล ภาคปฏิบตั.ิ กรุงเทพมหานคร : สยามสปอรต ซินดิเคท. บัณฑิต บวับชูา. 2545. ทฤษฎีและการออกแบบวงจรดิจิตอล. กรุงเทพมหานคร : ฟสิกสเซ็นเตอร. มงคล ทองสงคราม. 2545. ดิจิตอลเบื้องตน. กรุงเทพมหานคร : รามาการพิมพ. รัฐวุฒิ ประทมุราช. 2545. การออกแบบวงจรดิจิตอล. กรุงเทพมหานคร : ซีเอ็ดยูเคชั่น จํากัด. รงแสง เครือไวศยวรรณ. 2545. การออกแบบวงจรดิจิตอล. กรุงเทพมหานคร : สมาคมสงเสริม ุ

Recommended