76
Technical Program www.spie.org/al 2014 Conference & Courses 23–27 February 2014 Exhibition 25–26 February 2014 Location San Jose Marriott and San Jose Convention Center San Jose, California, USA

2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

  • Upload
    others

  • View
    1

  • Download
    0

Embed Size (px)

Citation preview

Page 1: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

Technical Programwww.spie.org/al

2014

Conference & Courses 23–27 February 2014

Exhibition25–26 February 2014

Location San Jose Marriott and San Jose Convention CenterSan Jose, California, USA

Page 2: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

Technical Program

Conferences and Courses: 23–27 February 2014 · Exhibition: 25–26 February 2014San Jose Marriott and San Jose Convention Center, San Jose, California, USA

SPIE would like to express its deepest appreciation to the symposium chairs, conference chairs, program committees, and session chairs who have so generously given their time and advice to make this symposium possible.

The symposium, like our other conferences and activities, would not be possible without the dedicated contribution of our participants and members. This program is based on commitments received up to the time of publication and is subject to change without notice.

Welcome

Your attendance at SPIE Advanced Lithography increases your company’s success in the lithography industry through access to the latest research, networking opportunities, and industry directions.

Technologies

- Extreme Ultraviolet (EUV) Lithography

- Alternative Lithographic Technologies

- Metrology, Inspection, and Process Control for Microlithography

- Advances in Patterning Materials and Processes

- Optical Microlithography

- Design-Process-Technology Co-optimization for Manufacturability

- Advanced Etch Technology for Nanopatterning

Page 3: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 1

Sponsored by

Contents

Sponsors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2

FloorPlans. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3

DailySchedule. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4(Events,Conferences)

Welcome. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

PlenaryPresentations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6–7

SpecialandTechnicalEvents. . . . . . . . . . . . . . . . . . . . . . . 6–8

AwardAnnouncements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

ExhibitionInformation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10

GeneralInformation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11–14

CourseSchedule. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15

TechnicalConferenceSessionSchedule . . . . . . . . . . . .16–17

IndexofAuthors,Chairs,andCommitteeMembers . . 59–70

ProceedingsofSPIE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71

Technical Conferences

Extreme Ultraviolet (EUV) Lithography V (Wood, Panning) . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18–52

Alternative Lithographic Technologies VI(Resnick, Bencher). . . . . . . . . . . . . . . . . . . . . . . . . . .18–56

Metrology, Inspection, and Process Control for Microlithography XXVIII(Cain, Sanchez) . . . . . . . . .18–54

Advances in Patterning Materials and Processes XXXI (Wallow, Hohle) . . . . . . . . . . . . . . .18–55

Optical Microlithography XXVII (Lai, Erdmann) . . . .19–57

Design-Process-Technology Co-optimization for Manufacturability VIII(Sturtevant, Capodieci). . . . .19–57

Advanced Etch Technology for Nanopatterning III(Oehrlein, Lin) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19–31

AdvancedLithography2014PromotionalPartners

MEMs and Nanotechnology Exchange

Photonics Media

Photonics Online

Solid State Technology

Page 4: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

2 SPIEAdvancedLithography2014 · www.spie.org/al

Thanks to the following sponsors for their generous support of SPIE Advanced Lithography

Advanced Etch Technology for Nanopatterning III Conference

www.lamresearch.com

Advances in Patterning Materials and Processes XXXI Conference

www.tokamerica.com

Attendee Lunch

www.dow.com

Banner

www.hitachi-hta.com

www.nikonprecision.com

Breakfast

www.kla-tencor.com

Coffee Breaks

www.asml.com

www.asml.com

www.nuflare.com

www.kla-tencor.com

Panel Discussions

www.kla-tencor.com

Plenary Session

www.asml.com

Poster Reception

www.azem.com

Poster Reception Cosponsored

www.tokamerica.com

SPIE Conference App

www.tel.com

Stairway Strips

www.hitachi-hta.com

Wi-Fi

www.tel.com

www.hitachi-hta.com

General RefreshmentsHitachi High Technologies America

Micro Lithography Inc.Rigaku Innovative Technologies, Inc.

Internet Pavilion

www.jeolusa.com

Lanyards

www.mentor.com

Logo - Online Floor Plan

www.zeiss.com/sms

www.tokamerica.com

www.trioptics.com

Meter Board

www.cadencedesign.com

www.nikonprecision.com

Metrology, Inspection, and Process Control for Microlithography XXVIII

Conference

www.novameasuring.com

Optical Microlithography XXVII Conference

www.cymer.com

Conference Bags

www.zeiss.com/sms

Conference Bag Pens

www.nikonprecision.com

Design-Process-Technology Co-optimization for

Manufacturability VIII Conference

www.hitachi-hta.com

Exhibition Bags

www.synopsys.com

Extreme Ultraviolet Lithography (EUV) V Conference

www.rigaku.com

Featured Exhibitor

www.tokamerica.com

www.trioptics.com

Hotel Room Key

www.cymer.com

Page 5: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 3

San Jose Convention Center and Marriott Hotel

Exhibitor RegistrationAttendee Registration

Third Floor

Hilton Hotel

San Jose Marriott,Second Floor

Speaker Check-in DeskInternet PavilionSPIE Marketplace

Marriott Salons

210C

210B

212

211

214

213

ExhibitionHall 1

Hall 2

San Jose Convention CenterExhibit and Meeting Room Level

111 – 114

114

113

112

111

GrandBallroom220B

GrandBallroom220C

GrandBallroom220A

Exhibitor RegistrationAttendee Registration

Third Floor

Hilton Hotel

San Jose Marriott,Second Floor

Speaker Check-in DeskInternet PavilionSPIE Marketplace

Marriott Salons

210C

210B

212

211

214

213

ExhibitionHall 1

Hall 2

San Jose Convention CenterExhibit and Meeting Room Level

111 – 114

114

113

112

111

GrandBallroom220B

GrandBallroom220C

GrandBallroom220A

Advertisers IndexJSR Micro, Inc...........backcover

Photonics Media. . . . insidebackcover

Solid State Technology . . . . . . . . . . 69

Wuxi Nanotech, Inc. . . . . . . . . . . . . . 67

Page 6: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

4 SPIEAdvancedLithography2014 · www.spie.org/al

Daily Schedule

SUNDAy MONDAy TUESDAy WEDNESDAy THURSDAy

Special Events Welcome and Announcements(Levinson),8:00to8:30am,p.6

Plenary presentation: My year as SPIE President: What Does the Society Do and Who Makes it Happen? (Arnold)8:30to9:10am,p.6

Plenary presentation: Making the Impossible: Dealing with Patterns Throughout the Design and Manufacturing Flow(Sawicki)9:10to9:50am,p.7

Plenary presentation: Beyond Scaling: Opportunities and Approaches(Sekiguchi)9:50to10:30am,p.7

Award Announcements for Conference 9050-Metrology, Inspection, and Process Control for Microlithography,11:00to11:20am,p.9

Award Announcements for Conference 9051-Advances in Patterning Materials and Processes, 11:00to11:20am,p.9

Nanotechnology in Microlithography Panel Discussion (Silver, Barnes),6:00to7:30pm,p.8

BACUS Panel Discussion on Managing EUV Masks in Wafer Fab (Progler),7:00to8:30pm,p.8

Conferences

Advanced Etch Technology for Nanopatterning III(Oehrlein, Lin),p.18–31

Extreme Ultraviolet (EUV) Lithography V (Wood, Panning),p.18–52

Alternative Lithographic Technologies VI (Resnick, Bencher),p.18–56

Metrology, Inspection, and Process Control for Microlithography XXVIII (Cain, Sanchez),p.18–54

Advances in Patterning Materials and Processes XXXI (Wallow, Hohle),p.18–55

Design-Process-Technology Co-optimization for Manufacturability VIII (Sturtevant, Capodieci), p.18–57

Optical Microlithography XXVII (Lai, Erdmann),p.18–57

Award Announcements for Conference 9052-Optical Microlithography, 10:20to10:25am,p.9

Award Announcements for Conference 9050-Metrology, Inspection, and Process Control for Microlithography,10:30to10:40am,p.9

Poster Reception(Conferences9050,9051,9053),6:00to8:00pm,p.8

Panel Discussion on Alternative Forms of Scaling,5:15to7:00pm,p.8

Poster Reception(Conferences9048,9049,9052,9054),6:00to8:00pm,p.8

Joint Panel Discussion on The Battle for the 7nm Node: What are the Non-EUV Solutions?(Conley, Lai), 7:45to9:00pm,p.8

EXHIBITION,p.1010:00amto5:00pm;6:00to8:00pm10:00amto4:00pm

See Course schedule page 15.Review course descriptions and register for courses at the SPIE Cashier Desk.

Thursday Courses, see p.15

CoursesSunday and Monday Courses, see p.15

Page 7: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 5

Executive CommitteeChristopher Bencher, AppliedMaterials,Inc.Jason P. Cain, AdvancedMicroDevices,Inc.Luigi Capodieci,GLOBALFOUNDRIESInc.Mircea V. Dusa, ASMLUS,Inc.Andreas Erdmann, FraunhoferInstituteofIntegratedSystemsandDeviceTechnologyChristoph K. Hohle,FraunhoferInstituteforPhotonicMicrosystemsKafai Lai, IBMCorp.Harry J. Levinson, GLOBALFOUNDRIESInc.Qinghuang Lin, IBMThomasJ.WatsonResearchCtr.Gottlieb S. Oehrlein, Univ.ofMaryland,CollegeParkEric M. Panning,IntelCorp.Douglas J. Resnick, MolecularImprints,Inc.Martha I. Sanchez,IBMResearch-AlmadenJohn L. Sturtevant, MentorGraphicsCorp.Thomas I. Wallow, GLOBALFOUNDRIESInc.Obert R. Wood II, GLOBALFOUNDRIESInc.

Advisory CommitteeRobert D. Allen,IBMResearch-AlmadenWilliam H. Arnold, ASMLUS,Inc.Timothy A. Brunner,IBMThomasJ.WatsonResearchCtr.Ralph R. Dammel,AZElectronicMaterialsUSACorp.Roxann L. Engelstad, Univ.ofWisconsin,MadisonDonis G. Flagello, NikonResearchCorp.ofAmericaHarry J. Levinson, GLOBALFOUNDRIESInc.Burn Lin,TaiwanSemiconductorManufacturingCo.,Ltd.Chris A. Mack,lithoguru.comWilhelm Maurer,InfineonTechnologiesAGChristopher J. Progler, Photronics,Inc.Michael T. Postek, NationalInstituteofStandardsandTechnologyLuc Van den hove,IMECC. Grant Willson, TheUniv.ofTexasatAustinAnthony yen,TaiwanSemiconductorManufacturingCo.,Ltd.

Welcome!Thecontinuationoflithography-enabledMoore’sLawscalingisbeingchallengedasneverbefore.WhilesolvingthetechnicalproblemsassociatedwithextendingimmersionlithographyandbringingEUVlithographyandothernewtechnologiestoproductionreadiness,lithographersareincreasinglychallengedwithfindingsolutionsthatarecost-effective.Successwilldemandunprecedentedingenuity,costefficiencyandcommunicationwithinthelithographycommunityandacrossinterdisciplinarylines.

Forthepast38years,theSPIEAdvancedLithographySymposiumhasplayedakeyroleinbringingthelithographyandbroaderpatterningcommunitytogethertosolvechallengesrequiredbythesemiconductorindustry.Symposiumparticipantscomefromanextensivearrayofbackgroundstoshareandlearnaboutstate-of-the-artlithographictools,resists,metrology,processes,anddesignandprocessintegration.Throughaseriesofprovocativepaneldiscussionsandseminars,thesymposiumfurtherprobescurrentissuestobefacedasweextendthesetechnologiesortrytoswitchtoalternativetechnologies.

Thesymposiumisorganizedintosevenconferences:

•AlternativeLithographicTechnologies•ExtremeUltravioletLithography•Metrology,Inspection,andProcessControlforMicrolithography•AdvancesinPatterningMaterialsandProcesses•OpticalMicrolithography•Design-Process-TechnologyCo-OptimizationforManufacturability•AdvancedEtchTechnologyforNanopatterning

Allconferencesareorganizedbycurrentpractitionersoftheart,andnumerousshortcoursesaretaughtbyrecognizedindustryexperts.Inkeepingwiththeinterdisciplinarynatureoflithography,thereareseveraljointsessionswhichcovertopicsrelevanttomultipleconferences.Additionalinformationisavailablefromthemanymanufacturers’exhibits,whichallowtoolmakers,EDAsuppliers,andmaterialsupplierstoshowcasenewproductswhileinteractingone-on-onewithcustomers.

WewelcomeyoutotheSanJoseConventionCenter,SanJose,CaliforniafortheSPIEAdvancedLithography’s39thyear.

Harry J. LevinsonGLOBALFOUNDRIES,Inc.2014SymposiumChair

Mircea DusaASMLUS,Inc.2014SymposiumCo-Chair

2014Advanced Lithography

Page 8: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

6 SPIEAdvancedLithography2014 · www.spie.org/al

Special EventsPlenary Presentations · Convention Center 220A

Welcome and AnnouncementsConvention Center 220A

Monday24February . . . . . . . . . . . . . . .8:00to8:30amSymposium Chair: Harry J. Levinson, GLOBALFOUNDRIES

Introduction of New SPIE Fellows

Award Presentations11th Frits Zernike Award for Advances in Optical Microlithography Zernike Award Sponsored by:

8:30to9:10am

My year as SPIE President: What Does the Society Do and Who Makes It Happen?

William H. ArnoldChiefScientist,ASML2013SPIEPresident

IservedasSPIEPresidentin2013,thefirstlithographertoholdthisoffice.TheSocietyofPhoto-OpticalInstrumentationEngineershas a unique membership and history,goingbackalmostsixtyyears.Theoriginal

meetingswereorganizedbyengineers trying to improvephotographyofrocketsbeingfiredintospacefromtheplainsofWestTexas,todeterminerangeandmaximumaltitude.Todaythesocietyhasmorethan17000members,workinginallfieldsofopticalscienceandengineering.Majorsymposiainlasersandopticaldesign,biomedicalopticsandmedicalimaging,nanotechnologyandsolarpower,astronomyandremote sensing are the premiere venues in these fields,asAdvancedLithographyisinours.Proceedingsoftheseconferences include some of the most cited papers intheworld,figuringespeciallystrongly inUSPatents.Thesocietyalsoorganizesmajor industryexhibitionssuchasPhotonicsWest,theworld’sbiggesttradeshowinopticalandphotonicproducts,heldannuallyinSanFrancisco.Atitscore,SPIEisaneducationalnot-for-profitorganization,donatingmorethan$3.2millioninaltruisticgivingin2013,morethan$190permember,tovariouscharitableactivitiessuchasscholarships,studenttravelgrantsandawards,andeducationaloutreachtothedevelopingworld. InthistalkIwillgiveasnapshotofwhatIdidasPresidentin the last year and the interesting people I met andworkedwith.Iwillhighlighttheopportunitiespeopleinourcommunityhavetousetheresourcesofthesocietytotheirbestadvantageandtocontributethemselvestothewiderworldofopticalsciencesandphotonics,beyondlithography.

Bill Arnold has worked in lithography for 34 years,startingasastepperengineeratAdvancedMicroDevicesinSunnyvale,CAin1980.Hewrotehisfirstpaperforthisconferencein1982.Afterservinginvariousauthor,sessionchair,andconferencechairroles,heservedasSymposiumChairin1994and1995.HehasbeentheSeniorEditorforLithographyfortheSPIEjournalJM3since2002.HechairedtheSPIEPublicationsCommitteefrom2004to2008.HewaselectedVicePresidentoftheSocietyfor2011andservedasPresidentin2013. BillworkedasachipmakerforAMDfrom1980to1998where he was a Senior Fellow and Director of ProcessDevelopment. In 1998 he moved to Veldhoven, theNetherlands,tobecometheChiefScientistofASML,nowthe world’s leading lithography equipment manufacturer.In 2001, he moved back to the US, to head the ASMLTechnologyDevelopmentCenterinTempe,AZ,whereheistheSeniorVicePresident.ArnoldhasaB.A.inPhysicsfromHampshireCollegeandanM.S.inPhysicsfromtheUniversityofChicago.HeisaFellowofSPIE.2013 Harold E. Edgerton Award

Award presented to Martin Richardson,byWilliam H. Arnold

Page 9: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 7

Plenary Presentations · Convention Center 220A

9:10to9:50am

Making the Impossible: Dealing with Patterns Throughout the Design and Manufacturing Flow

Joseph SawickiVicePresidentandGeneralManager,Design-to-SiliconDivisionMentorGraphicsCorp.

Wehavealwaysdescribedasemiconductorprocessnodebyaspectsofpitch.Whetheritisaminimumchannellength,metalspacing,orasseemsmorecommonnow,anumberdriven by the marketing department’s

target message, everything came down to widths andspaces.Regardlessastohowwegotthere,thismatchedwellwithbothdesignandmanufacturingviewsupthoughabout 130nm. For designers, smaller transistors, andsmaller interconnect pitches mapped to faster, smaller,andcheaperdesigns.Forthefab,thewidthsandspacesmappeddirectlyontoparticledefectdensitiesanddefinedtheiryieldchallenge. Theworldstartedtochangesomewhere in the130nmto90nm timeframe.Drivenby thewell-knowndifficultiesinvolved in lithography and exacerbated by increasedsensitivity in processes like CMP, design style-basedorsystematicdefectsbecamethemajorchallengetoyield-ramp,adding to thebasicprocess ramp.Becauseof itsinvolvementinthedesign,manufacturing,andtest;EDAisinauniquepositiontocontributetowardscontrolling,ifnotsolving,theproblem.We’lllookat:

•SolutionsintheDFTspacethatletusidentifypatternfailureshidinginyieldloss

•Newmethods inOPC that allow forprocesswindowexpansionofproblematichotspots

•Upcomingmodelingtechnologiesthattargetnewpatternfailuremechanismsinemergingnodes

•Newtoolsinthedesignspacesthatcangivedesignersvisibilityintotherisksofproduction.

ThegoalisapatternawareEDAflowthatminimizesrisk,enhances manufacturing and quickly finds issues whentheyoccur.

Joseph Sawicki is the Vice President and GeneralManageroftheDesign-to-Silicondivision.AnexpertinICnanometerdesignandmanufacturingchallenges,Sawickiis responsible for Mentor's industry-leading design-to-siliconproducts,includingtheCalibrephysicalverificationand DFM platform and Mentor's Tessent design-for-testproductline.SawickijoinedMentorGraphicsin1990andhas held previous positions in applications engineering,sales,marketingandmanagement.HeholdsaBSEEfromthe University of Rochester, an MBA from NortheasternUniversity'sHighTechnologyProgram,andhascompletedthe Harvard Business School Advanced ManagementProgram.

9:50to10:30am

Beyond Scaling: Opportunities and Approaches

Akihisa SekiguchiCorporateVPandDeputyGeneralManager,SPEMarketingandProcessDevelopment,TokyoElectronLtd.

WehaveexperiencedastaggeringrevolutioninICmanufacturing,whichhasequippeduswithubiquitousportabledevicesthatboast

computingcapabilitiesexponentiallygreaterthantheroom-sizedmainframesfromafewdecadesago.Ingenuityandinnovationhavesteadilyscaleddevices to today’s levels.Andaswelookahead,wearefacedwiththeuncertaintyofhowmuch longerwecandependonhistoricalscalingadvancestoguideusforward. Maintainingthecadenceofrecentnodescalinghascomeat a significant cost. Achieving the necessary processcontrols and low defectivity levels requires advancedequipment, including lithographyandmetrologytools, forcosteffectivemanufacturing. Innovationssuchasdouble/multiplepatterning,griddeddesignrules,computationalmethods,andsource-maskoptimizationextendlithographybeyondwhatwasconsideredfeasiblejustafewyearsago.Allofthesecomponentstogetherintroducecomplexityandcostintocurrentleading-edgemanufacturing.

With EUVL and complementary techniques, such asDSAunprovenforhighvolumemanufacturing,apatterningpath to future nodes is not clear. Are we up against aroadblockoraforkintheroad?Asweexploreoptions,anumberofuncertaintiesunfold.Canweovercomedevicelevel limitations by employing new materials, designarchitecturesandprocess technologies?Will industrybeabletocontainescalatingR&Dexpendituresinpursuitofscaling?Andwillwehaveasustainableinfrastructurethatfosters collaborative ingenuity and innovation to ensuresteadyprogress?Duringthecourseofmypresentation,Iwillexploreviablepathsandhighlightsomeoftheessentialelementsnecessarytopropeltheindustryforward. Akihisa SekiguchiiscurrentlyCorporateVicePresidentandDeputyGeneralManagerofSemiconductorProductionEquipment(SPE)MarketingandProcessDevelopmentatTokyoElectronLimited.Heisresponsiblefordefininganddriving internal and external corporate R&D strategies,mergersandacquisitions,corporatetechnologymarketing,450mmdevelopmentandconsortiaprograms. Since joining TEL in 2007, Dr. Sekiguchi has servedas senior technologist for key accounts and consortia.Additionally,hehasbeenresponsiblefordevelopingandimplementingcorporateR&Dstrategies,andmergerandacquisitionstrategies. HestartedhiscareeratIBMin1991,initiallyworkingonearly stage development of Cu-based BEOL processes.Subsequently,hemanagedIBM’sCellProcessorprocesstechnology,FEOLUnit/Moduleprocessdevelopmentand90nm/65nm/early45nmSOIbasedprocesstechnologies. Dr.SekiguchiholdsaB.S.andM.Eng. inAppliedandEngineeringPhysics fromCornellUniversity,anM.B.A inFinancefromNewYorkUniversitySternSchoolofBusiness,andaPh.D.inAppliedPhysicsfromColumbiaUniversity. Having lived in Honduras and Brazil, Dr. SekiguchispeaksSpanishandPortuguese inaddition toJapaneseandEnglish.Hedevoteshisfreetimetohismarriage,twochildrenandtennis. HeholdsseveralpatentsandisamemberofIEEEandSEMI.

CoffeeBreak . . . . . . . . . . . . . . . . . . . 10:30to11:00am

Plenary Presentations Sponsored by

Page 10: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

8 SPIEAdvancedLithography2014 · www.spie.org/al

Technical Events

Nanotechnology in Microlithography Panel Discussion: Extending the End of CMOS Through NanotechnologyConvention Center 220B

Monday24February. . . . . . . . . . . . . . . . 6:00to7:30pm

Chairs: Richard Silver, Bryan Barnes, andJoseph Kline, NationalInstituteofStandardsandTechnology

Delaying the preordained “End of CMOS” will requireinnovationsbased in nanotechnology. Similar toopticallithography,theendofCMOShasbeenpredictedforsometime,butnewsolutionscontinuetogivelifetoCMOSandits extensive manufacturing infrastructure. There are awealthof newadvances in nanotechnology that haveorarelikelytoextendtheviabilityofCMOS,suchasdirectedself-assembly,strainengineering,compositiontuning,andgeometricalspacersforpitchquartering.Whatnewtoolsandadvancesinnanotechnologycanbeharnessedtoenabledown-scaling,overcomingdevicelevellimitations,andnewscalablearchitectures,andcantheybeintegratedwiththeexistingmanufacturinginfrastructure?Wehaveassembledapanelofexpertsthatwillsharetheirinsightsontheindustry’sprospectstousenanotechnologytoprolongCMOSasweknowit.Thepanelwillevaluatepotentialmaterialssolutionssuchasdirectedself-assemblyandblockcopolymers,III-VmaterialsandGe,andatomiclayerdepositiontoaddressknowngaps.Processadvancessuchasprecisionetchforfinformationorspacertechniquesthatrelyonnanometerscale three-dimensional geometries present substantialmetrology and manufacturing challenges. The panel willidentifykeyareasofnanotechnologythathavethepotentialtoprovidesolutionsforcriticalgapsinlithography,materials,anddevicedesigns.

BACUS Panel Discussion on Managing EUV Masks in Wafer FabConvention Center 220C

Monday24February. . . . . . . . . . . . . . . . 7:00to8:30pm

Sponsored by

Moderator:Christopher J. Progler,Photronics,Inc.

Waferfabshavedevelopedvariousapproachestomanage,monitorandextendthelifecycleofadvancedopticalmasks.An effective wafer fab management system for masksconsiderseachstepfromshippingtoreceiving,incoming

qualification, storage, use monitoring, recertification andoccasionalreprocessing.Certainsteps,suchasre-pellicleandcleaning,mayinvolveashipmentofthemaskfromwaferfabtomaskoperationandback.InthecaseoftheEUVmask,itisconceivableaverydifferentmaskmanagementflow may be necessary and this panel is designed tohighlighttheuniquerequirementsofmanagingproductionlevelEUVmasksinthewaferfabinordertoassesscriticalinfrastructuregapsintheprocessflow. Panelistswillexplorecertain,relevantfabmanagementbest practices for optical masks to assess what mightbe applicable in the EUV case. Specific challenges forimplementinganEUVmaskmanagement systemwill behighlightedwithemphasisonissuessuchasmaskprocesscapability needed within the fab to enable reliable EUVlithography,endtoendfabmanagementoftheEUVmaskfromshippingtovalidationandrecertification,anticipatedwaferfablifetimeissueswithEUVmasks,impactofpelliclesonthefabmanagementstrategyandthecriticalinteractionpoints between the wafer fab and mask operation tomaintainareliableEUVmaskmanagementstrategy.

Poster ReceptionsConvention Center Hall 2Tuesday25February.................6:00to8:00pm

(Conferences 9048, 9049, 9052, 9054)

Tuesday Poster Sponsor:

TheExhibitionwillbeopenduringthePosterReceptiononTuesdayonly.Besuretovisittheexhibitionboothsduringthistimeforinsightonwhatisneworcomingsoon.

Wednesday26February..............6:00to8:00pm

(Conferences 9050, 9051, 9053)

Wednesday Poster Sponsor:

All registered attendees are invited to attend the postersessions. Come view the high-quality papers that arepresented inthisalternativeformat,and interactwiththeposterauthorswhowillbeavailablefordiscussion.Enjoylightrefreshmentswhilenetworkingwithcolleaguesinyourfield. Fullauthorortechnicalregistrationisrequiredforentrytothepostersessions.Pleasewearyourregistrationbadge.

Joint Panel Discussion on The Battle for the 7nm Node: What are the Non-EUV Solutions?Convention Center 220A

Tuesday25February. . . . . . . . . . . . . . . 7:45to9:00pm

Sponsored by

Moderator: Will Conley, Cymer,Inc.; Kafai Lai,IBMCorp.

Joint Panel with conferences 9050, 9051, 9052, 9053, 9054

Panel Discussion on Alternative Forms of ScalingConvention Center 220A

Tuesday25February. . . . . . . . . . . . . . . 5:15to7:00pm

Conf . 9049 Moderators:Christopher Bencher,AppliedMaterials,Inc.;H.-S. Philip Wong, StanfordUniv.

Panelists:Johann Alsmeier,SanDiskCorp.;Liam Madden, XilinxInc.;Kunal Parekh, MicronTechnology,Inc.;Michael Van Buskirk,AdestoTechnologies,Inc.

Theoptionsfornextgenerationlithographyandalternativepatterning techniques have been thoroughly debatedwithin our lithography conferences and communities.Orthogonaltothese2Dscalingefforts,severalnewdevicearchitectureshavebeendevelopedwhichofferthepotentialtosupplementplanarscalingusing3Dmethods. 3D NAND is coming to market as an alternative toplanar NAND and potentially displace quad-patterningrequirementsinonemarketsegment.Re-RAMisanotherdeveloping 3D-compatible memory device with potentialtoaffectpatterning requirements furtherupstream in thememoryhierarchy.DRAMhasseentheemergenceof3Ddie-stackingasameanstosupplementplanarscaling inhighperformanceDRAMapplications.FPGAmakershavecome-to-marketwithheterogeneous2.5Dand3Dsolutionsforhighperformanceprogrammablelogicapplications. This panel is assembled to discuss the technical andeconomicmerits of thesenewdevicearchitectures, andtheirpotentialinfluencetotheneedsandrequirementsforalternativelithography.

Page 11: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 9

Award Announcements

Award Announcements for Conference 9050—Metrology, Inspection, and Process Control for Microlithography

Monday24February. . . . . . . . . . . 11:00to11:20am

Presentation of the 2013 Diana Nyyssonen Memorial Award for Best PaperAward Sponsored by

Thursday27February. . . . . . . . . . 10:30to10:40am

Presentation of the 2014 Karel Urbanek Best Student Paper Award Award Sponsored by

Award Announcements for Conference 9051—Advances in Patterning Materials and Processes

Monday24February. . . . . . . . . . . .11:00to11:20am

Presentation of the 2013 C. Grant Willson Best Paper Award

Presentation of the 2013 Hiroshi Ito Memorial Award for the Best Student PaperThese Two Awards Sponsored by

Presentation of the 2014 Jeffrey Byers Memorial Best Poster AwardAward Sponsored by

Award Announcement for Conference 9052—Optical Microlithography

Thursday27February. . . . . . . . . . .10:20to10:25am

Presentation of the 2014 Best Student Award in MicrolithographyAward Sponsored by

SPIE Conference AppSeecompleteprogramsofallpresentations,exhibitors,andspecialevents.Sortbyrelevanceandcreateaschedule.Addnotes,seetheattendeelist,benotifiedofupcomingevents,andseeYelpreviewsofnearbybusinesses.

Availableatspie.org/mobile,AndroidMarket,andAppStore.

Update to the latest

version for full functionality

Page 12: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

10 SPIEAdvancedLithography2014 · www.spie.org/al

Contact SPIE Sales: Tel:+13606763290·Fax:+13606471445·[email protected]

2014 Exhibiting Company list (current1/14/2014)

InkoIndustrialCorp.IntegratedMicroMaterialsJ.A.WoollamCo.,Inc.JSRMicro,Inc.MEMS&NanotechnologyExchangeMentorGraphicsMGNInternational,Inc.MicroLithography,Inc.microresisttechnologyGmbHMicroChemCorp.MitsuiChemicalsAmerica,Inc.NuFlareTechnology,Inc.OptoDiodeCorp.OwensDesign,Inc.PallCorporationPhotonicsMediaPhotonicsOnlinePI(PhysikInstrumente)Pozzetta,Inc.QoniacGmbH

RaithAmerica,Inc.RigakuInnovativeTechnologies,Inc.SAESPureGas,Inc.SageDesignAutomationShin-EtsuMicroSiSOKUDOCo.Ltd/SCREEN/DNS

ElectronicsSolidStateTechnologyStorexTechnologiesSumikaElectronicMaterialsSwissLithoAGSwissOpticAGSynopsysInc.TokyoElectronLimitedTokyoOhkaKogyoAmerica,Inc.(TOK

America)TRIOPTICSGmbHVistecElectronBeamGmbHXEIScientific,Inc.ZygoCorporation

abeamTechnologies,Inc.AmunealMfgCorpASMLattocubesystemsInc.BenchmarkTechnologiesBerlinerGlasKGaAHerbertKubatz

GmbH&Co.BrewerScience,Inc.BrionTechnologies

Exhibition TechnologiesLithography: immersion, double patterning, e-beam, EUV, optical/laser, and RET

Metrology, inspection, OPC, and process control

Design and manufacturing software

Materials and chemicals

Imaging equipment

Lasers

Resist materials and processing

Nano-imprint

IC and chip fabrication

Nanoscale imaging

CadenceCarlZeissSMSGmbHCyberOpticsEnergetiqTechnology,Inc.ExogenesisCorporationGenISysGmbHGudengPrecisionIndustrialCo.,Ltd.HalocarbonProductsIbssGroup,Inc.

Visit the exhibition Convention Center Hall 1

Tuesday25February10:00amto5:00pm;6:00to8:00pmPosterSession

Wednesday26February10:00amto4:00pm

Page 13: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 11

Registration

Onsite Registration/Badge Pick-Up Hours San Jose Convention Center

Sunday23February . . . . . . . . . . . . . . . 7:00amto5:00pm

Monday24February. . . . . . . . . . . . . . . 7:00amto4:00pm

Tuesday25February. . . . . . . . . . . . . . . 7:30amto5:00pm

Wednesday26February. . . . . . . . . . . . 7:30amto4:00pm

Thursday27February. . . . . . . . . . . . . . 7:30amto4:00pm

Conference Registration Includes admission to all conference sessions, plenaries,panels, technical group meetings, and poster sessions;AdmissiontotheExhibition;LunchesanddessertsnacksonTuesdayandWednesday;Breakfastbreads;CoffeeBreaks;Achoiceofproceedings,printorCD(excludingstudents).

Course and Workshop RegistrationCoursesandworkshopsarepricedseparately.Course-onlyregistrationincludesyourselectedcourse(s),coursenotes,coffee breaks, and admittance to the exhibition. Coursepricesincludeapplicabletaxes.Onsite,pleasegotoCourseMaterialsDeskafteryoupickupyourbadge.

SPIE Member, SPIE Student Member, and Student Pricing

• SPIEMembersreceiveconferenceandcourseregistrationdiscounts.Discountsareappliedatthetimeofregistration.

• SPIEStudentMembersreceivea50%discountonallcourses.

• StudentregistrationratesareavailableonlytoundergraduateandgraduatestudentswhoareenrolledfulltimeandhavenotyetreceivedtheirPh.D.Post-docsmaynotregisterasstudents.AstudentIDnumberorproofofstudentstatusisrequiredwithyourregistration.

General Information

Press RegistrationForcredentialedpressandmediarepresentativesonly.Pleaseemailcontactinformation,title,[email protected].

SPIE CashierRegistration Area - Open during registration hours

• RegistrationPaymentsIf you are paying by cash or check as part of your onsiteregistration, wish to add a course, workshop, or specialevent requiringpayment,orhavequestions regardingyourregistration,pleaseseetheSPIECashier.• ReceiptsandCertificateofAttendancePreregisteredattendeeswhodidnot receiveareceiptmayobtain one at Badge Corrections and Receipts. AttendeeswhoneedaCertificateofAttendancemayobtainitfromtheSPIECashier.• BadgeCorrectionsBadgecorrectionscanbemadeatBadgeCorrections.Pleasehaveyourbadgeremovedfromthebadgeholderandmarkedwithyourchangesbeforeapproachingthecounter.• RefundInformationThere is a US$50 service charge for processing refunds.Requestsforrefundsmustbereceivedby13February2014;allregistrationfeeswillbeforfeitedafterthisdate.Membershipdues,SPIEDigitalLibrarysubscriptions,andSpecialEventsarenotrefundable.• U.S.GovernmentCreditCardsU.S. Government credit card users: have your purchasingofficer contact the credit card company and get priorauthorization before attempting to register. Advise yourpurchasingagentthatSPIEisconsidereda5968companyforauthorizationpurposes.

Author / Presenter Information

Speaker Check-In and Preview StationConvention Center, Ballroom Concourse

Sunday . . . . . . . . . . . . . . . . . . . . . . . . . . . 2:00to5:00pm

MondaythroughThursday . . . . . . . . . 7:00amto5:00pm

Allconferenceroomshaveacomputerworkstation,projector,screen,lapelmicrophone,andlaserpointer.Allpresentersare requested to come to Speaker Check-In with theirmemory devices or laptops to confirm their presentationdisplaysettings.

Poster Setup InstructionsConvention Center Hall 2

Tuesday25February. . . . . . . . . . . . . . . . . 6:00to8:00pm

Wednesday26February. . . . . . . . . . . . . . 6:00to8:00pm

Posterauthorswillbepresentandat theirpostersduringthesesessions toanswerquestionsandprovide in-depthdiscussionconcerningtheirposters.-Posterauthorsmaysetup theirpostersafter10:00am

onthedayoftheirpostersession.Papernumberswillbepostedon theposterboards innumericalorder.Postersupplies (push-pins) will be provided. Posters can bepreviewedduringthedayuntilonehourbeforetheformalpostersessionsbeginat6:00pm.

- Presenters who have not placed their papers on theirassignedboardby5:00pmonthedayoftheirpresentationwillbeconsidereda“noshow”andtheirmanuscriptwillnotbepublished.

- Itistheauthors’responsibilitytoremovetheirpostersandallothermaterialsattheconclusionoftheposterreceptionforthatday.Postersandallmaterialnotremovedwillbeconsideredunwantedandwillbediscarded.SPIEassumesnoresponsibilityforpostersleftupaftertheendofeachnight’sposterreception.

Yourtechnicalorparticipantregistrationbadgeisrequiredtobeworntoattendthepostersessions.

Page 14: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

12 SPIEAdvancedLithography2014 · www.spie.org/al

Onsite Services

Internet AccessLocation of Internet - Convention Center Ballroom Concourse

Complimentarywiredinternetaccessisavailable;attendeescanhookuptheirlaptopsoruseprovidedworkstations.

Internet Pavilion sponsored by

WiFi –Convention Center Upper Level Concourse

Complimentarywirelessaccessisavailable;instructionswillbepostedonsite.

WiFi sponsored by

and

SPIE Conference AppOn your Smart Phone (iPhone, Android)Searchandbrowsetheprogram,specialevents,participants,exhibitors,courses,andmore.Seep.9.

Sponsored by

SPIE BookstoreConvention Center Lobby near RegistrationTheSPIEBookstoreisyoursourceforthelatestSPIEBooks,Proceedings,andEducationandProfessionalDevelopmentmaterials. Become an SPIE Member, explore the DigitalLibrary,takehomeafreeSPIEposter,orbuyasouvenir(tie,t-shirt,educationaltoys,andmore).

SPIE Education ServicesLocated near SPIE Registration

OpenduringregistrationhoursBrowsecourseofferingsandtheothereducationservicesavailable: SPIE courses, videos, and CDs as well ascustomizedin-companycourses.

SPIE Press RoomMarriott Think Tank RoomOpenduringregistrationhours.ForRegisteredPressonly.The Press Room provides meeting space, refreshments,accesstoexhibitorpressreleases,andInternetconnections.Pressareurgedtoregisterbeforethemeetingbyemailingname, contact information, and name of publication [email protected]. Preregistration closes approximately 10daysbeforethestartoftheevent.

Child Care ServicesBayArea2ndMOMInc.TollFreePhone:1-888-926-3666,or650-858-2469,or650-787-6518.Email:[email protected]:www.2ndmom.com

SittersUnlimitedTollFreePhone:408-452-0225,Email:[email protected]:www.bayareasittersunlimited.com

Urgent Message LineSPIEhasanurgentmessagelineavailableduringregistrationhoursSundaythroughThursday:408-271-6279.

Lost and FoundSPIE Cashier

DuringRegistrationHoursFound itemswillbekeptatSPIERegistrationCashier.Attheendofthemeeting,allfounditemswillbeturnedovertoTheSanJoseConventionCenterSecurity:408-271-6279.

Food and Beverage ServicesCoffee BreaksServeddaily-7:30am,10:00am,and3:00pmCheck individual conference listings for exact times andlocations.

Sponsored by and

General Information

Breakfast BreadsConvention Center, Ballroom Concourse

Monday–Thursday,7:30am

Sponsored by

Food and Refreshments for PurchaseExhibition Hall Concession Stand

Tuesday–Wednesday,11:00amto2:00pmHotandcoldsnacks,hotentrees,delisandwiches,salads,and pastries are available for purchase. Cash and creditcardsaccepted.

SPIE-Hosted Lunches Exhibition Hall

Tuesday–Wednesday,11:30amto1:30pmCheckindividualconferencelistingsforexacttimesComplimentaryticketsfortheseluncheswillbeincludedwithfull conference registrations. Exhibitors and students maypurchaseticketsattheCashierDesk.

Tuesday Lunch sponsored by

Desserts Exhibition Hall

Tuesday–Wednesday,3:00to4:00pmComplimentaryticketsforthedessertsnackswillbeincludedinconferenceattendeeregistrationpackets.

Sponsored by and

Travel to San JoseCar RentalHertz Car Rental is the official car rental agency for thisSymposium. To reserve a car, identify yourself as anAdvancedLithographyconferenceattendeeusingtheHertzMeetingCodeCV#029B0019.

IntheUnitedStatescall1-800-654-2240.

ForcompletetravelinformationchecktheSPIEwebsitewww.spie.org/x27428.xml

Page 15: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 13

Policies AcceptanceofPoliciesandRegistrationConditionsThefollowingPoliciesandConditionsapplytoallSPIEEvents.Asaconditionofregistration,youwillberequiredtoacknowl-edgeandaccepttheSPIERegistrationPoliciesandConditionscontainedherein.

Granting Attendee Registration and AdmissionSPIE,ortheirofficiallydesignatedeventmanagement,intheirsole discretion, reserves the right to accept or decline anindividual’s registration foranevent.Further,SPIE,oreventmanagement, reserves the right toprohibit entryor removeany individualwhether registeredornot,be theyattendees,exhibitors,representatives,orvendors,whointheirsoleopinionarenot,orwhoseconductisnot,inkeepingwiththecharacterandpurposeoftheevent.Withoutlimitingtheforegoing,SPIEandeventmanagementreservetherighttoremoveorrefuseentry to any attendee, exhibitor, representative, or vendorwhohasregisteredorgainedaccessunderfalsepretenses,providedfalseinformation,orforanyotherreasonwhatsoeverthattheydeemiscauseunderthecircumstances.

Misconduct PolicySPIE is a professional, not-for-profit society committed toproviding valuable conference and exhibition experiences.SPIEisdedicatedtoequalopportunityandtreatmentforallitsmembersandmeetingattendees.Attendeesareexpectedtoberespectfultootherattendees,SPIEstaff,andcontractors.Harassment and other misconduct will not be tolerated;violatorswillbeaskedtoleavetheevent.

IdentificationTo verify registered participants and provide a measure ofsecurity, SPIE will ask attendees to present a government-issuedPhotoIDatregistrationtocollectregistrationmaterials.

Individualsarenotallowedtopickupbadges forattendeesotherthanthemselves.Further,attendeesmaynothavesomeotherpersonparticipateintheirplaceatanyconference-relatedactivity.Suchotherindividualswillberequiredtoregisterontheirownbehalftoparticipate.

Capture and Use of a Person’s ImageByregisteringforthisevent,IgrantfullpermissiontoSPIEtocapture, store, use, and/or reproducemy imageor likenessby any audio and/or visual recording technique (includingelectronic/digitalphotographsorvideos),andcreatederivativeworksoftheseimagesandrecordingsinanySPIEmedianowknownorlaterdeveloped,foranylegitimateSPIEmarketingorpromotionalpurpose.

By registering for this event, I waive any right to inspect orapprovetheuseoftheimagesorrecordingsorofanywrittencopy.Ialsowaiveanyrighttoroyaltiesorothercompensationarisingfromorrelatedtotheuseoftheimages,recordings,ormaterials.Byregistering,Irelease,defend,indemnifyandholdharmlessSPIEfromandagainstanyclaims,damagesorliabilityarisingfromorrelatedtotheuseoftheimages,recordingsormaterials, includingbutnot limited toclaimsofdefamation,invasion of privacy, or rights of publicity or copyrightinfringement, or any misuse, distortion, blurring, alteration,opticalillusionoruseincompositeformthatmayoccurorbeproducedintaking,processing,reductionorproductionofthefinishedproduct,itspublicationordistribution.

Payment Method Registrantsforpaidelementsoftheevent,whodonotprovidea method of payment, will not be able to complete theirregistration.Individualswithincompleteregistrationswillnotbeabletoattendtheconferenceuntilpaymenthasbeenmade.SPIEacceptsVISA,MasterCard,AmericanExpress,Discover,Diner’sClub,checksandwiretransfers.OnsiteregistrationscanalsopaywithCash.

Authors/CoauthorsBysubmittinganabstract,youagreetothefollowingconditions:• An author or coauthor (including keynote, invited, and

solicitedspeakers)will registerat theauthor registrationrate, attend the meeting, and make the presentation asscheduled.

• Afull-lengthmanuscript(6-pageminimum)foranyacceptedoralorposterpresentationwillbesubmittedforpublicationintheSPIEDigitalLibrary,printedconferenceProceedings,andCD.(SomeSPIEeventshaveotherrequirementsthattheauthorismadeawareofatthetimeofsubmission.)

• Only papers presented at the conference and receivedaccording topublicationguidelinesand timelineswillbepublishedintheconferenceProceedingsandSPIEDigitalLibrary(orviatherequirementsofthatevent).

Audio, Video, Digital Recording PolicyConferences, courses, and poster sessions: For copyrightreasons, recordingsofanykindareprohibitedwithoutpriorwrittenconsentofthepresenterorinstructor.Attendeesmaynot capture or use the materials presented in any meeting/course room, or in course notes on display without writtenpermission.Consentformsformaterialpresentedinmeetingrooms are available at Speaker Check-In. Individuals notcomplyingwiththispolicywillbeaskedtoleaveagivensessionand/oraskedtosurrendertheirrecordingmedia.

Exhibition Hall:Forsecurityandcourtesyreasons,recordingsofanykindareprohibitedunlessonehasexplicitpermissionfrom on-site company representatives. Individuals notcomplying with this policy will be asked to surrender theirrecordingmediaandtoleavetheexhibitionhall.

YourregistrationsignifiesyouragreementtobephotographedorvideotapedbySPIEinthecourseofnormalbusiness.SuchphotosandvideomaybeusedinSPIEmarketingmaterialsorotherSPIEpromotionalitems.

Laser Pointer Safety Information/PolicySPIEsuppliestestedandsafety-approvedlaserpointersforallconferencemeetingrooms.Forsafetyreasons,SPIErequeststhatpresentersuseprovidedlaserpointers.

Useofapersonallaserpointerrepresentsuser’sacceptanceofliabilityforuseofanon-SPIE-suppliedlaserpointer.Ifyouchoose to use your own laser pointer, it must be tested toensure<5mWpoweroutput.Laserpointers inClass II andIIIa(<5mW)areeyesafeifpoweroutputiscorrect,butoutputmustbeverifiedbecausemanufacturerlabelingmaynotmatchactualoutput.CometoSpeakerCheck-Inandtestyourlaserpointeronourpowermeter.YouarerequiredtosignawaiverreleasingSPIEofanyliabilityforuseofpotentiallynon-safe,personallaserpointers.Misuseofanylaserpointercanleadtoeyedamage.

Access to Technical and Networking EventsPersonsunder the ageof 18 includingbabies, carriedor instrollers,andtoddlersarenotallowedintechnicalornetworkingevents.Anyone18oroldermustregisterasanattendee.Alltechnical and networking events require a valid conferencebadgeforadmission.

General Information

Page 16: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

14 SPIEAdvancedLithography2014 · www.spie.org/al

General Information

Underage Persons on Exhibition Floor PolicyForsafetyandinsurancereasons:• Nopersonsundertheageof18willbeallowedintheexhibition

areaduringmove-inandmove-out.• Children14andolder,accompaniedbyanadult,willbeallowed

intheexhibitionareaduringopenexhibitionhoursonly.• Allchildrenyoungerthan14,includingbabiesinstrollersand

toddlers,arenotallowedintheexhibitionareaatanytime.

Unauthorized Solicitation PolicyUnauthorized solicitation in the Exhibition Hall is prohibited.Anynon-exhibiting manufacturer or supplier observed to bedistributinginformationorsolicitingbusinessintheaisles,orinanothercompany’sbooth,willbeaskedtoleaveimmediately.

Unsecured Items Policy Personalbelongingsshouldnotbeleftunattendedinmeetingroomsorpublicareas.Unattendeditemsaresubjecttoremovalbysecurity.SPIEisnotresponsibleforitemsleftunattended.

Wireless Internet Service Policy AtSPIEeventswherewirelessisincludedwithyourregistration,SPIE provides wireless access for attendees during theconferenceandexhibitionbutcannotguaranteefullcoverageinalllocations,allofthetime.Pleaseberespectfulofyourtimeandusagesothatallattendeesareabletoaccesstheinternet.

Excessive usage (e.g., streaming video, gaming, multipledevices)reducesbandwidthandincreasescostforallattendees.Noroutersmaybeattachedtothenetwork.Properlysecureyourcomputerbeforeaccessingthepublicwirelessnetwork.Failuretodosomayallowunauthorizedaccesstoyourlaptopaswellaspotentiallyintroducevirusestoyourcomputerand/orpresentation.SPIEisnotresponsibleforcomputervirusesorothercomputerdamage.

Mobile Phones and Related Devices PolicyMobile phones, tablets, laptops, pagers, and any similarelectronic devices should be silenced during conferencesessions.Pleaseexit theconference roombeforeansweringorbeginningaphoneconversation.

SmokingForthehealthandconsiderationofallattendees,smokingisnotpermittedatanyeventelements,suchasbutnotlimitedto:plenaries,conferences,workshops,courses,postersessions,hostedmealfunctions,receptions,andintheexhibithall.Mostfacilitiesalsoprohibitsmokinginallorspecificareas.Attendeesshouldobeyanysignspreventingorauthorizingsmoking inspecifiedlocations.

Hold HarmlessAttendeeagreestoreleaseandholdharmlessSPIEfromanyandallclaims,demands,andcausesofactionarisingoutoforrelatingtoyourparticipationintheeventyouareregisteringtoparticipateinanduseofanyassociatedfacilitiesorhotels.

Event CancellationIf for some unforeseen reason SPIE should have to cancelthe event, registration fees processed will be refunded toregistrants.Registrantswillberesponsibleforcancellationoftravelarrangementsorhousingreservationsandtheapplicablefees.

Confidential Reporting of Unethical or Inappropriate BehaviorSPIE is an organization with strong values of responsibilityandintegrity.OurEthicsStatementandCodeofProfessionalConductcontaingeneralguidelinesforconductingbusinesswiththehigheststandardsofethics.SPIEhasestablishedaconfidential reporting system for staff & other stakeholdersto raise concerns about possible unethical or inappropriatebehaviorwithinourcommunity.Complaintsmaybefiledbyphoneorthroughthewebsite,and,ifpreferred,maybemadeanonymously.Thewebaddressiswww.SPIE.ethicspoint.comandthetollfreehotlinenumberis1-888-818-6898.

SPIE International Headquarters POBox10Bellingham,WA98227-0010USATel:+13606763290Fax:[email protected]•www.SPIE.org

SPIE Europe Offices2AlexandraGateFforddPengam,Cardiff,CF242SAUKTel:+442920894747Fax:[email protected]•www.SPIE.org

ADVANCE yOUR TECHNICAL CAREER www.SPIECareerCenter.org

Stay informed -Seewhatengineeringandtechnical

jobsareavailablebyusingourexclusivejobsearchtools

Gain exposure- Post your CV/resume online for

employerstosee—it’sfree!

Build your network-AttendourJobFairsandenrollinSPIE

educationalcourses

Go above and beyond- Set up job alerts, access career-

relatedarticlesandmore

ContactSaraLiebert:[email protected]

SPIE Career Center

Page 17: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 15

Money-back GuaranteeWeareconfidentthatonceyouexperienceanSPIEcourseforyourselfyouwilllooktousforyourfutureeducationneeds.However,ifforanyreasonyouaredissatisfied,wewillgladlyrefundyourmoney.Wejustaskthatyoutelluswhatyoudidnotlike;suggestionsforimprovementarealwayswelcome.

Continuing Education UnitsSPIEhasbeenapprovedasanauthorizedproviderofCEUsbyIACET,TheInternationalAssociationforContinuingEducationandTraining(Provider#1002091).Inobtainingthisapproval,SPIEhasdemonstratedthatitcomplieswiththeANSI/IACETStandardswhicharewidelyrecognizedasstandardsofgoodpractice.SPIE reserves the right to cancel a course due to insufficient advance registration.

Courses at SPIE Advanced LithographyRelevanttraining|Proveninstructors|Educationyouneedtostaycompetitiveintoday’sjobmarket

· Choosefrom13coursesandlearncurrentapproachesinEUVlithography,DSA,opticallithography,FEOL/MOL/BEOLlithography,andmore

· SPIEStudentMembersreceive50%offcourseregistration

· Newcoursesin2014includeMetrologyToolsetMonitoring,Matching,MaintenanceandManagement,andModelingandComputationalLithographyFundamentals

· EarnCEUstofulfillcontinuingprofessionaleducationrequirements

www.spie.org/education

SUNDAy MONDAySC1099Chemistry and Lithography (Okoroanyanwu)8:30amto5:30pm,$600/$710

SC1009Electron Beam Inspection - Principles and Applications in IC and Mask Manufacturing(Xiao)8:30amto12:30pm,$375/$430

SC888EUV Lithography (Bakshi,Ahn,Naulleau)8:30amto5:30pm,$830/$940

SC101 Introduction to Microlithography: Theory, Materials, and Processing(Willson,Bowden)8:30amto5:30pm,$600/$710

SC116Lithographic Optimization: A Theoretical Approach(Mack)8:30amto5:30pm,$650/$760

SC992 Lithography Integration for Semiconductor FEOL & BEOL Fabrication(Lin,Zhang)8:30amto5:30pm,$600/$710

SC1133Metrology Toolset Monitoring, Matching, Maintenance and Management(Solecky,Adan)8:30amto12:30pm,$375/$430

SC1132Modeling and Computational Lithography Fundamentals (Lai)8:30amto5:30pm,$600/$710

SC885Principles and Practical Implementation of Multiple Patterning (Dusa,Hsu)8:30amto5:30pm,$600/$710

SC1067Directed Self Assembly and its Application to Nanoscale Fabrication(dePablo,Nealey,Ruiz)1:30to5:30pm,$375/$430

SC1100Scatterometry in Profile, Overlay and Focus Process Control (Cramer,Turovets)1:30to5:30pm,$375/$430

SC1030 Interaction of Physical Design and Lithography (Yuan)1:30to5:30pm,$375/$430

THURSDAySC616Practical Photoresist Processing(Dammel)8:30amto12:30pm,$375/$430

Register now for courses at the SPIE Cashier Desk.

Page 18: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

16 SPIEAdvancedLithography2014 · www.spie.org/al

Technical Conference Session Schedule

To come

jill

Monday 24 February

Tuesday 25 February

Wednesday 26 February

Thursday 27 February

Conference 9048Extreme Ultraviolet (EUV) Lithography V

Session 1 · Mon 11:00 am to 12:00 pmInvited Session

Session 2 · Mon 1:30 pm to 3:30 pmNew EUV Resist Materials: Joint Session with Conferences 9048 and 9051

Session 3 · Mon 4:00 pm to 6:00 pmStochastics and EUV Process Improvements: Joint Session with Conferences 9048 and 9051

Session 4 · Tue 8:00 am to 10:10 amEUV Source

Session 5 · Tue 10:40 am to 12:00 pmEUV Mask I

Session 6 · Tue 1:30 pm to 3:10 pmEUV Mask II

Session 7 · Tue 3:40 pm to 6:00 pmEUV Integration

Session 8 · Wed 8:00 am to 10:10 amEUV Mask Metrology

Session 9 · Wed 10:40 am to 12:00 pmMetrology Sources and Modeling

Session 10 · Wed 1:30 pm to 3:10 pmEUV Resist Outgas Testing

Session 11 · Wed 3:40 pm to 6:00 pmEUV Resist I

Session 12 · Thu 8:00 am to 10:10 amExposure Tools and Extendibility

Session 13 · Thu 10:40 am to 12:00 pmEUV Manufacturing

Conference 9050Metrology, Inspection, and Process Control for Microlithography XXVIII

Opening Remarks and Award Presentation · 11:00 to 11:20 am

Session 1 · Mon 11:20 am to 12:20 pmKeynote Session

Session 2 · Mon 1:30 pm to 3:30 pmHybrid and Virtual Metrology Techniques

Session 3 · Mon 4:00 pm to 6:00 pmMetrology of 3D Structures

Session 4 · Tue 8:00 am to 10:00 amSEM Simulation and Emulation I: Joint Session with Conferences 9050 and 9051

Session 5 · Tue 10:30 am to 11:50 amSEM Simulation and Emulation II: Joint Session with Conferences 9050 and 9051

Session 6 · Tue 1:20 pm to 3:10 pmMetrology and Inspection for Directed Self-Assembly: Joint Session with Conferences 9049 and 9050

Session 7 · Tue 3:40 pm to 5:00 pmMetrology for Process Control

Session 8 · Wed 8:00 am to10:00 amSEM, AFM, and SPM

Session 9 · Wed 10:30 am to 11:50 amX-Ray Scattering Methods

Session 10 · Wed 1:20 pm to 3:00 pmOverlay Measurement and Control: Joint Session with Conferences 9050 and 9052

Session 11 · Wed 3:30 pm to 6:10 pmInspection

Session 12 · Thu 8:00 am to 9:40 amScatterometry and Optical Methods

Award Announcement · 10:30 to 10:40 am

Session 13 · Thu 10:40 am to 11:40 amReference Metrology, Accuracy, Standards

Session 14 · Thu 1:00 pm to 2:20 pmOverlaySession 15 · Thu 2:20 pm to 3:00 pmLate Breaking News

Conference 9049Alternative Lithographic Technologies VI

Session 1 · Mon 3:40 pm to 5:40 pmAlternative Lithography Keynote Session

Session 2 · Tue 8:10 am to 10:10 amDSA Process and Integration

Session 3 · Tue 10:40 am to 12:10 pmNovel Nanolithography

Session 4 · Tue 1:30 pm to 3:10 pmRoll to Roll UV Nanoimprint Lithography

Session 5 · Tue 1:20 pm to 3:10 pmMetrology and Inspection for Directed Self-Assembly: Joint Session with Conferences 9049 and 9050

Session 6 · Tue 3:40 pm to 5:00 pmBeam Lithography

Session 7 · Wed 8:00 am to 10:10 amDSA Materials and Processes I: Joint Session with Conferences 9049 and 9051

Session 8 · Wed 10:40 am to 12:00 pmDSA Materials and Processes II: Joint Session with 9049 and 9051

Session 9 · Wed 1:30 pm to 3:20 pmStep and Repeat UV Nanoimprint Lithography

Session 10 · Wed 3:50 pm to 5:50 pmMultiple Electron Beam Direct Write Lithography

Session 11 · Thu 8:20 am to 10:00 amDSA Line Patterning

Session 12 · Thu 10:30 am to 12:20 pmNanodevice Fabrication

Session 13 · Thu 1:35 pm to 3:35 pmDSA Design for Manufacturability: Joint Session with Conferences 9049, 9052, and 9053

Session 14 · Thu 4:05 pm to 5:45 pmDSA Via Patterning

Page 19: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 17

Technical Conference Session Schedule

Conference 9051Advances in Patterning Materials and Processes XXXI

Welcome and Award Announcements · 11:00 to 11:10 amSession 1 · Mon 11:10 am to 12:20 pmKeynote SessionSession 2 · Mon 1:30 pm to 3:30 pmNew EUV Resist Materials: Joint Session with Conferences 9048 and 9051Session 3 · Mon 4:00 pm to 6:00 pmStochastics and EUV Process Improvements: Joint Session with Conferences 9048 and 9051

Session 4 · Tue 8:00 am to 10:00 amSEM Simulation and Emulation I: Joint Session with Conferences 9050 and 9051

Session 5 · Tue 10:30 am to 11:50 amSEM Simulation and Emulation II: Joint Session with Conferences 9050 and 9051

Session 6 · Tue 1:40 pm to 3:40 pmNew Materials and Processes

Session 7 · Tue 4:10 pm to 5:50 pmDSA Materials I

Session 8 · Wed 8:00 am to 10:10 amDSA Materials and Processes I: Joint Session with Conferences 9049 and 9051

Session 9 · Wed 10:40 am to 12:00 pmDSA Materials and Processes II: Joint Session with 9049 and 9051

Session 10 · Wed 1:30 pm to 3:30 pmMaterials and Process Fundamentals

Session 11 · Wed 4:00 pm to 5:40 pmAdvanced Patterning Processes

Session 12 · Thu 8:20 am to 10:00 amDSA Materials II

Session 13 · Thu 10:30 am to 12:40 pmUnderlayers and Spin-on Materials Processing

Session 14 · Thu 1:40 pm to 3:20 pmEUV Materials

Conference 9052Optical Microlithography XXVII

Opening Remarks · 8:20 to 8:40 am

Session 1 · Tue 8:40 am to 10:00 amKeynote Session

Session 2 · Tue 10:30 am to 12:00 pmOptics and Beyond

Session 3 · Tue 1:30 pm to 3:30 pmImage and Process Control

Session 4 · Tue 4:00 pm to 6:00 pmNon-IC Applications

Session 5 · Wed 8:20 am to 10:00 amOPC Algorithms

Session 6 · Wed 10:20 am to 12:20 pmMultiple Patterning and SMO

Session 7 · Wed 1:20 pm to 3:00 pmOverlay Measurement and Control: Joint Session with Conferences 9050 and 9052

Session 8 · Wed 3:30 pm to 5:10 pmOPC Modeling

Session 9 · Thu 8:20 am to 10:00 amPattern-Aware Techniques: Joint Session with Conferences 9052 and 9053

Award Announcement · 10:20 to 10:25 am

Session 10 · Thu 10:25 am to 12:25 pmMask Topography Modeling

Session 11 · Thu 1:35 pm to 3:35 pmDSA Design for Manufacturability: Joint Session with Conferences 9049, 9052, and 9053

Session 12 · Thu 4:05 pm to 5:45 pmToolings

Conference 9053Design-Process-Technology Co- optimization for Manufacturability VIII

Session 1 · Wed 8:00 am to 10:10 amPatterns in DTCO

Session 2 · Wed 10:30 am to 12:20 pmMultipatterning

Session 3 · Wed 1:30 pm to 3:20 pmHotspots

Session 4 · Wed 3:50 pm to 5:10 pmDesign Optimization I

Session 5 · Thu 8:20 am to 10:00 amPattern-Aware Techniques: Joint Session with Conferences 9052 and 9053

Session 6 · Thu 10:30 am to 12:00 pmDesign Optimization II

Session 7 · Thu 1:35 pm to 3:35 pmDSA Design for Manufacturability: Joint Session with Conferences 9049, 9052, and 9053Session 8 · Thu 4:05 pm to 5:25 pmDesign Optimization III

Conference 9054Advanced Etch Technology for Nanopatterning III

Session 1 · Mon 1:30 pm to 3:20 pmReviews and Overviews of Nanopatterning Challenges

Session 2 · Mon 3:50 pm to 5:30 pmNanopatterning for Advanced Logic and Memory Technology Nodes

Session 3 · Tue 8:30 am to 10:10 amPlasma and Resist Interactions, Including Patterning Quality Control (LER, CD Uniformity, etc.)

Session 4 · Tue 10:40 am to 12:00 pmPatterning Integration Schemes (multilayer patterning, self-aligned patterning, etc.)

Session 5 · Tue 1:30 pm to 3:10 pmNew Plasma Sources and New Etching Technologies

Session 6 · Tue 3:40 pm to 5:30 pmEmerging Patterning Technologies (DSA and others)

Page 20: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

18 SPIEAdvancedLithography2014 · www.spie.org/al

Conference 9048Monday–Thursday24–27February2014ProceedingsofSPIEVol.9048

Extreme Ultraviolet (EUV) Lithography VConference Chair: Obert R. Wood II, GLOBALFOUNDRIESInc.(USA)

Conference Co-Chair: Eric M. Panning, IntelCorp.(USA)

Program Committee: Markus Bender, AdvancedMaskTechnologyCtr.GmbHCo.KG(Germany);Jos P. Benschop, ASMLNetherlandsB.V.(Netherlands);Robert L. Brainard, CollegeofNanoscaleScience&Engineering,Univ.atAlbany(USA);Li-Jui Chen, TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan);Daniel A. Corliss, IBMCorp.(USA);Emily E. Gallagher, IBMCorp.(USA);Michael Goldstein, SEMATECHInc.(USA);Frank Goodwin, SEMATECHInc.(USA);Naoya Hayashi, DaiNipponPrintingCo.,Ltd.(Japan);Soichi Inoue, EUVLInfrastructureDevelopmentCtr.,Inc.(Japan);Bryan S. Kasprowicz, Photronics,Inc.(USA);Seong-Sue Kim, SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof);Bruno La Fontaine, Cymer,Inc.(USA);Michael J. Lercel, SEMATECHInc.(USA);Ted Liang, IntelCorp.(USA);Chang-Moon Lim, SKHynix,Inc.(Korea,Republicof);Hiroaki Morimoto, ToppanPrintingCo.,Ltd.(Japan);Patrick P. Naulleau, LawrenceBerkeleyNationalLab.(USA);Christopher S. Ngai, AppliedMaterials,Inc.(USA);Shinji Okazaki, GigaphotonInc.(Japan);Uzodinma Okoroanyanwu, GLOBALFOUNDRIESInc.(Germany);Jan Hendrik Peters, CarlZeissSMSGmbH(Germany);Jorge J. Rocca, ColoradoStateUniv.(USA);Kurt G. Ronse, IMEC(Belgium);Tsutomu Shoki, HOYACorp.(Japan);Akiyoshi Suzuki, CanonInc.(Japan);Anna Tchikoulaeva, LasertecU.S.A.,Inc.ZweigniederlassungDeutschland(Germany);Thomas I. Wallow, GLOBALFOUNDRIESInc.(USA);Jeong-Ho yeo, SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof);Masaki yoshioka, XTREMEtechnologiesGmbH(Germany)

Conference 9049Monday–Thursday24–27February2014ProceedingsofSPIEVol.9049

Alternative Lithographic Technologies VIConference Chair: Douglas J. Resnick, MolecularImprints,Inc.(USA)

Conference Co-Chair: Christopher Bencher, AppliedMaterials,Inc.(USA)

Program Committee: Frank E. Abboud, IntelCorp.(USA);Kenneth R. Carter, Univ.ofMassachusettsAmherst(USA);Joy y. Cheng, IBMAlmadenResearchCtr.(USA);Juan J. de Pablo, TheUniv.ofChicago(USA);Elizabeth A. Dobisz, HGST(USA);Michael A. Guillorn, IBMThomasJ.WatsonResearchCtr.(USA);Naoya Hayashi, DaiNipponPrintingCo.,Ltd.(Japan);Daniel J. C. Herr, TheUniv.ofNorthCarolinaatGreensboro(USA);Tatsuhiko Higashiki, ToshibaCorp.(Japan);James A. Liddle, NationalInstituteofStandardsandTechnology(USA);Shy-Jay Lin, TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan);Hans Loeschner, IMSNanofabricationAG(Austria);John G. Maltabes, Hewlett-PackardLabs.(USA);Laurent Pain, CEA-LETI(France);Ivo W. Rangelow, TechnischeUniv.Ilmenau(Germany);Benjamen M. Rathsack, TokyoElectronAmerica,Inc.(USA);Ricardo Ruiz, HGST(USA);Frank M. Schellenberg, Consultant(USA);Helmut Schift, PaulScherrerInstitut(Switzerland);Ines A. Stolberg, VistecElectronBeamLithographyGroup(Germany);William M. Tong, KLA-TencorCorp.(USA);Kevin T. Turner, Univ.ofPennsylvania(USA);Marco J. Wieland, MAPPERLithography(Netherlands);Wei Wu, TheUniv.ofSouthernCalifornia(USA)

Conference 9050Monday–Thursday24–27February2014ProceedingsofSPIEVol.9050

Metrology, Inspection, and Process Control for Microlithography XXVIIIConference Chair: Jason P. Cain, AdvancedMicroDevices,Inc.(USA)

Conference Co-Chair: Martha I. Sanchez, IBMResearch-Almaden(USA)

Program Committee: Ofer Adan, AppliedMaterials(Israel);John A. Allgair, GLOBALFOUNDRIESInc.(USA);Masafumi Asano, ToshibaCorp.(Japan);Benjamin D. Bunday, SEMATECHInc.(USA);Alek C. Chen, ASMLTaiwanLtd.(Taiwan);Timothy F. Crimmins, IntelCorp.(USA);Daniel J. C. Herr, TheUniv.ofNorthCarolinaatGreensboro(USA);Chih-Ming Ke, TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan);Shunsuke Koshihara, HitachiHigh-TechnologiesCorp.(Japan);yi-Sha Ku, IndustrialTechnologyResearchInstitute(Taiwan);Byoung-Ho Lee, Ultratech(USA);Christopher J. Raymond, NanometricsInc.(USA);John C. Robinson, KLA-TencorCorp.(USA);Matthew J. Sendelbach, NovaMeasuringInstrumentsInc.(USA);Richard Silver, NationalInstituteofStandardsandTechnology(USA);Eric Solecky, IBMCorp.(USA);Costas J. Spanos, Univ.ofCalifornia,Berkeley(USA);Alexander Starikov, I&IConsulting(USA);Vladimir A. Ukraintsev, NanometrologyInternational,Inc.(USA);Alok Vaid, GLOBALFOUNDRIESInc.(USA)

Conference 9051Monday–Thursday24–27February2014ProceedingsofSPIEVol.9051

Advances in Patterning Materials and Processes XXXIConference Chairs: Thomas I. Wallow, GLOBALFOUNDRIESInc.(USA)

Conference Co-Chair: Christoph K. Hohle, FraunhoferInstituteforPhotonicMicrosystemsIPMS(Germany)

Program Committee: Robert Allen, IBMAlmadenResearchCtr.(USA);Ramakrishnan Ayothi, JSRMicro,Inc.(USA);Luisa D. Bozano, IBMAlmadenResearchCtr.(USA);Sean D. Burns, IBMCorp.(USA);Ralph R. Dammel, AZElectronicMaterialsUSACorp.(USA);Roel Gronheid, IMEC(Belgium);Douglas Guerrero, BrewerScience,Inc.(USA);Clifford L. Henderson, GeorgiaInstituteofTechnology(USA);Scott W. Jessen, TexasInstrumentsInc.(USA);yoshio Kawai, Shin-EtsuChemicalCo.,Ltd.(Japan);Qinghuang Lin, IBMThomasJ.WatsonResearchCtr.(USA);Nobuyuki N. Matsuzawa, SonyCorp.(Japan);Dah-Chung Owe-yang, Shin-EtsuMicroSi,Inc.(USA);Daniel P. Sanders, IBMAlmadenResearchCtr.(USA);Mark H. Somervell, TokyoElectronAmerica,Inc.(USA);James W. Thackeray, DowElectronicMaterials(USA);Plamen Tzviatkov, FUJIFILMElectronicMaterialsU.S.A.,Inc.(USA);Todd R. younkin, IntelCorp.(Belgium)

Conference sponsor:

Conference sponsor:

Conference sponsor:

Page 21: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 19

Conference 9052Tuesday–Thursday25–27February2014ProceedingsofSPIEVol.9052

Optical Microlithography XXVIIConference Chair: Kafai Lai, IBMCorp.(USA)

Conference Co-Chair: Andreas Erdmann, Fraunhofer-InstitutfürIntegrierteSystemeundBauelementetechnologieIISB(Germany)

Program Committee: Pary Baluswamy, MicronTechnology,Inc.(USA);Peter D. Brooker, Synopsys,Inc.(USA);Will Conley, Cymer,Inc.(USA);Jo Finders, ASMLNetherlandsB.V.(Netherlands);Carlos Fonseca, TokyoElectronAmerica,Inc.(USA);Bernd Geh, CarlZeissSMTInc.(USA);yuri Granik, MentorGraphicsCorp.(USA);young Seog Kang, SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof);Sachiko Kobayashi, ToshibaCorp.(Japan);Jongwook Kye, GLOBALFOUNDRIESInc.(USA);Tsai-Sheng Gau, TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan);Wilhelm Maurer, InfineonTechnologiesAG(Germany);Soichi Owa, NikonCorp.(Japan);John S. Petersen, PeriodicStructures,Inc.(USA);Xuelong Shi, SemiconductorManufacturingInternationalCorp.(China);Sam Sivakumar, IntelCorp.(USA);Bruce W. Smith, RochesterInstituteofTechnology(USA);Kazuhiro Takahashi, CanonInc.(Japan);Geert Vandenberghe, IMEC(Belgium);Reinhard Voelkel, SUSSMicroOpticsSA(Switzerland)

Conference 9053Wednesday–Thursday26–27February2014ProceedingsofSPIEVol.9053

Design-Process-Technology Co-optimization for Manufacturability VIIIConference Chair: John L. Sturtevant, MentorGraphicsCorp.(USA)

Conference Co-Chair: Luigi Capodieci, GLOBALFOUNDRIESInc.(USA)

Program Committee: Robert Aitken, ARMInc.(USA);Jason P. Cain, AdvancedMicroDevices,Inc.(USA);Fang-Cheng Chang, CadenceDesignSystems,Inc.(USA);Lars W. Liebmann, IBMCorp.(USA);Mark E. Mason, TexasInstrumentsInc.(USA);Andrew R. Neureuther, Univ.ofCalifornia,Berkeley(USA);David Z. Pan, TheUniv.ofTexasatAustin(USA);Chul-Hong Park, SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof);Michael L. Rieger, Synopsys,Inc.(USA);Vivek K. Singh, IntelCorp.(USA);Chi-Min yuan, FreescaleSemiconductor,Inc.(USA)

Conference 9054Monday–Tuesday24–25February2014ProceedingsofSPIEVol.9054

Advanced Etch Technology for Nanopatterning IIIConference Chair: Gottlieb S. Oehrlein, Univ.ofMaryland,CollegePark(USA)

Conference Co-Chair: Qinghuang Lin, IBMThomasJ.WatsonResearchCtr.(USA)

Program Committee: Julie Bannister, TokyoElectronAmerica,Inc.(USA);Charles T. Black, BrookhavenNationalLab.(USA);Maxime Darnon, LTMCNRS(France);Sebastian U. Engelmann, IBMThomasJ.WatsonResearchCtr.(USA);Eric A. Hudson, LamResearchCorp.(USA);Catherine B. Labelle, GLOBALFOUNDRIESInc.(USA);Nae-Eung Lee, SungkyunkwanUniv.(Korea,Republicof);Erwine Pargon, LTMCNRS(France);Ricardo Ruiz, HGST(USA);Seiji Samukawa, TohokuUniv.(Japan);Denis Shamiryan, MAPPERLithographyB.V.(Netherlands);Rich Wise, IBMCorp.(USA);Anthony yen, TSMCTaiwan(Taiwan);ying Zhang, AppliedMaterials,Inc.(USA);Jeff Xu, QualcommTechnologiesInc.(USA)

Conference sponsor:

Conference sponsor:

Conference sponsor:

Page 22: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

20 SPIEAdvancedLithography2014 · www.spie.org/al

Conference 9048

Extreme Ultraviolet (EUV) Lithography V

Conference 9050

Metrology, Inspection, and Process Control for Microlithography XXVIII

Conference 9051

Advances in Patterning Materials and Processes XXXI

Monday 24 February

Session 1Location: Conv. Ctr. 210B Mon 11:00 am to 12:00 pm

Invited SessionSessionChairs:Jos P. Benschop, ASML

NetherlandsB.V.(Netherlands);Shinji Okazaki, GigaphotonInc.(Japan)

11:00am:Progress and challenges of EUV lithography for high-volume manufacturing (Invited Paper),AnthonyYen,JackJenghorngChen,TSMCTaiwan(Taiwan). . . . . . . . . . . . . . . . [9048-1]

11:30am:Challenges of EUV/193i complementary lithography (Invited Paper),MarkC.Phillips,IntelCorp.(USA). . . . . . . . . . . . [9048-2]

LunchBreak . . . . . . . . . .Mon12:00pmto1:30pm

Location: Conv. Ctr. 220B 11:00 am to 11:20 am

Opening Remarks and Award Presentation

SessionChair:Jason P. Cain, AdvancedMicroDevices,Inc.(USA)

Presentation of the Diana Nyyssonen Memorial Award for Best Paper

Award Sponsored by

Session 1Location: Conv. Ctr. 220B Mon 11:20 am to 12:20 pm

Keynote SessionSessionChair:Martha I. Sanchez, IBM

AlmadenResearchCtr.(USA)

11:20am:CDSEM: Past, present, and future: from metrology to patterning diagnosis (Keynote Presentation),HiroshiFukuda,HitachiHigh-TechnologiesCorp.(Japan) . . . . . . . . . . . . [9050-1]

11:50am:Addressing emerging challenges in advanced patterning, interconnect, and 3D device integration (Keynote Presentation),OferAdan,AppliedMaterials,Inc.(Israel). . . . . . [9050-2]

LunchBreak . . . . . . . . . .Mon12:20pmto1:30pm

Location: Conv. Ctr. 220C 11:00 am to 11:10 am

Welcome and Award Announcements

SessionChair:Thomas I. Wallow, GLOBALFOUNDRIESInc.(USA)

Award Presentations

Presentation of the2013 C. Grant Willson Best Paper Award

Presentation of the2013 Hiroshi Ito Memorial Best Student Paper Award

These two awards are sponsored by

Presentation of the2014 Jeffrey Byers Memorial Award for Best Poster

Award Sponsored by

Session 1Location: Conv. Ctr. 220C Mon 11:10 am to 12:20 pm

Keynote SessionSessionChairs:Thomas I. Wallow,

GLOBALFOUNDRIESInc.(USA);Christoph K. Hohle, FraunhoferInstituteforPhotonics

MicrosystemsIPMS(Germany)

11:10am:Directed self-assembly (DSA) of block polymers: past, present, and future (Keynote Presentation),PaulF.Nealey,TheUniv.ofChicago(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-1]

11:45am:Resist materials in the limits of nanopatterning: New physics, new chemistry, and new opportunities? (Keynote Presentation),CliffordL.Henderson,GeorgiaInstituteofTechnology(USA). . . . . . . . . . . . . . . . . . . . [9051-2]

LunchBreak . . . . . . . . . .Mon12:20pmto1:30pm

Page 23: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 21

Conference 9048

Extreme Ultraviolet (EUV) Lithography V

Conference 9048

Extreme Ultraviolet (EUV) Lithography V

Conference 9050

Metrology, Inspection, and Process Control for Microlithography XXVIII

Conference 9051

Advances in Patterning Materials and Processes XXXI

Monday 24 February

Session 1Location: San Jose Marriott

Salon III Mon 1:30 pm to 3:20 pm

Reviews and Overviews of Nanopatterning Challenges

SessionChairs:Cathy Labelle, GLOBALFOUNDRIESInc.(USA);Rich

Wise, IBMCorp.(USA)

1:30pm:Model-aided plasma process development: met, unmet and to be made promises (Keynote Presentation),MarkJ.Kushner,Univ.ofMichigan(USA). . . [9054-1]

2:10pm:Where is plasma patterning going from here? (Keynote Presentation),YingZhang,AppliedMaterials,Inc.(Taiwan)[9054-2]

2:50pm:Fabrication challenges in patterning of 12nm half-pitch ultralow-k dual damascene copper interconnects (Invited Paper),JasmeetS.Chawla,AlanMyers,RichardSchenker,KanwalJitSingh,RobertTurkot,HuiJaeYoo,IntelCorp.(USA). . . . . . . . . . . . . . . . . . . . . . . . . [9054-3]

CoffeeBreak. . . . . .Mon3:20pmto3:50pm

Session 2Location: Conv. Ctr. 220C Mon 1:30 pm to 3:30 pm

New EUV Resist Materials: Joint Session with Conferences 9048 and 9051

SessionChairs:Robert L. Brainard, CollegeofNanoscaleScience&Engineering,Univ.atAlbany

(USA);James W. Thackeray, DowElectronicMaterials(USA)

1:30pm:EUV resists toward 11nm half-pitch,YasinEkinci,MichaelaVockenhuber,NassireddinM.Mojarad,DanielFan,PaulScherrerInstitut(Switzerland). [9048-3]

1:50pm:Process-stable EUV patternable metal oxide hardmask,AndrewGrenville,JeremyT.Anderson,BenjaminL.Clark,MichaelGreer,KaiJiang,MichaelK.Kocsis,StephenT.Meyers,JasonK.Stowers,AlanTelecky,RichardVachkov,DouglasA.Keszler,Inpria(USA);WilliamD.Hinsberg,ColumbiaTechnicalConsulting,Inc.(USA). . . . . . . . . . . . . . . . . . . . . [9051-3]

2:10pm:A molecular inorganic approach to EUV photoresists,MilesMarnell,StateUniv.ofNewYorkatNewPaltz(USA);BrianCardineau,SUNYCollegeofNanoscaleScience&Engineering(USA);HashimAl-Mashat,KaraHeard,AmberAslam,StateUniv.ofNewYorkatNewPaltz(USA);RyanDelRe,SUNYCollegeofNanoscaleScience&Engineering(USA);MichaelaVockenhuber,YasinEkinci,PaulScherrerInstitut(Switzerland);DanielA.Freedman,StateUniv.ofNewYorkatNewPaltz(USA);RobertL.Brainard,CollegeofNanoscaleScience&Engineering,Univ.atAlbany(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-4]

2:30pm:Novel nonchemically amplified (n-CARs) negative resists for EUVL,VikramSingh,V.S.V.Satynarayana,SatinderK.Sharma,SubrataGhosh,IndianInstituteofTechnologyMandi(India);KennethE.Gonsalves,IndianInstituteofTechnologyMandi(India)andTheUniv.ofNorthCarolinaatCharlotte(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-5]

2:50pm:Evaluation of vacancies in positive tone nonchemically and chemically-amplified EUV/EB resists: Relationship between free volume and LER,AkihiroOshima,OsakaUniv.(Japan);ToruHinata,HirotakaNakamura,WasedaUniv.(Japan);ToshitakaOka,TohokuUniv.(Japan);VrianE.O’Rourke,RyoichiSuzuki,NagayasuOshima,NationalInstituteofAdvancedIndustrialScienceandTechnology(Japan);MasakazuWashio,WasedaUniv.(Japan);SeiichiTagawa,OsakaUniv.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-6]

Session 2Location: Conv. Ctr. 220B Mon 1:30 pm to 3:30 pm

Hybrid and Virtual Metrology Techniques

SessionChairs:John C. Robinson, KLA-TencorCorp.(USA);Matthew J.

Sendelbach, NovaMeasuringInstrumentsInc.(USA)

1:30pm:Optimizing hybrid metrology through a consistent multi-tool parameter set and uncertainty model,RichardM.Silver,BryanM.Barnes,Nien-FanZhang,HuiZhou,AndrásE.Vladar,JohnS.Villarrubia,R.JosephKline,DanielF.Sunday,NationalInstituteofStandardsandTechnology(USA). . . . .[9050-3]

1:50pm:Leveraging data analytics, patterning simulations, and metrology models to enable accurate CD metrology and patterning,NarenderRana,YunlinZhang,TaherKagalwala,ToddC.Bailey,LinHu,MohamedTalbi,IBMCorp.(USA). . . . .[9050-4]

2:10pm:New techniques in large-scale metrology toolset data mining to accelerate integrated chip technology development and increase manufacturing efficiencies,EricSolecky,NarenderRana,CarolGustafson,AllanMinns,IBMCorp.(USA);PaulA.Llanos,RogerCornell,AppliedMaterials,Inc.(USA). .[9050-5]

2:30pm:Data fusion paradigms for next-generation CD metrology,JohannFoucher,JéromeHazart,GuillaumeEvin,NicolasChesneau,AxelLargent,ThomasJanvier,AlexandreDerville,RomainThérèse,CEA-LETI(France). . . . . . . . . . . . . . . . . . . . . . . . .[9050-6]

2:50pm:CD-SEM AFM hybrid metrology for the characterization of gate-all-around silicon nanowires,ShimonLevi,AppliedMaterials,Inc.(Israel);SeanHand,BrukerNanoInc.(USA);GuyM.Cohen,IBMThomasJ.WatsonResearchCtr.(USA). . . . . . . . .[9050-7]

Conference 9054

Advanced Etch Technology for Nanopatterning III

Session 2Location: Conv. Ctr. 220C Mon 1:30 pm to 3:30 pm

New EUV Resist Materials: Joint Session with Conferences 9048 and 9051

SessionChairs:Robert L. Brainard, CollegeofNanoscaleScience&Engineering,Univ.atAlbany

(USA);James W. Thackeray, DowElectronicMaterials(USA)

1:30pm:EUV resists toward 11nm half-pitch,YasinEkinci,MichaelaVockenhuber,NassireddinM.Mojarad,DanielFan,PaulScherrerInstitut(Switzerland). [9048-3]

1:50pm:Process-stable EUV patternable metal oxide hardmask,AndrewGrenville,JeremyT.Anderson,BenjaminL.Clark,MichaelGreer,KaiJiang,MichaelK.Kocsis,StephenT.Meyers,JasonK.Stowers,AlanTelecky,RichardVachkov,DouglasA.Keszler,Inpria(USA);WilliamD.Hinsberg,ColumbiaTechnicalConsulting,Inc.(USA). . . . . . . . . . . . . . . . . . . . . [9051-3]

2:10pm:A molecular inorganic approach to EUV photoresists,MilesMarnell,StateUniv.ofNewYorkatNewPaltz(USA);BrianCardineau,SUNYCollegeofNanoscaleScience&Engineering(USA);HashimAl-Mashat,KaraHeard,AmberAslam,StateUniv.ofNewYorkatNewPaltz(USA);RyanDelRe,SUNYCollegeofNanoscaleScience&Engineering(USA);MichaelaVockenhuber,YasinEkinci,PaulScherrerInstitut(Switzerland);DanielA.Freedman,StateUniv.ofNewYorkatNewPaltz(USA);RobertL.Brainard,CollegeofNanoscaleScience&Engineering,Univ.atAlbany(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-4]

2:30pm:Novel nonchemically amplified (n-CARs) negative resists for EUVL,VikramSingh,V.S.V.Satynarayana,SatinderK.Sharma,SubrataGhosh,IndianInstituteofTechnologyMandi(India);KennethE.Gonsalves,IndianInstituteofTechnologyMandi(India)andTheUniv.ofNorthCarolinaatCharlotte(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-5]

2:50pm:Evaluation of vacancies in positive tone nonchemically and chemically-amplified EUV/EB resists: Relationship between free volume and LER,AkihiroOshima,OsakaUniv.(Japan);ToruHinata,HirotakaNakamura,WasedaUniv.(Japan);ToshitakaOka,TohokuUniv.(Japan);VrianE.O’Rourke,RyoichiSuzuki,NagayasuOshima,NationalInstituteofAdvancedIndustrialScienceandTechnology(Japan);MasakazuWashio,WasedaUniv.(Japan);SeiichiTagawa,OsakaUniv.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-6]

Page 24: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

22 SPIEAdvancedLithography2014 · www.spie.org/al

Session 1Location: Conv. Ctr. 220A Mon 3:40 pm to 5:40 pm

Alternative Lithography Keynote Session

SessionChairs:Douglas J. Resnick, MolecularImprints,Inc.(USA);Christopher

Bencher, AppliedMaterials,Inc.(USA)

3:40pm:Forced evolution: the increasingly symbiotic relationship of future device, circuit, and patterning technology (Keynote Presentation),MichaelA.Guillorn,IBMThomasJ.WatsonResearchCtr.(USA) . . . . . . . . . . . . . . . . . . [9049-1]

4:20pm:Nanomanufacturing: Is there life beyond silicon? (Keynote Presentation),JamesA.Liddle,NationalInstituteofStandardsandTechnology(USA). . . . . . . . . . . . . . . . . . . . [9049-2]

5:00pm:E-beam lithography: The real case for manufacturing (Keynote Presentation),TimothyR.Groves,Univ.atAlbany(USA). . . . . . . . . . . [9049-3]

3:10pm:Investigation of novel inorganic resist materials for EUV lithography,MarieE.Krysak,JamesM.Blackwell,IntelCorp.(USA);ToddR.Younkin,IntelCorp.(Belgium);SteveE.Putna,MichaelJ.Leeson,IntelCorp.(USA)[9048-4]

CoffeeBreak. . . . . . . . . . . . . . . . . Mon3:30pmto4:00pm

Session 3Location: Conv. Ctr. 220C Mon 4:00 pm to 6:00 pm

Stochastics and EUV Process Improvements: Joint Session with

Conferences 9048 and 9051SessionChairs:Roel Gronheid, IMEC(Belgium);

Uzodinma Okoroanyanwu, GLOBALFOUNDRIESInc.(Germany)

4:00pm:Stochastic effects in fabrication of 11nm line-and-space patterns using extreme-ultraviolet lithography,TakahiroKozawa,OsakaUniv.(Japan);JuliusJosephS.Santillan,ToshiroItani,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan). . . . . . . . . . . . . . . . . [9048-5]

4:20pm:Understanding EUV resist mottling leading to better resolution and linewidth roughness,JamesW.Thackeray,JamesF.Cameron,VipulJain,PaulLaBeaume,OwendiOngayi,SuzanneM.Coley,MichaelWagner,DowElectronicMaterials(USA). . . . . . . . . . . . . . . . . . . . . [9048-6]

4:40pm:Comparison of EUV patterning between PTD and NTD for 1Xnm DRAM,Chang-IlOh,SKHynix,Inc.(Korea,Republicof). . . . . . . . . . . . . . . . . . . . . . . . . . [9048-7]

5:00pm:Impact of stochastic effects on EUV printability limits,PeterDeBisschop,JeroenVandeKerkhove,JulienMailfert,IMEC(Belgium);AlessandroVaglioPret,KLA-Tencor/ICOSBelgium(Belgium);JohnJ.Biafore,KLA-TencorTexas(USA). . . . . . . . . . . . . . . . . . . . . . . . . . [9048-8]

5:20pm:Manufacturability improvements in EUV resist processing,YuheiKuwahara,KoichiMatsunaga,TakeshiShimoaoki,KathleenNafus,TokyoElectronKyushuLtd.(Japan);PhilippeFoubert,Anne-MarieGoethals,EfrainAltamirano-Sánchez,IMEC(Belgium);DoniParnell,TokyoElectronEuropeLtd.(Netherlands);ShigeruTahara,TokyoElectronMiyagiLtd.(Japan);SatoruShimura,TokyoElectronKyushuLtd.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . [9051-7]

5:40pm:EUV stochastic noise analysis and LCDU mitigation by etching on dense contact-hole array patterns,SeoMinKim,SKHynix,Inc.(Korea,Republicof);Chang-NamAhn,ASMLUS,Inc.(USA);SunyoungKoo,HynixSemiconductorInc.(Korea,Republicof);Jun-TaekPark,Chang-MoonLim,MyoungSooKim,SKHynix,Inc.(Korea,Republicof);AnitaFumar-Pici,ASMLUS,Inc.(USA);AlekC.Chen,ASMLTaiwanLtd.(Taiwan). . . . . . . . [9048-9]

3:10pm:Hybrid metrology universal engine: co-optimization,AlokVaid,CarmenOsorio,JamieTsai,GLOBALFOUNDRIESInc.(USA);CornelBozdog,MatthewJ.Sendelbach,NovaMeasuringInstrumentsInc.(USA);EyalGrubner,RoyKoret,ShayWolfing,NovaMeasuringInstrumentsLtd.(Israel). . . . . . . . . . . . .[9050-8]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . Mon3:30pmto4:00pm

Session 3Location: Conv. Ctr. 220B Mon 4:00 pm to 6:00 pm

Metrology of 3D StructuresSessionChairs:Masafumi Asano, ToshibaCorp.(Japan);

Alok Vaid, GLOBALFOUNDRIESInc.(USA)

4:00pm:10nm three-dimensional CD-SEM metrology,AndrásE.Vladár,JohnS.Villarrubia,BinMing,R.JosephKline,NationalInstituteofStandardsandTechnology(USA) . . . . . . . . .[9050-9]

4:20pm:Metrology for FinFET sidewall roughness: A study of H2 anneal smoothening for mobility enhancement,AbnerF.Bello,AbhijeetPaul,GLOBALFOUNDRIESInc.(USA);Chun-ChenYeh,HuimingBu,MukeshKhare,IBMCorp.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[9050-10]

4:40pm:Addressing FinFET challenges in 1Xnode by image-based 3D metrology using CD-SEM tilt beam,XiaoxiaoZhang,AlokVaid,DeepasreeKonduparthi,CarmenOsorio,GLOBALFOUNDRIESInc.(USA);HuaZhou,ZhenhuaGe,AppliedMaterials,Inc.(USA);StefanoVentola,AppliedMaterialsGmbH(USA);RoiMeir,OriShoval,RomanKris,OferAdan,MaayanBar-Zvi,AppliedMaterials,Inc.(Israel). . . . . . .[9050-11]

5:00pm:Novel three-dimensional (3D) CD-SEM profile measurements,WataruIto,AdvantestCorp.(Japan);BenjaminD.Bunday,SEMATECHInc.(USA);SumitoHarada,AdvantestCorp.(Japan);AaronCordes,SEMATECHInc.(USA);TsutomuMurakawa,AdvantestCorp.(Japan);AbrahamArceo,SEMATECHInc.(USA);MakotoYoshikawa,SoichiShida,MasayukiYamagata,JunMatsumoto,TakayukiNakamura,AdvantestCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . .[9050-12]

5:20pm:Metrology and 3D shape process monitoring of HAR features using TSOM method,RavikiranAttota,HyeongGonKang,JohnA.Kramar,NationalInstituteofStandardsandTechnology(USA);BenjaminD.Bunday,VictorH.Vartanian,SEMATECHInc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . .[9050-13]

5:40pm:Metrology of white-light interferometer for TSV processing,PadraigR.Timoney,Yeong-UkKo,DanielFisher,Cheng-KuanLu,YudeshRamnath,AlokVaid,SarasvathiThangaraju,DanielSmith,HimaniS.Kamineni,DingyouZhang,GLOBALFOUNDRIESInc.(USA);HemantAmin,JonathanPeak,HollyEdmunson,JosephRace,TimothyA.Johnson,NanometricsInc.(USA)..........................[9050-14]

Monday 24 February

Conference 9048

Extreme Ultraviolet (EUV) Lithography V

Conference 9049

Alternative Lithographic Technologies VI

Conference 9050

Metrology, Inspection, and Process Control for Microlithography XXVIII

Page 25: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 23

3:10pm:Investigation of novel inorganic resist materials for EUV lithography,MarieE.Krysak,JamesM.Blackwell,IntelCorp.(USA);ToddR.Younkin,IntelCorp.(Belgium);SteveE.Putna,MichaelJ.Leeson,IntelCorp.(USA) . . . . . . . . [9048-4]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . Mon3:30pmto4:00pm

Session 3Location: Conv. Ctr. 220C Mon 4:00 pm to 6:00 pm

Stochastics and EUV Process Improvements: Joint Session with

Conferences 9048 and 9051SessionChairs:Roel Gronheid, IMEC(Belgium);

Uzodinma Okoroanyanwu, GLOBALFOUNDRIESInc.(Germany)

4:00pm:Stochastic effects in fabrication of 11nm line-and-space patterns using extreme-ultraviolet lithography,TakahiroKozawa,OsakaUniv.(Japan);JuliusJosephS.Santillan,ToshiroItani,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-5]

4:20pm:Understanding EUV resist mottling leading to better resolution and linewidth roughness,JamesW.Thackeray,JamesF.Cameron,VipulJain,PaulLaBeaume,OwendiOngayi,SuzanneM.Coley,MichaelWagner,DowElectronicMaterials(USA) . . . . . . . . . . . . . . . . . . . . . . [9048-6]

4:40pm:Comparison of EUV patterning between PTD and NTD for 1Xnm DRAM,Chang-IlOh,SKHynix,Inc.(Korea,Republicof). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-7]

5:00pm:Impact of stochastic effects on EUV printability limits,PeterDeBisschop,JeroenVandeKerkhove,JulienMailfert,IMEC(Belgium);AlessandroVaglioPret,KLA-Tencor/ICOSBelgium(Belgium);JohnJ.Biafore,KLA-TencorTexas(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-8]

5:20pm:Manufacturability improvements in EUV resist processing,YuheiKuwahara,KoichiMatsunaga,TakeshiShimoaoki,KathleenNafus,TokyoElectronKyushuLtd.(Japan);PhilippeFoubert,Anne-MarieGoethals,EfrainAltamirano-Sánchez,IMEC(Belgium);DoniParnell,TokyoElectronEuropeLtd.(Netherlands);ShigeruTahara,TokyoElectronMiyagiLtd.(Japan);SatoruShimura,TokyoElectronKyushuLtd.(Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-7]

5:40pm:EUV stochastic noise analysis and LCDU mitigation by etching on dense contact-hole array patterns,SeoMinKim,SKHynix,Inc.(Korea,Republicof);Chang-NamAhn,ASMLUS,Inc.(USA);SunyoungKoo,HynixSemiconductorInc.(Korea,Republicof);Jun-TaekPark,Chang-MoonLim,MyoungSooKim,SKHynix,Inc.(Korea,Republicof);AnitaFumar-Pici,ASMLUS,Inc.(USA);AlekC.Chen,ASMLTaiwanLtd.(Taiwan). . . . . . . . . . . . . . . . [9048-9]

Session 2Location: San Jose Marriott Salon III

Mon 3:50 pm to 5:30 pm

Nanopatterning for Advanced Logic and Memory Technology Nodes

SessionChairs:Denis Shamiryan, MAPPERLithography(Netherlands);Eric A. Hudson,

LamResearchCorp.(USA)

3:50pm:Line-width roughness reduction strategies for patterns exposed via electron-beam lithography,JulienJussot,Univ.JosephFourier(France);ErwinePargon,LTMCNRS(France);BéatriceIcard,CEA-LETI-Minatec(France);JessyBustos,STMicroelectronics(France);LaurentPain,CEA-LETI(France). . . . . . . . . . . . . . [9054-26]

4:10pm:Effect of etch pattern transfer on local overlay margin in 28nm GATE integration,OnintzaRos,PascalGouraud,BertrandLe-Gratiet,STMicroelectronics(France);ErwinePargon,Lab.desTechnologiesdelaMicroélectronique(France);ChristianGardin,JulienDucoté,STMicroelectronics(France). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9054-5]

4:30pm:Gate double-patterning strategies for 1Xnm node FinFET devices,HubertHody,IMEC(Belgium);VasileParaschiv,SCEtchTechSolutions(Romania);DavidHellin,LamResearchCorp.(Belgium);TomVandeweyer,GuillaumeBoccardi,KaidongXu,IMEC(Belgium). . . . . . . . . . . . [9054-6]

4:50pm:28nm FDSOI high-k metal gate CD variability investigation,LatifaDesvoivres,CEA-LETI-Minatec(France)andSTMicroelectronics(France);PascalGouraud,BertrandLe-Gratiet,RégisBouyssou,RossellaRanica,BenjaminDumont,STMicroelectronics(France). . . . . [9054-7]

5:10pm:Highly-selective etch gas chemistry design for precise DSAL dry development process,MitsuhiroOmura,TsubasaImamura,HiroshiYamamoto,ToshibaMaterialsCo.,Ltd.(Japan);ItsukoSakai,ToshibaCorp.(Japan);HisatakaHayashi,ToshibaCorp.(Japan) . [9054-8]

Conference 9051

Advances in Patterning Materials and Processes XXXI

Conference 9054

Advanced Etch Technology for Nanopatterning III

Monday 24 February

Conference16–18September2014

Exhibition16–17September2014

LocationMontereyMarriott&MontereyConferenceCenterMonterey,California,USA

Technologies

-MaskMaking-EmergingMaskTechnologies-MaskApplication-MaskBusiness

2014PhotomaskTechnologyMaskdesign,production,integration,andnext-generationmasktechnologies

Call for PapersAbstractsdue24March2014www.spie.org/pm2014

TheinternationaltechnicalgroupofSPIEdedicatedtotheadvancementofphotomasktechnology

Page 26: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

24 SPIEAdvancedLithography2014 · www.spie.org/al

Session 4Location: Conv. Ctr. 210B Tue 8:00 am to 10:10 am

EUV SourceSessionChairs:Moshe E. Preil,

GLOBALFOUNDRIESInc.(USA);Michael J. Lercel, SEMATECHInc.(USA)

8:00am:Accelerator-based EUV lithography source: FEL-oscillator, SASE-FEL, or a very different beast? (Invited Paper),AtoosaMeseck,AndreasJankowiak,JensKnobloch,JohannesBahrdt,AndreasGaupp,Helmholtz-ZentrumBerlinfürMaterialienundEnergieGmbH(Germany);DianaSpengler,ErikM.Sohmen,CarlZeissSMTGmbH(Germany);UdoDinger,CarlZeissAG(Germany);MichaelPatra,CarlZeissSMTGmbH(Germany) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-10]

8:30am:LPP EUV source readiness for NXE 3300,DavidC.Brandt,IgorV.Fomenkov,NigelR.Farrar,BrunoLaFontaine,DavidW.Myers,DanielJ.Brown,AlexI.Ershov,NorbertR.Bowering,Cymer,Inc.(USA);RudyPeeters,HansMeiling,NoreenHarned,DanielA.Smith,ASMLNetherlandsB.V.(Netherlands). . . . . . . . . . . . . . . [9048-11]

8:50am:Sub-hundred Watt operation demonstration of HVM LPP-EUV source,HakaruMizoguchi,TakashiSaitou,TakuYamazaki,ShinjiOkazaki,HiroakiNakarai,TamotsuAbe,TakeshiKodama,TatsuyaYanagida,TsukasaHori,TakeshiOhta,KrzysztofM.Nowak,YasufumiKawasuji,HiroshiTanaka,YutakaShiraishi,YukioWatanabe,TsuyoshiYamada,GeorgSoumagne,GigaphotonInc.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-12]

9:10am:Highly-efficient high-power pulsed CO2 laser characterized by transverse-flow laser amplifiers,YoichiTanino,Jun-ichiNishimae,TatsuyaYamamoto,TaichiroTamida,KojiFunaoka,ShuichiFujikawa,MitsubishiElectricCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-13]

9:30am:In situ plasma cleaning method for collector optics,DanielElg,DavidN.Ruzic,Univ.ofIllinoisatUrbana-Champaign(USA). . . . . . . . . . . . . . . . . . . [9048-14]

9:50am:Spectral purity enhancement for the EUV source by suppressing UV reflection from multilayer mirrors,QiushiHuang,ToinevandenBoogaard,RobbertW.vandeKruijs,ErwinZoethout,FOMInstituteDIFFER(Netherlands);EricLouis,FredBijkerk,FOMInstituteDIFFER(Netherlands)andUniv.Twente(Netherlands). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-15]

CoffeeBreak. . . . . . . . . . . . . . . Tue10:10amto10:40am

Session 2Location: Conv. Ctr. 220A Tue 8:10 am to 10:10 am

DSA Process and IntegrationSessionChairs:Joy y. Cheng, IBMAlmaden

ResearchCtr.(USA);Elizabeth A. Dobisz, HGST(USA)

8:10am:Defect reduction and defect stability in IMEC’s 14nm half-pitch chemo-epitaxy DSA flow (Invited Paper),RoelGronheid,Yu-TsungLee,IMEC(Belgium);YiCao,YoungJunHer,AZElectronicMaterialsUSACorp.(USA);LuciaD’Urzo,Entegris,Inc.(Germany);DieterVandenHeuvel,IMEC(Belgium);PaulinaA.RinconDelgadillo,TheUniv.ofChicago(USA);AinhoaRomo-Negreira,TokyoElectronEuropeLtd.(USA);MarkH.Somervell,TokyoElectronAmerica,Inc.(USA);RyotoHarukawa,VenkatR.Nagaswami,KLA-TencorCorp.(USA) . . . . . . . . . .[9049-4]

8:40am:Pattern replication and pattern transfer using sequential infiltration synthesis in block copolymer patterns for sub-15nm lithography,Yves-AndreChapuis,HGST(USA);ShishengXiong,TheUniv.ofChicago(USA);LeiWan,JefferyLille,KanaiyalalC.Patel,HGST(USA);PaulF.Nealey,TheUniv.ofChicago(USA);ThomasR.Albrecht,RicardoRuiz,HGST(USA) . . . . . . . . . . . . . . . . . . .[9049-5]

9:00am:Pattern transfer challenges in >2 Tbpsi bit patterned media template fabrication using PS-b-PDMS,ShuaigangXiao,XiaominYang,KimY.Lee,ZhaoningYu,DavidS.Kuo,SeagateTechnologyLLC(USA). . . .[9049-6]

9:20am:Computational simulations and parametric studies for DSA process development,AzatM.Latypov,GrantGarner,MosheE.Preil,GerardM.Schmid,JiXu,YiZou,GLOBALFOUNDRIESInc.(USA). . . . . . . . . . .[9049-7]

9:40am:Toward electrical testable SOI devices using DSA for fin formation (Invited Paper),Chi-ChunLiu,CristinaEstrada-Raygoza,HongHe,IBMCorp.(USA);MichaelJ.Cicoria,DavidHetzer,VinayakRastogi,NiharMohanty,AkiteruKo,TELTechnologyCtr.,America,LLC(USA);HsinyuTsai,TELTechnologyCtr.,America,LLC(USA)andIBMCorp.(USA);AnthonyR.Schepis,JassemA.Abdallah,IBMCorp.(USA);JasonR.Cantone,SylvieMignot,Ryoung-HanKim,GLOBALFOUNDRIESInc.(USA);MichaelA.Guillorn,IBMThomasJ.WatsonResearchCtr.(USA);MeliaTjio,JoyY.Cheng,IBMAlmadenResearchCtr.(USA);MarkH.Somervell,TokyoElectronAmerica,Inc.(USA);MatthewE.Colburn,IBMResearch(USA). .[9049-8]

CoffeeBreak. . . . . . . . . . . . . . . Tue10:10amto10:40am

Session 4Location: Conv. Ctr. 220 B Tue 8:00 am to 10:00 am

SEM Simulation and Emulation I: Joint Session with Conferences

9050 and 9051SessionChairs:Shunsuke Koshihara, HitachiHigh-TechnologiesCorp.(Japan);Thomas I.

Wallow, GLOBALFOUNDRIESInc.(USA)

8:00am:Influence of metrology error in measurement of line-edge roughness power spectral density,BenjaminD.Bunday,SEMATECHInc.(USA);ChrisA.Mack,Lithoguru.com(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-15]

8:20am:Monte Carlo simulator of resist shrinkage in SEM metrology,SergeyBabin,abeamTechnologies,Inc.(USA). . . . . . . . [9050-16]

8:40am:A new integrated Monte-Carlo code for the simulation of high-resolution scanning electron microscopy images for metrology in microlithography,MauroCiappa,EmreIlgüsatiroglu,AlexeyY.Illarionov,ETHZürich(Switzerland);LarsH.BomholtJr.,SynopsysSwitzerland,LLC(Switzerland). . . . . . . . . [9050-17]

9:00am:Correction of EB-induced shrinkage in contour measurements,TakeyoshiOhashi,ShojiHotta,AtsukoYamaguchi,JunichiTanaka,Hitachi,Ltd.(Japan);HirokiKawada,HitachiHigh-TechnologiesCorp.(Japan) . . . . . . . . . . . [9050-18]

9:20am:Investigation of interactions between metrology and lithography with a CD SEM simulator,MarkD.Smith,ChaoFang,JohnJ.Biafore,AlessandroVaglioPret,StewartA.Robertson,KLA-TencorTexas(USA). . . . . [9051-8]

9:40am:Dependence of secondary-electron yield on aspect ratio of several trench patterns,DaisukeBizen,YasunariSohda,Hitachi,Ltd.(Japan);HideyukiKazumi,HitachiHigh-TechnologiesCorp.(Japan) . . . . . . . . . . . [9050-19]

CoffeeBreak. . . . . . . . . Tue10:00amto10:30am

tuesday 25 February

Conference 9048

Extreme Ultraviolet (EUV) Lithography V

Conference 9049

Alternative Lithographic Technologies VI

Conference 9050

Metrology, Inspection, and Process Control for Microlithography XXVIII

Page 27: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 25

Session 3Location: San Jose Marriott Salon III

Tue 8:30 am to 10:10 am

Plasma and Resist Interactions, Including Patterning Quality Control

(LER, CD Uniformity, etc.)SessionChairs:Sebastian U. Engelmann, IBMThomasJ.WatsonResearchCtr.(USA)

8:30am:Advanced patterning: plasma etch challenges and solutions (Invited Paper),ThorstenB.Lill,GowriKamarthy,AaronEppler,TaeWonKim,HarmeetSingh,LamResearchCorp.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9054-9]

9:00am:30nm pitch compatible, stepwise hydrogen anneal for LER reduction of silicon nanowires (Invited Paper),SarunyaBangsaruntip,GuyM.Cohen,MarkusBrink,HsinyuTsai,SebastianU.Engelmann,JosephS.Newbury,LynneM.Gignac,ChristopherM.Breslin,DavidP.Klaus,MichaelA.Guillorn,IBMThomasJ.WatsonResearchCtr.(USA) . . . . . . . . . . . . . . . . . [9054-10]

9:30am:The influence of the initial LWR, CD, and EUV-resist composition on LWR evolution by H2 plasma treatment,PeterDeSchepper,EfrainAltamirano-Sánchez,TerjeHansen,StefanDeGendt,IMEC(Belgium). . . . . . . . . . . . . . . [9054-11]

9:50am:Line-width roughness formation during plasma etching: mechanism and reduction,MengLingkuan,XiaobinHe,ChunlongLi,JunfengLi,JiangYan,ChaoZhao,InstituteofMicroelectronics(China). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9054-12]

CoffeeBreak. . . . . . . . . Tue10:10amto10:40am

Session 4Location: Conv. Ctr. 220 B Tue 8:00 am to 10:00 am

SEM Simulation and Emulation I: Joint Session with Conferences

9050 and 9051SessionChairs:Shunsuke Koshihara, HitachiHigh-TechnologiesCorp.(Japan);Thomas I.

Wallow, GLOBALFOUNDRIESInc.(USA)

8:00am:Influence of metrology error in measurement of line-edge roughness power spectral density,BenjaminD.Bunday,SEMATECHInc.(USA);ChrisA.Mack,Lithoguru.com(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-15]

8:20am:Monte Carlo simulator of resist shrinkage in SEM metrology,SergeyBabin,abeamTechnologies,Inc.(USA). . . . . . . . . . . . . . [9050-16]

8:40am:A new integrated Monte-Carlo code for the simulation of high-resolution scanning electron microscopy images for metrology in microlithography,MauroCiappa,EmreIlgüsatiroglu,AlexeyY.Illarionov,ETHZürich(Switzerland);LarsH.BomholtJr.,SynopsysSwitzerland,LLC(Switzerland). . . . . . . . . [9050-17]

9:00am:Correction of EB-induced shrinkage in contour measurements,TakeyoshiOhashi,ShojiHotta,AtsukoYamaguchi,JunichiTanaka,Hitachi,Ltd.(Japan);HirokiKawada,HitachiHigh-TechnologiesCorp.(Japan) . . . . . . . . . . . [9050-18]

9:20am:Investigation of interactions between metrology and lithography with a CD SEM simulator,MarkD.Smith,ChaoFang,JohnJ.Biafore,AlessandroVaglioPret,StewartA.Robertson,KLA-TencorTexas(USA). . . . . [9051-8]

9:40am:Dependence of secondary-electron yield on aspect ratio of several trench patterns,DaisukeBizen,YasunariSohda,Hitachi,Ltd.(Japan);HideyukiKazumi,HitachiHigh-TechnologiesCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . [9050-19]

CoffeeBreak. . . . . . . . . Tue10:00amto10:30am

Location: Conv. Ctr. 210C 8:20 am to 8:40 am

Opening RemarksSessionChairs:Kafai Lai, IBMCorp.

(USA);Andreas Erdmann, Fraunhofer-InstitutfürIntegrierteSystemeund

BauelementetechnologieIISB(Germany)

Session 1Location: Conv. Ctr. 210C Tue 8:40 am to 10:00 am

Keynote SessionSessionChairs:Kafai Lai, IBMCorp.

(USA);Andreas Erdmann, Fraunhofer-InstitutfürIntegrierteSystemeund

BauelementetechnologieIISB(Germany)

8:40am:3D optical laser lithography: No limits? (Keynote Presentation),MartinWegener,KarlsruherInstitutfürTechnologie(Germany) . . . . . . . [9052-1]

9:20am:Going wide in the cloud: Why stability matters in a simulation-driven world, (Keynote Presentation),DavidPellerin,AmazonWebServices(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-2]

CoffeeBreak. . . . . . . . . Tue10:00amto10:30am

tuesday 25 February

Conference 9051

Advances in Patterning Materials and Processes XXXI

Conference 9052

Optical Microlithography XXVII

Conference 9054

Advanced Etch Technology for Nanopatterning III

Page 28: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

26 SPIEAdvancedLithography2014 · www.spie.org/al

tuesday 25 February

Session 5Location: Conv. Ctr. 210B Tue 10:40 am to 12:00 pm

EUV Mask ISessionChairs:Frank Goodwin, SEMATECH

Inc.(USA);Naoya Hayashi, DaiNipponPrintingCo.,Ltd.(Japan)

10:40am:Production of EUV mask blanks with low killer defects,AlinO.Antohe,PatrickA.Kearney,MiltonC.Godwin,SEMATECHInc.(USA);LongHe,SEMATECHInc.(USA)andIntelCorp.(USA);ArunJohnKadaksham,FrankGoodwin,SEMATECHInc.(USA);AlfredWeaver,SteveTrigg,AlanHayes,VeecoInstrumentsInc.(USA)[9048-16]

11:00am:Mitigation of EUV mask blank substrate pit and scratch defects by accelerated neutral atom beam (ANAB) processing,RichardSvrluga,MichaelWalsh,KietChau,BernhardP.Piwczyk,ExogenesisCorp.(USA);FrankGoodwin,SEMATECHInc.(USA);SeanKirkpatrick,ExogenesisCorp.(USA);DaveK.Balachandran,SEMATECHInc.(USA) . . . . . . . . . . . . . . . [9048-17]

11:20am:Durability of Ru-based EUV masks and the improvement,SuyoungLee,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof). [9048-18]

11:40am:B4C as an alternate capping layer for EUV masks,ArunJ.Kadaksham,MasonJang,AlinO.Antohe,PatrickA.Kearney,FrankGoodwin,SEMATECHInc.(USA);CarlVentriceJr.,TylerMowll,Univ.atAlbany(USA). . . . . . . . . . . [9048-19]

Lunch/ExhibitionBreak. . Tue12:00pmto1:30pm

Session 3Location: Conv. Ctr. 220A Tue 10:40 am to 12:10 pm

Novel NanolithographySessionChairs:Ivo W. Rangelow, TechnischeUniv.Ilmenau(Germany);Helmut Schift, Paul

ScherrerInstitut(Switzerland)

10:40am:Closed-loop high-speed 3D thermal probe nanolithography (Invited Paper),ArminW.Knoll,MichalZientek,IBMZürichResearchLab.(Switzerland);LinLeeCheong,MassachusettsInstituteofTechnology(UnitedStates);ColinRawlings,IBMZürichResearchLab.(Switzerland);PhilipC.Paul,FelixHolzner,SwissLithoAG(Switzerland);DanielJ.Coady,JamesL.Hedrick,RobertAllen,IBMAlmadenResearchCtr.(UnitedStates);UrsDuerig,IBMZürichResearchLab.(Switzerland) . . . . . . . . . . . . . . . . . . . . . . . . [9049-9]

11:10am:Sub-wavelength printing with displacement Talbot lithography in the deep-UV region,LiWang,EulithaAG(Switzerland);FrancisClube,EulithaAG(Switzerland)andPaulScherrerInstitut(Switzerland);ChristianDais,HarunH.Solak,EulithaAG(Switzerland);JensGobrecht,PaulScherrerInstitut(Switzerland). . . . . . . . . . [9049-10]

11:30am:Positive and negative-tone mode closed-loop scanning probe lithography on calixarene molecular glass resist,MarcusKaestner,KonradNieradka,SteveLenk,AhmadAhmad,TihomirAngelov,AlexanderReum,YanaKrivoshapkina,ManuelHofer,IvoW.Rangelow,TechnischeUniv.Ilmenau(Germany). . . . [9049-11]

11:50am:A frequency multiplication technique based on EUV near-field imaging,YijianChen,PekingUniv.(China);YasheshA.Shroff,IntelCorp.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-12]

Lunch/ExhibitionBreak. . Tue12:10pmto1:30pm

Session 5Location: Conv. Ctr. 220 B Tue 10:30 am to 11:50 am

SEM Simulation and Emulation II: Joint Session with Conferences

9050 and 9051SessionChairs:Benjamin D. Bunday,

SEMATECHInc.(USA);Clifford L. Henderson, GeorgiaInstituteofTechnology(USA)

10:30am:The effect of adaptive filtering and edge rotation on line-edge roughness,ThomasVerduin,CornelisW.Hagen,PieterKruit,TechnischeUniv.Delft(Netherlands) . . . . [9050-20]

10:50am:Assessing CD-SEM contour-based OPC models quality using rigorous simulation,FrancoisWeisbuch,AravindNarayanaSamy,GLOBALFOUNDRIESDresdenModuleTwo,GmbH&Co.KG(Germany) . . . . . . . . . . . . . . . . . . [9051-9]

11:10am:Cross-sectional profile prediction from top-view SEM images based on root-cause decomposition of line-edge roughness,HiroshiFukuda,HitachiHigh-TechnologiesCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-21]

11:30am:Simulation-aided exclusion of underlayer visible pattern for sub-10nm SEM metrology and inspection,OferAdan,AppliedMaterials,Inc.(Israel);KfirDotan,AppliedMaterials,Inc.(USA);ShimonLevi,IshaiSchwartsband,MaayanBar-Zvi,AppliedMaterials,Inc.(Israel) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-10]

Lunch/ExhibitionBreak. . Tue11:50amto1:20pm

Conference 9048

Extreme Ultraviolet (EUV) Lithography V

Conference 9049

Alternative Lithographic Technologies VI

Conference 9050

Metrology, Inspection, and Process Control for Microlithography XXVIII

Page 29: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 27

tuesday 25 February

Session 5Location: Conv. Ctr. 220 B Tue 10:30 am to 11:50 am

SEM Simulation and Emulation II: Joint Session with Conferences

9050 and 9051SessionChairs:Benjamin D. Bunday,

SEMATECHInc.(USA);Clifford L. Henderson, GeorgiaInstituteofTechnology

(USA)

10:30am:The effect of adaptive filtering and edge rotation on line-edge roughness,ThomasVerduin,CornelisW.Hagen,PieterKruit,TechnischeUniv.Delft(Netherlands) . . . . [9050-20]

10:50am:Assessing CD-SEM contour-based OPC models quality using rigorous simulation,FrancoisWeisbuch,AravindNarayanaSamy,GLOBALFOUNDRIESDresdenModuleTwo,GmbH&Co.KG(Germany) . . . . . . . . . . . . . . . . . . [9051-9]

11:10am:Cross-sectional profile prediction from top-view SEM images based on root-cause decomposition of line-edge roughness,HiroshiFukuda,HitachiHigh-TechnologiesCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-21]

11:30am:Simulation-aided exclusion of underlayer visible pattern for sub-10nm SEM metrology and inspection,OferAdan,AppliedMaterials,Inc.(Israel);KfirDotan,AppliedMaterials,Inc.(USA);ShimonLevi,IshaiSchwartsband,MaayanBar-Zvi,AppliedMaterials,Inc.(Israel) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-10]

Lunch/ExhibitionBreak. . Tue11:50amto1:40pm

Session 2Location: Conv. Ctr. 210C Tue 10:30 am to 12:00 pm

Optics and BeyondSessionChairs:Will Conley, Cymer,Inc.(USA);

Bernd Geh, CarlZeissSMTInc.(USA)

10:30am:The saga of sigma: influences of illumination throughout lithography generations (Invited Paper),BruceW.Smith,RochesterInstituteofTechnology(USA). . . . . . . . . . . . . . . . . . . . [9052-3]

11:00am:The impact of mask absorber profiles (M3D) and resist profiles (R3D) in immersion and EUV lithography,JoFinders,ASMLNetherlandsB.V.(Netherlands). . . . . . . . . . . . . . . . . . . . . . . . [9052-4]

11:20am:Topographic and other effects on DUV/EUV pattern fidelity,ChandrasekharSarma,SEMATECHInc.(USA);TreyGraves,KLA-TencorTexas(USA);MarkNeisser,SEMATECHInc.(USA);StewartA.Robertson,KLA-TencorTexas(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-5]

11:40am:Next-generation multi-wavelength lithography: survey and roadmap,JohnS.Petersen,PeriodicStructures,Inc.(USA);JohnT.Fourkas,Univ.ofMaryland,CollegePark(USA);StevenR.J.Brueck,TheUniv.ofNewMexico(USA);DaveMarkle,RudiHendel,PeriodicStructures,Inc.(USA) . . . [9052-6]

Lunch/ExhibitionBreak. . Tue12:00pmto1:30pm

Session 4Location: San Jose Marriott Salon III

Tue 10:40 am to 12:00 pm

Patterning Integration Schemes (multilayer patterning, self-aligned

patterning, etc.)SessionChairs:Maxime Darnon, LTMCNRS(France);Nae-Eung Lee, SungkyunkwanUniv.

(Korea,Republicof)

10:40am:Plasma etching and integration challenges using alternative patterning techniques for 11nm node and beyond (Invited Paper),SebastienBarnola,PatriciaPimentaBarros,CEA-LETI(France);ChristianArvet,STMicroelectronics(France);ChristianVizioz,NicolasPosseme,CEA-LETI(France);AhmedGharbi,CEA-LETI-Minatec(France);MaximeArgoud,CEA-LETI(France);RalucaTiron,JonathanPradelles,LatifaDesvoivres,CEA-LETI-Minatec(France);SylvainBarraud,CEA-LETI(France);GillesCunge,LTMCNRS(France). . . . . . . . . . . [9054-13]

11:10am:Double patterning strategies for advanced CMOS processing (Invited Paper),EddyKunnen,JankoVersluijs,FredericLazzarino,VincentTruffert,EfrainAltamirano,StefanDecoster,StevenDemuynck,ChrisWilson,HubertHody,MingMao,IMEC(Belgium). . . . . . . . . . . . . . . . . . . . . [9054-14]

11:40am:Etch challenges for DSA implementation in CMOS via patterning,PatriciaPimentaBarros,SebastienBarnola,CEA-LETI(France);AhmedGharbi,CEA-LETI-Minatec(France);MaximeArgoud,IsabelleServin,CEA-LETI(France);XavierChevalier,ARKEMASA(France);ChristopheNavarro,ArkemaS.A.(France);EugenieMartinez,Commissariatàl’ÉnergieAtomique(France);RalucaTiron,CEA-LETI-Minatec(France). . . . . . . . . . . . . . . . . . . . . . . . . . . [9054-15]

Lunch/ExhibitionBreak. . Tue12:00pmto1:30pm

Conference 9051

Advances in Patterning Materials and Processes XXXI

Conference 9054

Advanced Etch Technology for Nanopatterning III

Conference 9052

Optical Microlithography XXVII

Page 30: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

28 SPIEAdvancedLithography2014 · www.spie.org/al

tuesday 25 February

Session 6Location: Conv. Ctr. 210B Tue 1:30 pm to 3:10 pm

EUV Mask IISessionChairs:Emily E. Gallagher, IBMCorp.

(USA);Guojing Zhang, IntelCorp.(USA)

1:30pm:Study of alternative capping and absorber layers for EUV masks for sub-16nm HP nodes,AbbasRastegar,MatthewHouse,RuahiTian,SEMATECHInc.(USA);ThomasLaursen,Univ.atAlbany(USA);AlinO.Antohe,PatrickA.Kearney,SEMATECHInc.(USA). . . . . . . . [9048-20]

1:50pm:Evaluation of mask repair strategies via focused electron, helium, and neon beam-induced processing for EUV applications,CarlosM.Gonzalez,TheUniv.ofTennessee(USA);WinandSlingenbergh,Univ.ofGroningen(Netherlands);RajendraTimilsina,JooHyonNoh,PhilpD.Rack,TheUniv.ofTennessee(USA);TedLiang,IntelCorp.(USA). . . . . . . . . . . . . . . . . . . . . . . . [9048-21]

2:10pm:Effect of cleaning chemistries on surface energy and adhesion on EUV masks, substrates, and multilayers,DaveK.Balachandran,ArunJ.Kadaksham,SEMATECHInc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-22]

2:30pm:Direct measurement of carbon contamination topography on patterned EUV masks,Yu-JenFan,SEMATECHInc.(USA);ThomasMurray,Univ.atAlbany(USA);FrankGoodwin,DominicAshworth,SEMATECHInc.(USA);GregoryDenbeaux,Univ.atAlbany(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-23]

2:50pm:Particle control challenges in process chemicals and ultrapure water for sub-10nm technology nodes,AbbasRastegar,MartinSamayoa,MatthewHouse,HüseyinKurtuldu,Sang-KeeEah,SEMATECHInc.(USA);LaurenMorse,Univ.ofWorcester(USA);JenahHarris-Jones,SEMATECHInc.(USA) . . . . . . . . . . . . . . . [9048-24]

CoffeeBreak. . . . . . . . . . . Tue3:10pmto3:40pm

Session 6Location: Conv. Ctr. 220B Tue 1:20 pm to 3:10 pm

Metrology and Inspection for Directed Self-Assembly: Joint Session with

Conferences 9049 and 9050SessionChairs:Martha I. Sanchez, IBM

Research-Almaden(USA);Daniel J. C. Herr, TheUniv.ofNorthCarolinaatGreensboro(USA)

1:20pm:Directed self-assembly: Where does the line roughness come from? (Invited Paper),RicardoRuiz,LeiWan,HeGao,Yves-AndreChapuis,KanaiyalalC.Patel,JeffreyLille,ThomasR.Albrecht,HGST(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[9049-18]

1:50pm:Kinetics of defect annihilation in directed self-assembly of block copolymers using chemically nanopatterned surfaces,PaulinaA.RinconDelgadillo,TheUniv.ofChicago(USA)andIMEC(Belgium)andKatholiekeUniv.Leuven(Belgium);RyotaHarukawa,KLA-TencorCorp.(USA);DoniParnell,TokyoElectronEuropeLtd.(Netherlands);Yu-TsungLee,BoonTeikChan,IMEC(Belgium);GuanyangLin,YiCao,AZElectronicMaterialsUSACorp.(USA);VenkatR.Nagaswami,KLA-TencorCorp.(USA);MarkH.Somervell,KathleenNafus,TokyoElectronAmerica,Inc.(USA);RoelGronheid,IMEC(Belgium);PaulF.Nealey,TheUniv.ofChicago(USA) . . . . . . . . . . . . . . .[9049-19]

2:10pm:Metrology for directed self-assembly block lithography using optical scatterometry,DhairyaJ.Dixit,SUNYCollegeofNanoscaleScience&Engineering(USA);VimalK.Kamineni,RichardA.Farrell,ErikHosler,MosheE.Preil,GLOBALFOUNDRIESInc.(USA);JosephRace,BrennanL.Peterson,NanometricsInc.(USA);AlainC.Diebold,SUNYCollegeofNanoscaleScience&Engineering(USA). . . . . . . . . . . . . . . . . . . . . . .[9050-22]

2:30pm:Novel metrology methods for fast 3D characterization of DSA patterns for high-volume manufacturing,ChandrasekharSarma,BenjaminD.Bunday,AronJ.Cepler,SEMATECHInc.(USA);ThaddeusDziura,KLA-TencorCorp.(USA);JiHoonKim,GuanyangLin,JianYin,AZElectronicMaterialsUSACorp.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . .[9050-23]

2:50pm:Measurement of the buried structure of sub-30nm block copolymer lithography patterns using resonant x-ray scattering,DanielF.Sunday,Wen-liWu,R.JosephKline,NationalInstituteofStandardsandTechnology(USA). . . . . . . . . . . . . . . . . . . . . . .[9049-20]

CoffeeBreak. . . . . . . . . . . . . . .Tue3:10pmto3:40pm

Session 4Location: Conv. Ctr. 220A Tue 1:30 pm to 3:10 pm

Roll to Roll UV Nanoimprint Lithography

SessionChairs:John G. Maltabes, Hewlett-PackardLabs.(USA);Laurent Pain, CEA-

LETI(France)

1:30pm:Large-area, continuous roll-to-roll (R2R) nanoimprinting with PFPE hybrid molds: A route to high-throughput manufacturing of sensors,JacobJohn,MartinMuthee,SigfridK.Yngvesson,KennethR.Carter,Univ.ofMassachusettsAmherst(USA). . . . . . .[9049-13]

1:50pm:High-resolution patterning for flexible electronics via roll-to-roll imprint lithography,SamiSabik,JorisDeRiet,EdsgerC.P.Smits,IrynaYakimets,TNO(Netherlands). . . .[9049-14]

2:10pm:Scalable roll-based nanopatterning using jet and flash imprint lithography,SeHyunAhn,MahaGanapathisubramanian,ShuqiangYang,VikSingh,MarlonMenezes,FrankY.Xu,MichaelL.Miller,ByungJinChoi,DouglasJ.Resnick,S.V.Sreenivasan,MolecularImprints,Inc.(USA). . . . . . . . . . . . . . . .[9049-15]

2:30pm:Photocurable resists for large area and high-throughput processing by roll-to-roll nanoimprint,ManuelW.Thesen,MarkoVogler,microresisttechnologyGmbH(Germany);StephanRuttloff,DieterNees,JOANNEUMRESEARCHForschungsgesellschaftmbH(Austria);GabiGrützner,microresisttechnologyGmbH(Germany) . . . . . . . . . . . . . . . . .[9049-16]

2:50pm:Challenges for pattern formation with sub-100nm residual-layer thickness by roll-to-roll nanoimprint lithography,RyoichiInanami,KazutoMatsuki,TomokoOjima,TakuyaKono,TetsuroNakasugi,ToshibaCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . .[9049-17]

CoffeeBreak. . . . . . . . . Tue3:10pmto3:40pm

Conference 9048

Extreme Ultraviolet (EUV) Lithography V

Conference 9049

Alternative Lithographic Technologies VI

Conference 9050

Metrology, Inspection, and Process Control for Microlithography XXVIII

Session 5Location: Conv. Ctr. 220B Tue 1:20 pm to 3:10 pm

Metrology and Inspection for Directed Self-Assembly: Joint Session with

Conferences 9049 and 9050SessionChairs:Martha I. Sanchez, IBMResearch-Almaden(USA);Daniel J. C. Herr, TheUniv.of

NorthCarolinaatGreensboro(USA)

1:20pm:Directed self-assembly: Where does the line roughness come from? (Invited Paper),RicardoRuiz,LeiWan,HeGao,Yves-AndreChapuis,KanaiyalalC.Patel,JeffreyLille,ThomasR.Albrecht,HGST(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-18]

1:50pm:Kinetics of defect annihilation in directed self-assembly of block copolymers using chemically nanopatterned surfaces,PaulinaA.RinconDelgadillo,TheUniv.ofChicago(USA)andIMEC(Belgium)andKatholiekeUniv.Leuven(Belgium);RyotaHarukawa,KLA-TencorCorp.(USA);DoniParnell,TokyoElectronEuropeLtd.(Netherlands);Yu-TsungLee,BoonTeikChan,IMEC(Belgium);GuanyangLin,YiCao,AZElectronicMaterialsUSACorp.(USA);VenkatR.Nagaswami,KLA-TencorCorp.(USA);MarkH.Somervell,KathleenNafus,TokyoElectronAmerica,Inc.(USA);RoelGronheid,IMEC(Belgium);PaulF.Nealey,TheUniv.ofChicago(USA) . . . . . . . . . . . . . . . [9049-19]

2:10pm:Metrology for directed self-assembly block lithography using optical scatterometry,DhairyaJ.Dixit,SUNYCollegeofNanoscaleScience&Engineering(USA);VimalK.Kamineni,RichardA.Farrell,ErikHosler,MosheE.Preil,GLOBALFOUNDRIESInc.(USA);JosephRace,BrennanL.Peterson,NanometricsInc.(USA);AlainC.Diebold,SUNYCollegeofNanoscaleScience&Engineering(USA). . . . . . . . . . . . . . . . . . . . . . . [9050-22]

2:30pm:Novel metrology methods for fast 3D characterization of DSA patterns for high-volume manufacturing,ChandrasekharSarma,BenjaminD.Bunday,AronJ.Cepler,SEMATECHInc.(USA);ThaddeusDziura,KLA-TencorCorp.(USA);JiHoonKim,GuanyangLin,JianYin,AZElectronicMaterialsUSACorp.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-23]

2:50pm:Measurement of the buried structure of sub-30nm block copolymer lithography patterns using resonant x-ray scattering,DanielF.Sunday,Wen-liWu,R.JosephKline,NationalInstituteofStandardsandTechnology(USA). . . . . . . . . . . . . . . . . . . . . . . [9049-20]

CoffeeBreak. . . . . . . . . . . . . . Tue3:10pmto3:40pm

Sessions 4 and 5 run concurrently .

Page 31: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 29

tuesday 25 February

Session 6Location: Conv. Ctr. 220C

Tue 1:40 pm to 3:40 pm

New Materials and ProcessesSessionChairs:Robert Allen, IBMAlmadenResearchCtr.(USA);Ramakrishnan Ayothi,

JSRMicro,Inc.(USA)

1:40pm:Further investigations of bottom-up/top-down lithography using vertically assembled block brush polymers,PeterTrefonasIII,JamesW.Thackeray,DowElectronicMaterials(USA);GuorongSun,SanghoCho,CorrieClark,FanYang,StanislavV.Verkhoturov,EmileA.Schweikert,KarenL.Wooley,TexasA&MUniv.(USA) [9051-11]

2:00pm:Chiral nanomaterial fabrication by means of on-edge lithography,KayDietrich,DennisLehr,OliverPuffky,Ernst-BernhardKley,AndreasTünnermann,Friedrich-Schiller-Univ.Jena(Germany) . . . . . . . . . . . . . . . . . . . . . . . . . [9051-12]

2:20pm:Positive tone cross-linked resists based on photoacid inhibition of cross linking,RichardA.Lawson,LarenM.Tolbert,CliffordL.Henderson,GeorgiaInstituteofTechnology(USA) . . . [9051-13]

2:40pm:In-situ FTIR spectroscopy to study surface chemistry of SIS lithography,MahuaBiswas,JosephA.Libera,ArgonneNationalLab.(USA);SethB.Darling,ArgonneNationalLab.(USA)andTheUniv.ofChicago(USA);JeffreyW.Elam,ArgonneNationalLab.(USA)........... [9051-14]

3:00pm:Nanopatterning with tailored molecules,Hans-WernerSchmidt,FlorianWieberger,TristanKolb,AndreasRingk,ChristianNeuber,Univ.Bayreuth(Germany);ChristopherK.Ober,CornellUniv.(USA) . . . . . . . . . . . . . . . . . . . . . . . . [9051-15]

3:20pm:Rational design of photoresist and photoacid generator for sub-millisecond laser post-exposure bake,JingJiang,MichaelO.Thompson,ChristopherK.Ober,CornellUniv.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-16]

CoffeeBreak. . . . . . . . . . . Tue3:40pmto4:10pm

Session 3Location: Conv. Ctr. 210C

Tue 1:30 pm to 3:30 pm

Image and Process ControlSessionChairs:Tsai-Sheng Gau, Taiwan

SemiconductorManufacturingCo.Ltd.(Taiwan);Carlos Fonseca, TokyoElectronAmerica,Inc.(USA)

1:30pm:Advanced 3D-modeling to improve best-focus shift detection and process control,AnnaSzucs,JonathanPlanchot,VincentFarys,EmekYesilada,STMicroelectronics(France);LaurentDepre,BrionTechnologies,Inc.(USA);CécileGourgon,CEA-LETI(France);MaximeBesacier,CEA-LETI-Minatec(France);SanjayKapasi,BrionTechnologies,Inc.(USA);FrankA.Driessen,OrionMouraille,ASMLNetherlandsB.V.(Netherlands). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-7]

1:50pm:Study of lens heating behavior and thick mask effects with a computational method,NingningJia,Seung-HuneYang,SangwookKim,Young-ChangKim,JungdalChoi,Ho-KyuKang,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof) . . . . . . . . . . . . . . . [9052-8]

2:10pm:Scanner performance predictor and optimizer in further low-k1 lithography,HajimeAoyama,ToshiharuNakashima,TaroOgata,ShintaroKudo,NaonoriKita,JunjiIkeda,AyakoSukegawa,KatsushiMakino,MasayukiMurayama,KazuoMasaki,TomoyukiMatsuyama,NikonCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-9]

2:30pm:Imaging control functions of optical scanners,HisashiNishinaga,ToruHirayama,HajimeYamamoto,HiroshiIrihama,TaroOgata,TomoyukiMatsuyama,NikonCorp.(Japan). . . . . . . . . . . [9052-10]

2:50pm:Experimental validation of rigorous, 3D profile models for negative-tone develop resists,WeiminGao,Synopsys,Inc.(Belgium);UlrichKlostermann,ThomasSchmoeller,SynopsysGmbH(Germany);KevinLucas,Synopsys,Inc.(USA);WolfgangDemmerle,SynopsysGmbH(Germany);PeterDeBisschop,JulienMailfert,IMEC(Belgium). . . . . . . . . . . . . . . . . . . . . . . . . [9052-11]

3:10pm:Wafer sub-layer impact in OPC/ORC models for advanced node implant layers,Jean-ChristopheLeDenmat,Jean-ChristopheMichel,ElodieSungauer,EmekYesilada,FredericRobert,STMicroelectronics(France);SongLan,MuFeng,LaurentDepre,XiaoboXie,SanjayKapasi,BrionTechnologies,Inc.(USA). . . . . . . [9052-12]

CoffeeBreak. . . . . . . . . . . . . . . Tue3:30pmto4:00pm

Session 5Location: San Jose Marriott Salon III

Tue 1:30 pm to 3:10 pm

New Plasma Sources and New Etching Technologies

SessionChairs:Seiji Samukawa, TohokuUniv.(Japan);Julie Bannister, TokyoElectron

America,Inc.(USA)

1:30pm:Large-radius neutral beam-enhanced chemical vapor deposition process for non-porous ultra-low-k SiOCH (Invited Paper),YoshiyukiKikuchi,YasuakiSakakibara,TokyoElectronLtd.(Japan);SeijiSamukawa,TohokuUniv.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9054-16]

2:00pm:Gas cluster ion-beam etching for precision uniformity correction (Invited Paper),NoelM.Russell,VincentGizzo,JoshuaD.LaRose,BrianD.Pfeiffer,TELTechnologyCtr.,America,LLC(USA);R.Dasaka,LaertisEconomikos,RichWise,IBMCorp.(USA) . . . . . . . . . . . . . . . [9054-17]

2:30pm:Highly selective etching for next-generation device using time-modulation plasma,MichikazuMorimoto,HitachiHigh-TechnologiesCorp.(Japan);NaokiYasui,HitachiHighTechnologiesAmerica,Inc.(USA);MiyakoMatsui,Hitachi,Ltd.(Japan). . . . . . . . . . . [9054-18]

2:50pm:Advanced plasma source for the 450mm etch process,Hong-YoungChang,KAIST(Korea,Republicof). . . . . . . . . . . . . . . . . . [9054-19]

CoffeeBreak. . . . . . . . . . . Tue3:10pmto3:40pm

Conference 9051

Advances in Patterning Materials and Processes XXXI

Conference 9054

Advanced Etch Technology for Nanopatterning III

Conference 9052

Optical Microlithography XXVII

Page 32: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

30 SPIEAdvancedLithography2014 · www.spie.org/al

tuesday 25 February

Session 7Location: Conv. Ctr. 210B Tue 3:40 pm to 6:00 pm

EUV IntegrationSessionChairs:Patrick P. Naulleau, Lawrence

BerkeleyNationalLab.(USA);Anthony yen, TSMCTaiwan(Taiwan)

3:40pm:EUV source-mask optimization for 7nm-node and beyond,XiaofengLiu,RafaelC.Howell,StephenD.Hsu,KaiyuYang,KeithD.Gronlund,BrionTechnologies,Inc.(USA);FrankA.Driessen,ASMLNetherlandsB.V.(Netherlands);Hua-YuLiu,BrionTechnologies,Inc.(USA);StevenHansen,ASMLUS,Inc.(USA);KoenvanIngenSchenau,ThijsHollink,PaulvanAdrichem,KarsTroost,ASMLNetherlandsB.V.(Netherlands);JörgZimmermann,OliverSchumann,CarlZeissSMTGmbH(Germany);ChristophHennerkes,CarlZeissAG(Germany);PaulGräupner,CarlZeissSMTGmbH(Germany) . . [9048-25]

4:00pm:EUV overlay strategy for improving MMO,Byoung-HoonLee,InhwanLee,YoonsukHyun,SeoMinKim,Chang-MoonLim,MyoungSooKim,Sung-KiPark,SKHynix,Inc.(Korea,Republicof) . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-26]

4:20pm:Prospects of DUV OoB suppression techniques in EUV lithography,Chang-MinPark,InsungKim,Sang-HyunKim,DongwanKim,Sun-NamKim,CheolhongPark,Hyun-WooKim,JeonghoYeo,Seong-SueKim,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof). . . . . . . . . . . . . . . . . . . [9048-27]

4:40pm:Feasibility of compensating for EUV field edge effects through OPC,ChrisMaloney,RochesterInstituteofTechnology(USA)andMentorGraphicsCorp.(USA)andIMEC(Belgium);JamesC.Word,GermainL.Fenger,MentorGraphicsCorp.(USA);ArdavanNiroomand,MicronTechnology,Inc.(USA);GianF.Lorusso,RikJonckheere,IMEC(Belgium);BruceW.Smith,RochesterInstituteofTechnology(USA);EricHendrickx,IMEC(Belgium). . . . . . . . . . . . . . . . . . . . . [9048-28]

5:00pm:Comprehensive defect avoidance solution for mitigating EUV mask defects,AbdeAliH.Kagalwalla,PuneetGupta,Univ.ofCalifornia,LosAngeles(USA). . . . . . [9048-29]

5:20pm:Pattern fidelity verification for logic design in EUV lithography,MinoruSugawara,SonyCorp.(Japan);EricHendrickx,VickyPhilipsen,IMEC(Belgium);ChrisMaloney,MentorGraphicsCorp.(USA). . . . . . . . . . . . . . . . . . . [9048-30]

5:40pm:EUV OPC modeling and correction requirements,TamerH.Coskun,ThomasI.Wallow,GLOBALFOUNDRIESInc.(USA);GekSoonChua,GLOBALFOUNDRIESSingapore(Singapore);KeithP.Standiford,CraigD.Higgins,YiZou,GLOBALFOUNDRIESInc.(USA). . . . . . . . . . . . . . . . [9048-31]

Session 6Location: Conv. Ctr. 220A Tue 3:40 pm to 5:00 pm

Beam LithographySessionChairs:William M. Tong, KLA-TencorCorp.(USA);Ines A. Stolberg, VistecElectronBeamGmbH(Germany)

3:40pm:Size and shape control of sub-20nm patterns fabricated using focused electron-beam induced processing,CornelisW.Hagen,JulesC.vanOven,SangeethaHari,FransBerwald,TechnischeUniv.Delft(Netherlands);KarlK.Berggren,MassachusettsInstituteofTechnology(USA);PieterKruit,TechnischeUniv.Delft(Netherlands). . . . . . . . . . . . . . . . . .[9049-21]

4:00pm:The next-generation proton beam writing,YongYao,JeroenA.Jeroen,NationalUniv.ofSingapore(Singapore). . . .[9049-22]

4:20pm:Increased throughput, determined by a suite of benchmark patterns, in a Gaussian electron-beam lithography tool with a 100 MHz writing rate,JamesH.SmithII,NigelC.E.Crosland,SamuelK.Doran,RobertC.DowlingJr.,VistecLithography,Inc.(USA);JohnG.Hartley,Univ.atAlbany(USA);PhilipC.Hoyle,DavidM.P.King,VistecLithography,Inc.(UnitedKingdom);LawrenceKutcherJr.,VistecLithography,Inc.(USA);AndrewMcClelland,CambeamSystemsDesignServices,Ltd.(UnitedKingdom);MartinTurnidge,VistecLithography,Inc.(USA). . . . . . . . . . . . . . . . . . . . . . . .[9049-23]

4:40pm:Impact of model inaccuracy on patterning in electron-beam lithography,Cheng-HungChen,Tsung-ChihChien,Pei-YiLiu,Wen-ChuanWang,Jaw-JungShin,Shy-JayLin,BurnJ.Lin,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan). . .[9049-24]

Session 7Location: Conv. Ctr. 220B Tue 3:40 pm to 5:00 pm

Metrology for Process ControlSessionChairs:Costas J. Spanos, Univ.ofCalifornia,Berkeley(USA);Alexander

Starikov, I&IConsulting(USA)

3:40pm:Estimating pattern sensitivity to the printing process for varying dose/focus conditions for RET development in the sub-22nm era,BenoitSeguin,MariaGabrani,IBMZürichResearchLab.(Switzerland);VirginiaEstellers,EcolePolytechniqueFédéraledeLausanne(Switzerland). . . . . . . . . . . . . . . [9050-24]

4:00pm:Lithography run-to-run control in high-mix manufacturing environment with a dynamic state estimation approach,MarkE.Yelverton,GauravK.Agrawal,GLOBALFOUNDRIESInc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-25]

4:20pm:Improvement of interfield CDU by using on-product focus control,KyeongDongPark,TonyPark,JongHyunHwang,JinphilChoi,YoungSeogKang,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof). . . . . . . . . . . . . . . . . . [9050-26]

4:40pm:Improving on-product performance via litho-cluster control using integrated, process-robust, diffraction-based metrology fueled by computationally designed device-like targets fit for advanced technologies (including FinFet),KaustuveBhattacharyya,ASMLNetherlandsB.V.(Netherlands);Chih-MingKe,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-27]

Panel Discussion on Alternative Forms of Scaling

Location: Conv. Ctr. 220A Tue 5:15 pm to 7:00 pm

Moderators: Christopher Bencher,AppliedMaterials,Inc.;H.-S. Philip Wong,

StanfordUniv.

Panelists:Johann Alsmeier,SanDiskCorp.;Liam Madden,XilinxInc.;Kunal Parekh,

MicronTechnology,Inc.;Michael Van Buskirk, AdestoTechnologies,Inc.

Theoptionsfornextgenerationlithographyandalternativepatterningtechniqueshavebeenthoroughlydebatedwithinourlithographyconferencesandcommunities.Orthogonaltothese2Dscalingefforts,severalnewdevicearchitectureshavebeendevelopedwhichofferthepotentialtosupplementplanarscalingusing3Dmethods.

3DNANDiscomingtomarketasanalternativetoplanarNANDandpotentiallydisplacequad-patterningrequirementsinonemarketsegment.Re-RAMisanotherdeveloping3D-compatiblememorydevicewithpotentialtoaffectpatterningrequirementsfurtherupstreaminthememoryhierarchy.DRAMhasseentheemergenceof3Ddie-stackingasameanstosupplementplanarscalinginhighperformanceDRAMapplications.FPGAmakershavecome-to-marketwithheterogeneous2.5Dand3Dsolutionsforhighperformanceprogrammablelogicapplications.

Thispanelisassembledtodiscussthetechnicalandeconomicmeritsofthesenewdevicearchitectures,andtheirpotentialinfluencetotheneedsandrequirementsforalternativelithography.

Conference 9048

Extreme Ultraviolet (EUV) Lithography V

Conference 9049

Alternative Lithographic Technologies VI

Conference 9050

Metrology, Inspection, and Process Control for Microlithography XXVIII

Page 33: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 31

tuesday 25 February

Session 7Location: Conv. Ctr. 220C

Tue 4:10 pm to 5:50 pm

DSA Materials ISessionChairs:Ralph R. Dammel, AZ

ElectronicMaterialsUSACorp.(USA);yoshio Kawai, Shin-EtsuChemicalCo.,Ltd.(Japan)

4:10pm:Process optimization of templated DSA flows,RoelGronheid,NadiaVandenbroeck,IMEC(Belgium);SafakSayan,IntelCorp.(Belgium);AinhoaRomo-Negreira,MarkH.Somervell,TokyoElectronAmerica,Inc.(USA). . . . . . . . . . . [9051-17]

4:30pm:An in situ hard mask block copolymer approach for the fabrication of ordered, large scale, horizontally aligned, Si nanowire arrays on Si substrate,TandraGhoshal,RamsankarSenthamaraikannan,Univ.CollegeCork(Ireland);MatthewT.Shaw,IntelIrelandLtd.(Ireland);JustinD.Holmes,MichaelA.Morris,Univ.CollegeCork(Ireland). . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-18]

4:50pm:Investigation of cross-linking poly(methyl methacrylate) as a guiding material in block copolymer directed self-assembly,RobertSeidel,TheUniv.ofChicago(USA);RoelGronheid,IMEC(Belgium);PaulF.Nealey,TheUniv.ofChicago(USA). . . . . . . . . . . . . . . [9051-19]

5:10pm:Novel surface treatment materials for aligning block-copolymer in directed self-assembly processes,YasunobuSomeya,HiroyukiWakayama,TakafumiEndo,RikimaruSakamoto,NissanChemicalIndustries,Ltd.(Japan). [9051-20]

5:30pm:Directed self-assembly process integration: Fin patterning approaches and challenges,SafakSayan,IMEC(Belgium)andIntelCorp.(USA);RoelGronheid,Min-SooKim,B.T.Chen,IMEC(Belgium);DoniParnell,TokyoElectronEuropeLtd.(Netherlands);LanceWilliamson,TheUniv.ofChicago(USA)andIMEC(Belgium). . . . . . . . . . . . . . . . . . . . . . . . . . [9051-21]

Session 4Location: Conv. Ctr. 210C

Tue 4:00 pm to 6:00 pm

Non-IC ApplicationsSessionChairs:Andreas Erdmann,

Fraunhofer-InstitutfürIntegrierteSystemeundBauelementetechnologieIISB(Germany);Kazuhiro

Takahashi, CanonInc.(Japan)

4:00pm:Optical lithography: Enabling grey scale and binary microoptics for applications in advanced manufacturing, life sciences, defense, and communication (Invited Paper),MarcD.Himel,JENOPTIKOpticalSystemsGmbH(Germany);JeremiahD.Brown,JENOPTIKOpticalSystems,Inc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-13]

4:30pm:193nm immersion lithography for high-performance silicon photonic circuits (Invited Paper),ShankarKumarSelvaraja,GustafWinroth,SabrinaLocorotondo,GayleMurdoch,AlexeyP.Milenin,ChristieDelvaux,PatrickOng,ShibnathPathak,IMEC(Belgium);WeiQiangXie,Univ.Gent(Belgium);GuntherSterckx,GuyLepage,IMEC(Belgium);DriesVanThourhout,WimBogaerts,Univ.Gent(Belgium);JorisVanCampenhout,PhilippeAbsil,IMEC(Belgium). . . . . . . . . . . . [9052-14]

5:00pm:Lithographic process window optimization for mask aligner proximity lithography,ReinhardVoelkel,UweVogler,AriannaBramati,SUSSMicroOpticsSA(Switzerland);AndreasErdmann,Fraunhofer-InstitutfürIntegrierteSystemeundBauelementetechnologieIISB(Germany);NezihÜnal,UlrichHofmann,GenISysGmbH(Germany);MarcHennemeyer,RalphZoberbier,SUSSMicroTecLithographyGmbH(Germany);DavidNguyen,JuergenBrugger,EcolePolytechniqueFédéraledeLausanne(Switzerland) . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-15]

5:20pm:The solution to enhance i-line stepper application by improving mix and match process overlay accuracy,YuheiSumiyoshi,RyoSasaki,YasuoHasegawa,KentaroUshiku,HirotakaSano,AtsushiShigenobu,BunsukeTakeshita,SeiyaMiura,CanonInc.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-16]

5:40pm:Study on built-in lens mask lithography,YoshihikoHirai,AkioMisaka,NaokiUeda,HiroakiKawata,HisaoKikuta,MasaruSasago,OsakaPrefectureUniv.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . [9052-17]

Session 6Location: San Jose Marriott Salon III

Tue 3:40 pm to 5:30 pm

Emerging Patterning Technologies (DSA and others)

SessionChairs:ying Zhang, AppliedMaterials,Inc.(Taiwan);Ricardo Ruiz, HGST

(USA)

3:40pm:Self-aligned double-patterning plasma etch challenges (Invited Paper),RichWise,JohnArnold,SivanandaKanakasabapathy,MassudAminpur,IBMCorp.(USA) . . . . . . . . . . . . [9054-20]

4:10pm:A comparison of the pattern transfer of line-space patterns from graphoepitaxial and chemoepitaxial block co-polymer directed self-assembly (Invited Paper),DanB.Millward,GurpreetLugani,RanjanKhuran,ScottL.Light,MicronTechnology,Inc.(USA);ArdavanNiroomand,MicronTechnology,Inc.(Belgium);PhilipD.Hustad,PeterTrefonas,Shih-WeiChang,DowElectronicMaterials(USA);ChristopherN.Lee,TheDowChemicalCo.(USA);DungQuach,DowElectronicMaterials(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9054-21]

4:40pm:Fabrication of large arrays of sub-10nm features for bit-patterned media (Invited Paper),HeGao,LeiWan,JeffreyLille,KanayalalC.Patel,Yves-AndreChapuis,Tsai-WeiWu,AlexeiL.Bogdanov,RicardoRuiz,ThomasR.Albrecht,HGST(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9054-22]

5:10pm:Directed self-assembly of PS-b-PDMS into 193nm photoresist patterns and transfer etching,MarcZelsmann,CEA-LETI(France);SophieArchambault,MathieuSalaun,CécileGirardot,CEAGrenoble(France);MichaelDelalande,Lab.desTechnologiesdelaMicroélectronique(France);GillesCunge,LTMCNRS(France);ErwinePargon,CEA-LETI(France);OlivierJoubert,LTMCNRS(France). . . . . . . . . . . . . . . . . . . . . . . . . . . [9054-23]

ConferenceEnd.

Conference 9051

Advances in Patterning Materials and Processes XXXI

Conference 9052

Optical Microlithography XXVII

Conference 9054

Advanced Etch Technology for Nanopatterning III

Joint Panel Discussion on The Battle for the 7nm Node: What are the Non-EUV Solutions?

Location: Conv. Ctr. 220A Tue 7:45 pm to 9:00 pm

SessionChairs:Will Conley, Cymer,Inc.(USA);Kafai Lai, IBMCorp.(USA)

Moderators:Will Conley,Cymer,Inc.,andKafai Lai,IBMCorp.

JointwithConferences9050,9051,90529503,9054

Page 34: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

32 SPIEAdvancedLithography2014 · www.spie.org/al

tuesday 25 February — Poster/exhibition reception — 6:00 to 8:00 pm

The exhibition will be open during the Poster/Exhibition Reception on Tuesday only . Be sure to visit the exhibition booths during this time for insight on what is new or coming soon .

The following posters will be on display after 10:00 am on Tuesday, and the poster session will be Tuesday evening from 6:00 to 8:00 pm . Come and view the high-quality papers that are presented in this alternative format, and interact with the poster authors who will be present during the poster session . Enjoy light refreshments while networking with your colleagues .

Full author or technical registration is required for entry to the poster sessions . Please wear your registration badge .

Conference 9048

Extreme Ultraviolet (EUV) Lithography VEUV source modeling,SubodhKulkarni,IgorE.Golovkin,JosephJ.MacFarlane,PrismComputationalSciences,Inc.(USA). . . . . [9048-63]

A study of the effect of pellicle-support structures on aerial-image quality in EUV lithography by rigorous electromagnetic simulation,MichaelS.Yeung,FastlithoInc.(USA);EytanBarouch,BostonUniv.(USA);Hye-KeunOh,HanyangUniv.(Korea,Republicof) . . . . . [9048-64]

Emission properties of tin droplets laser-produced-plasma light sources,HongChen,XinbingWang,HaihongZhu,WuhanNationalLab.forOptoelectronics(China). . . . . . . . . . . . [9048-66]

Design and synthesis of novel resist materials for EUVL,V.S.V.Satyanarayana,VikramSingh,SubrataGhosh,SatinderK.Sharma,IndianInstituteofTechnologyMandi(India);KennethE.Gonsalves,TheUniv.ofNorthCarolinaatCharlotte(USA)andIndianInstituteofTechnologyMandi(India). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-67]

Imaging performance of attenuated phase-shift mask using coherent scattering microscope,JaeUkLee,HanyangUniv.(Korea,Republicof) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-68]

Optimization of processing parameters and metrology for novel NCA negative resists for NGL,VikramSingh,V.S.V.Satynarayana,IndianInstituteofTechnologyMandi(India);FelipeKessler,FrancineR.Scheffer,DanielE.Weibel,Univ.FederaldoRioGrandedoSul(Brazil);SatinderK.Sharma,SubrataGhosh,IndianInstituteofTechnologyMandi(India);KennethE.Gonsalves,IndianInstituteofTechnologyMandi(India)andTheUniv.ofNorthCarolinaatCharlotte(USA). . . . . . . . . . . . [9048-69]

SEMATECH’s cycles of learning test for EUV photoresist and its applications for process improvement,JunSungChun,SEMATECHInc.(USA)andUniv.atAlbany(USA);Shih-HuiJen,KarenE.Petrillo,CeciliaMontgomery,DominicAshworth,MarkNeisser,SEMATECHInc.(USA);TakashiSaito,LiorHuli,AkiteruKo,AndrewW.Metz,TELTechnologyCtr.,America,LLC(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-70]

Success rate improvement of defect mitigation with EUV actinic blank inspection prototype for 16nm hp,TetsunoriMurachi,TsuyoshiAmano,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan);TomohiroSuzuki,HirokiMiyai,LasertecCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-71]

Time dependency of progressive defect growth on EUV masks,TakahiroNakayama,GregoryDenbeaux,SUNYCollegeofNanoscaleScienceandEngineering(USA) . . . . . . . . . . . . . . . [9048-72]

Verification of effect of phase defect shape on ABI signal intensity with experiment,NoriakiTakagi,YukiyasuArisawa,TsuneoTerasawa,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-73]

Modeling of the spatial and temporal evolution of plasmas for the EUV source produced from a Sn droplet irradiated by multiple laser pulses,AkiraSasaki,JapanAtomicEnergyResearchInstitute(Japan);AtsushiSunahara,KatsunobuNishihara,HiroyukiFurukawa,OsakaUniv.(Japan);TakeshiNishikawa,OkayamaUniv.(Japan);FumihiroKoike,SophiaUniv.(Japan). . . . . . . . . . . . . . . . . [9048-74]

Repetitive operation of counter-facing plasma focus device: toward a practical light source for EUV lithography,TatsuyaSodekoda,IHICorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-75]

At wavelength observation of phase defect embedded in EUV mask using microscope technique,TsuneoTerasawa,TsuyoshiAmano,TakeshiYamane,HidehiroWatanabe,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan);MitsunoriToyoda,TohokuUniv.(Japan);TetsuoHarada,TakeoWatanabe,HirooKinoshita,Univ.ofHyogo(Japan). . . . . . . . . . . . . . . . . . . . . . [9048-76]

Potential of solid state laser-driven EUV sources for HVM lithography,MartinC.Richardson,MajidMasnavi,LawrenceShah,JohnSzilagyi,JoshuaD.Bradford,HomairaParchamy,NathanBodnar,YuseongJang,CREOL,TheCollegeofOpticsandPhotonics,Univ.ofCentralFlorida(USA). [9048-77]

Observation of phase defect on extreme ultraviolet mask using an extreme ultraviolet microscope,TsuyoshiAmano,TsuneoTerasawa,HidehiroWatanabe,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan);MitsunoriToyoda,TohokuUniv.(Japan);TetsuoHarada,TakeoWatanabe,HirooKinosihta,Univ.ofHyogo(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-78]

Conversion efficiency of laser-produced Sn plasma EUV light source,MajidMasnavi,JohnSzilagyi,HomairaParchamy,YuseongJang,CREOL,TheCollegeofOpticsandPhotonics,Univ.ofCentralFlorida(USA);MichaelM.M.Mueller,Univ.ofCentralFlorida(USA);MartinC.Richardson,CREOL,TheCollegeofOpticsandPhotonics,Univ.ofCentralFlorida(USA). . . . . . . . . . . . . . . [9048-79]

EUV resist dissolution optimization for CD uniformity and defect control in coat develop track process,MasahikoHarumoto,DainipponScreenManufacturingCo.,Ltd.(Japan);HaroldStokes,YanThouroude,DainipponScreenDeutschlandGmbH(Germany);OsamuTamada,TadashiMiyagi,KojiKaneyama,DainipponScreenManufacturingCo.,Ltd.(Japan);CharlesPieczulewski,SOKUDOCo.,Ltd.(Japan);MasayaAsai,DainipponScreenManufacturingCo.,Ltd.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-80]

Effect of defects on extreme-ultraviolet pellicle,In-SeonKim,Guk-JinKim,HanyangUniv.(Korea,Republicof);MichaelS.Yeung,FastlithoInc.(USA);JiWonKim,Hye-KeunOh,Jeong-SuYang,HanyangUniv.(Korea,Republicof) . . . . . [9048-81]

Conversion efficiencies from laser-produced Kr, Mo, Gd, and Tb plasmas at 6.xnm,HomairaParchamy,CREOL,TheCollegeofOpticsandPhotonics,Univ.ofCentralFlorida(USA);MichaelM.M.Mueller,Univ.ofCentralFlorida(USA);JohnSzilagyi,YuseongJang,MajidMasnavi,MartinC.Richardson,CREOL,TheCollegeofOpticsandPhotonics,Univ.ofCentralFlorida(USA). [9048-82]

Evaluations of negative-tone development resist and process for EUV lithography,ToshiyaTakahashi,NoriakiFujitani,ToshiroItani,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-83]

Aerial image of mesh supported extreme ultraviolet pellicle,Ki-HoKo,GukJinKim,HanyangUniv.(Korea,Republicof);MichaelS.Yeung,FastlithoInc.(USA);EytanBarouch,BostonUniv.(USA);Hye-KeunOh,HanyangUniv.(Korea,Republicof). . . . . . . . . . . . . . . . . . . . . . . . [9048-84]

Flatness on wafer chucks by Berliner Glas: simulation and measurement,RenateMüller,MarcelZiemann,KanstantinAfanasiev,VolkerSchmidt,VerenaThiele,BerlinerGlasKGaAHerbertKubatzGmbH&Co.(Germany) . . . . . . . . [9048-85]

Designing extreme-ultraviolet lithographic objective for 11nm node,ZhenCao,YanqiuLi,FeiLiu,BeijingInstituteofTechnology(China)[9048-86]

EUV resist simulation based on process parameters of pattern formation reaction,NorihikoSugie,ToshiroItani,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan);TakahiroKozawa,OsakaUniv.(Japan) . . . . . . . . . . . . . . . . . [9048-87]

OBPL for the best solution to resist outgassing and out-of-band issues in EUVL toward 1Xnm hp,NoriakiFujitani,RikimaruSakamoto,TakafumiEndo,TokioNishita,HiroakiYaguchi,RyujiOnishi,NissanChemicalIndustries,Ltd.(Japan). [9048-88]

Temporal and spatial dynamic of a laser produced plasma through a multiple Langmuir probe detector,NadiaGambino,MarkusBrandstätter,BobRollinger,RezaS.Abhari,ETHZürich(Switzerland). . . . . . . . . . . . . . . . . . [9048-89]

EUV optical elements with enhanced spectral selectivity for IR radiation,ViacheslavV.Medvedev,FOMInstituteDIFFER(Netherlands). . . . . . . . . . . . . . . . . . . . . . . [9048-90]

Clean and stable LPP light source for HVM inspection applications,BobRollinger,NadiaGambino,AndreaZ.Giovannini,LunaSBozinova,FloriAlickaj,KonradHertig,RezaS.Abhari,ETHZürich(Switzerland). . . . . . . . . . . . . . . . . . [9048-91]

Optimized tin droplets for LPP EUV sources,LunaS.Bozinova,BobRollinger,RezaS.Abhari,ETHZürich(Switzerland). . . . . . . . . . . . . . [9048-92]

Optimization of image-based aberration metrology for EUV lithography,ZacLevinson,GermainL.Fenger,BruceW.Smith,RochesterInstituteofTechnology(USA). . . . . . . . . . [9048-93]

Study of effects of CRAO for optical systems into the EUV,AndrewBurbine,BruceW.Smith,ZacLevinson,RochesterInstituteofTechnology(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-94]

Simulation and experimental study of EUV lithography and low-energy electron diffusion through photoresists,HenryHerbol,RyanDelRe,JustinTorok,SanjanaDas,Univ.atAlbany(USA);LeonidasE.Ocola,ArgonneNationalLab.(USA);GregoryDenbeaux,RobertL.Brainard,Univ.atAlbany(USA). . . . . . . . . . . . . . . . . . . . . . . [9048-95]

Modeling of multilayer mirror optics degradation for advanced nanolithography,TatyanaSizyuk,AhmedHassanein,PurdueUniv.(USA) . . [9048-96]

Analysis of phase defect effect on contact hole pattern using a programmed phase defect in EUVL mask,YongdaeKim,TsuneoTerasawa,TsuyoshiAmano,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan);SunghyunOh,Hynix

Page 35: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 33

tuesday 25 February — Poster/exhibition reception — 6:00 to 8:00 pm

The exhibition will be open during the Poster/Exhibition Reception on Tuesday only . Be sure to visit the exhibition booths during this time for insight on what is new or coming soon .

The following posters will be on display after 10:00 am on Tuesday, and the poster session will be Tuesday evening from 6:00 to 8:00 pm . Come and view the high-quality papers that are presented in this alternative format, and interact with the poster authors who will be present during the poster session . Enjoy light refreshments while networking with your colleagues .

Full author or technical registration is required for entry to the poster sessions . Please wear your registration badge .

SemiconductorInc.(Korea,Republicof);YoonsukHyun,SKHynix,Inc.(Korea,Republicof);HidehiroWatanabe,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan) . . . . . . . . . . . . . . . . . . . . . . . . [9048-97]

The study of EUV resist material to prevent out of band (OOB) effects,JoonHeeHan,KoreaKumhoPetrochemicalCo.,Ltd.(Korea,Republicof) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-98]

193nm inspection of extreme-ultraviolet mask absorber defect,GukJinKim,In-SeonKim,Hye-KeunOh,HanyangUniv.(Korea,Republicof);MichaelS.Yeung,FastlithoInc.(USA);Hye-JinKim,HanyangUniv.(Korea,Republicof) . . . . . [9048-99]

Preparation and evaluation of the EUV photoresists based on molecular glasses,YiLi,QingshanHao,JinpingChen,YiZeng,TianjunYu,TechnicalInstituteofPhysicsandChemistry(China). . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-100]

The synthesis and evaluation of molecular glasses as EUV photoresists,GuoqiangYang,JianXu,LiChen,ShuangqingWang,ShayuLi,RuiHu,InstituteofChemistry(China). . . . . . [9048-101]

Aerial image deformation caused by various defects of EUV pellicle,Sung-GyuLee,HanyangUniv.(Korea,Republicof). . . . . . . . . . . . [9048-102]

Correlation study on resist outgassing between EUV and e-beam irradiation,YukikoKikuchi,NorihikoSugie,ToshiyaTakahashi,IsamuTakagi,KazuhiroKatayama,EishiShiobara,SoichiInoue,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan);TakeoWatanabe,TetsuoHarada,HirooKinoshita,Univ.ofHyogo(Japan). . . . . . . . . . . . . . [9048-103]

Predicting LER power spectral density caused by EUV mask roughness,ReneA.Claus,AndrewR.Neureuther,Univ.ofCalifornia,Berkeley(USA);PatrickP.Naulleau,LawrenceBerkeleyNationalLab.(USA). . . . . . . . . . . . . . . . . . . . . . . . [9048-104]

Bright EUV light source for metrology using pulsed power technology,S.V.Zakharov,NAEXTSTREAM(France). . . . . . . . . . . . . [9048-105]

Evaluation of EUV resist performance below 20nm CD using helium-ion lithography,DiederikJ.Maas,EmilevanVeldhoven,RenéePohlmann,TNO(Netherlands);AnjavanLangen–Suurling,PaulF.A.Alkemade,TechnischeUniv.Delft(Netherlands);SanderWuister,RikHoefnagels,CoenVerspaget,TimonF.Fliervoet,ASMLNetherlandsB.V.(Netherlands). . . . . . . . [9048-107]

Non-catalytic amplification for improved LER in EUV resists,RyanA.Mesch,WadeWang,KensukeMatsuzawa,MasahiroOkazaki,TheUniv.ofTexasatAustin(USA);MikeOlah,JessicaRobbins,ScottPhillips,ThePennsylvaniaStateUniv.(USA);SteveE.Putna,MarieE.Krysak,IntelCorp.(USA);C.GrantWillson,TheUniv.ofTexasatAustin(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-108]

TNO reticle handling test platform,JacquesC.J.vanderDonck,WillE.Crowcombe,ErikC.Fritz,NorbertB.Koster,TNO(Netherlands). . . [9048-109]

EUV blank mask inspection using 193nm inspector,PaulMorgan,DanielPrice,MPMaskTechnologyCtr.,LLC(USA);YulianWolff,PinkeshShah,AppliedMaterials,Inc.(Israel);DaxOlivera,AppliedMaterials,Inc.(USA);LiorShoval,AppliedMaterials,Inc.(Israel). . . . . . . . . . . . . . . . [9048-110]

Optimization of megasonic particle removal processes for EUV masks,ArunJ.Kadaksham,MatthewHouse,FrankGoodwin,SEMATECHInc.(USA);UweDietze,SUSSMicroTecInc.(USA);DavideDattilo,SUSSMicroTecPhotomaskEquipmentGmbH&Co.KG(Germany) . [9048-111]

Stochastic and systematic patterning failure mechanisms for contact-hole in EUV lithography,AlessandroVaglioPret,KLA-Tencor/ICOSBelgium(Belgium);PeterDeBisschop,IMEC(Belgium);MarkD.Smith,KLA-TencorTexas(USA);JohnJ.Biafore,KLA-TencorTexas(USA)andKLA-Tencor/ICOSBelgium(Belgium). . . . . . . . . . . . . . . . . . [9048-112]

Laser-produced plasma light-source development for HVM,IgorV.Fomenkov,DavidC.Brandt,NigelR.Farrar,BrunoLaFontaine,DavidW.Myers,DanielJ.Brown,AlexI.Ershov,NorbertR.Bowering,Cymer,Inc.(USA);RudyPeeters,HansMeiling,NoreenHarned,DanielA.Smith,ASMLNetherlandsB.V.(Netherlands). . . . . . . . . . . . . . . . . . [9048-113]

Out-of-plane scatterometry for potential directional effects in EUV phase roughness,RikonChao,Univ.ofCalifornia,Berkeley(USA);EricM.Gullikson,LawrenceBerkeleyNationalLab.(USA);MichaelGoldstein,FrankGoodwin,RanganathTeki,SEMATECHInc.(USA);AndrewR.Neureuther,Univ.ofCalifornia,Berkeley(USA);PatrickP.Naulleau,LawrenceBerkeleyNationalLab.(USA). [9048-114]

Limitations of resist-based characterization of mask-induced line-edge roughness,SuchitBhattarai,ReneA.Claus,Univ.ofCalifornia,Berkeley(USA);KennethA.Goldberg,LawrenceBerkeleyNationalLab.(USA);AndrewR.Neureuther,Univ.ofCalifornia,Berkeley(USA);PatrickP.Naulleau,LawrenceBerkeleyNationalLab.(USA). [9048-115]

Clear sub-resolution assist features for EUV,MartinBurkhardt,IBMThomasJ.WatsonResearchCtr.(USA);GregoryR.McIntyre,IBMCorp.(USA);RalphE.Schlief,LeiSun,GLOBALFOUNDRIESInc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-116]

Ptychographic wavefront sensor for the optical testing of inspection and exposure tools,RyanH.Miyakawa,PatrickP.Naulleau,LawrenceBerkeleyNationalLab.(USA). . . . . . . . . . . . . . . . . [9048-117]

AIS wavefront sensor: robust optical test of exposure tools using localized wavefront curvature,RyanH.Miyakawa,LawrenceBerkeleyNationalLab.(USA). . . . . . . . . . . . . . . . . [9048-118]

High-speed EUV using post processing and self-aligned double patterning as a speed enhancement technique,JeromeF.Wandell,GLOBALFOUNDRIESInc.(USA);AntondeVilliers,TELTechnologyCtr.,America,LLC(USA);SergeBiesemans,TokyoElectronEuropeLtd.(UnitedKingdom);KathleenNafus,TokyoElectronKyushuLtd.(Japan);MikeA.Carcasi,TokyoElectronAmerica,Inc.(USA);JeffreyT.Smith,DaveHetzer,TELTechnologyCtr.,America,LLC(USA);CraigD.Higgins,GLOBALFOUNDRIESInc.(USA)[9048-119]

Development of a EUVL collector with infrared radiation suppression,MichaelD.Kriese,YuriyYaPlatonov,LicaiJiang,JimR.Rodriguez,RigakuInnovativeTechnologies,Inc.(USA);UlrichMueller,JayDaniel,ShaynaKhatri,AdamMagruder,L-3CommunicationsTinsleyLabs.Inc.(USA);StevenE.Grantham,CharlesTarrio,ThomasB.Lucatorto,NationalInstituteofStandardsandTechnology(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-120]

Deconstructing contact hole CD printing variability in EUV lithography,DenizCivay,GerardM.Schmid,NecipDoganaksoy,CraigD.Higgins,PawitterJ.Mangat,ThomasI.Wallow,GLOBALFOUNDRIESInc.(USA). . . . . . . [9048-121]

Fast rigorous model for mask spectrum simulation and analysis of mask shadowing effects in EUV lithography,XiaoleiLiu,XiangzhaoWang,SikunLi,ShanghaiInstituteofOpticsandFineMechanics(China);AndreasErdmann,Fraunhofer-InstitutfürIntegrierteSystemeundBauelementetechnologieIISB(Germany);GuanyongYan,ShanghaiInstituteofOpticsandFineMechanics(China). . . . . . . . . . . . . . . . . [9048-123]

At wavelength observation of phase defects using focused lensless microscope,TetsuoHarada,YusukeTanaka,Univ.ofHyogo(Japan);TsuyoshiAmano,YouichiUsui,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan);TakeoWatanabe,HirooKinoshita,Univ.ofHyogo(Japan). [9048-124]

Relation between the first 15 Zernike’s of aberration on the aerial image to the printing performance,YudhishthirP.Kandel,GregoryDenbeaux,Univ.atAlbany(USA);XibinZhou,SEMATECHInc.(USA);RyanH.Miyakawa,LawrenceBerkeleyNationalLab.(USA);Yu-JenFan,DominicAshworth,SEMATECHInc.(USA);PatrickP.Naulleau,LawrenceBerkeleyNationalLab.(USA);KevinD.Cummings,SEMATECHInc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-125]

Characterization of high-resolution HafSOx inorganic resists,RichardOleksak,RoseRuther,ShawnP.Decker,DouglasA.Keszler,GregoryHerman,OregonStateUniv.(USA). . . . . [9048-126]

The Energetiq EQ-10 EUV source for metrology: trends and developments,StephenF.Horne,MatthewJ.Partlow,DeborahS.Gustafson,MatthewM.Besen,DonaldK.Smith,PaulBlackborow,EnergetiqTechnology,Inc.(USA). . . . . . [9048-127]

Multicolumn ebeam reticle inspection using permanent magnet lens,TonyLuo,MaglenPteLtd(Singapore);AnjamKhursheed,NationalUniv.ofSingapore(Singapore). . . . . . . . . . . . . . . [9048-128]

Optimization of LPP-EUV conversion efficiency by prepulses,ChengWang,YuxinLeng,QuanzhongZhao,ZongxinZhang,GuandeWang,RuxinLi,ShanghaiInstituteofOpticsandFineMechanics(China). . . . . . . . . . . . . . . . . . [9048-129]

Investigating printability of native defects on EUV mask blanks through simulations and experiments and developing a fundamental understanding of defect printability,MihirUpadhyaya,SUNYCollegeofNanoscaleScience&Engineering(USA);VibhuJindal,SEMATECHInc.(USA);HenryHerbol,SEMATECH,Inc.(USA);JenahHarris-Jones,SEMATECHInc.(USA);Il-YongJang,SEMATECH,Inc.(USA);HyukJooKwon,SEMATECHInc.(USA);GregoryDenbeaux,Univ.atAlbany(USA). . . . . . . . . . . . . . . . . . . . . . [9048-130]

Evaluating vacuum components for particle performance for EUV lithography,YashdeepKhopkar,SUNYCollegeofNanoscaleScience&Engineering(USA);VibhuJindal,SEMATECHInc.(USA);GregoryDenbeaux,Univ.atAlbany(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-131]

Purification solution for EUV,M.C.Chiu,GudengPrecisionIndustrialCo.,Ltd.(Taiwan). . . [9048-132]

Page 36: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

34 SPIEAdvancedLithography2014 · www.spie.org/al

tuesday 25 February — Poster/exhibition reception — 6:00 to 8:00 pm

The exhibition will be open during the Poster/Exhibition Reception on Tuesday only . Be sure to visit the exhibition booths during this time for insight on what is new or coming soon .

The following posters will be on display after 10:00 am on Tuesday, and the poster session will be Tuesday evening from 6:00 to 8:00 pm . Come and view the high-quality papers that are presented in this alternative format, and interact with the poster authors who will be present during the poster session . Enjoy light refreshments while networking with your colleagues .

Full author or technical registration is required for entry to the poster sessions . Please wear your registration badge .

Nanoimprint LithographyNovel fluorinated compounds as anti-sticking agents for nanoimprint lithography,TsuneoYamashita,HisashiMitsuhashi,MasamichiMorita,DaikinIndustries,Ltd.(Japan). . . . . . . . . . [9049-61]

A single-nanometer nanoimprint-mask fabrication by EBL followed by NIL and self-aligned double patterning,HideoKobayashi,KoutaSuzuki,ShujiKishimoto,TakeshiKagatsume,TakashiSato,TsuyoshiWatanabe,HOYACorp.(Japan)[9049-99]

Fluorine coatings for nanoimprint lithography masks,ThomasE.Seidel,Seitek50(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-101]

Novel NanolithographyQuantum optical lithography from 1nm resolution to pattern transfer on silicon wafer,EugenPavel,StorexTechnologiesInc.(Romania);SorinI.Jinga,BogdanS.Vasile,Univ.PolitehnicaofBucharest(Romania);AdrianDinescu,NationalInstituteforResearchandDevelopmentinMicrotechnologies(Romania);VirgilMarinescu,NationalInstituteforResearchandDevelopmentforElectricalEngineering(Romania);RoxanaTrusca,NicoletaTosa,NationalInstituteforResearchandDevelopmentofIsotopicandMolecularTechnologies(Romania) . . [9049-63]

Circumventing the diffraction barrier in nanopatterning via optical saturable transformations,PreciousCantu,TheUniv.ofUtah(USA);TrishaL.Andrew,Univ.ofWisconsin-Madison(USA);RajeshMenon,TheUniv.ofUtah(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-64]

Molecular glass resists for scanning probe lithography,Hans-WernerSchmidt,ChristianNeuber,PeterStrohriegl,FlorianWieberger,AndreasRingk,Univ.Bayreuth(Germany);VincentFookema,VSLDutchMetrologyInstitute(Netherlands);MikeCooke,OxfordInstruments(UnitedKingdom);UrsDüring,ArminW.Knoll,IBMZürichResearchLab.(Switzerland);JeanFrancoisG.N.deMarneffe,IMEC(Belgium);PeterdeSchepper,KatholiekeUniv.Leuven(Belgium);MarcusKästner,KonradNieradka,AhmadAhmad,TihomirAngelov,IvoW.Rangelow,TechnischeUniv.Ilmenau(Germany). . . . [9049-65]

Electron-Beam LithographyREBL DPG lenslet structure: design for charging prevention,Shy-JayLin,T.I.Bao,C.W.W.Lu,Jaw-JungShin,BurnJ.Lin,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan);MarkA.McCord,AlanD.Brodie,AllenCarroll,LucaGrella,KLA-TencorCorp.(USA). . . . . . . . . . . . . . . . . . . . . . . . [9049-66]

Analysis of mix-and-match litho approach for manufacturing 20nm logic-node products,YayiWei,InstituteofMicroelectronics(China). [9049-67]

A shape-modification strategy of electron-beam direct writing considering circuit performance in LSI interconnects,YoshihiroMidoh,AtsushiOsaki,KojiNakamae,OsakaUniv.(Japan) . . . . . [9049-68]

Directed Self-AssemblyDSA template optimization for contact layer in 1D standard cell design,ZigangXiao,YuelinDu,HaitongTian,MartinD.F.Wong,Univ.ofIllinoisatUrbana-Champaign(USA). . . . . . . . . . . . . [9049-71]

Simulation analysis of directed self-assembly for hole multiplication in guide patterns,MakotoMuramatsu,TakeoNakono,TadatoshiTomita,TokyoElectronKyushuLtd.(Japan);KazuyoshiMatsuzaki,TokyoElectronLtd.(Japan);TakahiroKitano,TokyoElectronKyushuLtd.(Japan) . . . . . . . . . . [9049-72]

Self-consistent field theory of directed self-assembly on chemically-prepatterned surfaces,KenichiIzumi,JSREngineeringCo.,Ltd.(Japan)andUniv.ofCalifornia,SantaBarbara(USA);NabilLaachi,XingKunMan,Univ.ofCalifornia,SantaBarbara(USA);KrisT.Delaney,TheMaterialsResearchLab.(USA);GlennH.Fredrickson,Univ.ofCalifornia,SantaBarbara(USA). . . . . . . . . . . . . . . . . . . . . . [9049-73]

Toward fast DSA models: improvement of computational performance of DSA simulations,AzatM.Latypov,GLOBALFOUNDRIESInc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-75]

New neutral layer material for directed self-assembly (DSA) patterning,HyungkunLee,DongjinSemichemCo.,Ltd.(Korea,Republicof) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-76]

Self-assembly of Si-containing block copolymers with high-segregation strength: toward sub-10nm features in directed self-assembly,ChrystillaReboul,GuillaumeFleury,Univ.Bordeaux1(France);CéliaNicolet,XavierChevalier,ChristopheNavarro,ArkemaS.A.(France);RalucaTiron,CEA-LETI-Minatec(France);GillesCunge,LTMCNRS(France);GeorgesHadziioannou,Univ.Bordeaux1(France). . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-77]

Process optimization for directed self-assembly lithography using dissipative particle dynamics and self-consistent field theory,KatsuyoshiKodera,HironobuSato,HidekiKanai,YurikoSeino,NaokoKihara,YusukeKasahara,KatsutoshiKobayashi,KenMiyagi,ShinyaMinegishi,KoichiYatsuda,TomoharuFujiwara,NoriyukiHirayanagi,YoshiakiKawamonzen,TsukasaAzuma,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-78]

Computational studies of shape rectification in directed self-assembly,TatsuhiroIwama,AsahiKaseiE-materialsCorp.(USA);NabilLaachi,KrisT.Delaney,BongkuenKim,GlennH.Fredrickson,Univ.ofCalifornia,SantaBarbara(USA). . [9049-79]

Dry development of high-chi block copolymers for directed self-assembly,YusukeKasahara,YurikoSeino,HironobuSato,KatsutoshiKobayashi,NaokoKihara,YoshiakiKawamonzen,ShinyaMinegishi,KenMiyagi,KoichiYatsuda,TomoharuFujiwara,NoriyukiHirayanagi,HidekiKanai,KatsuyoshiKodera,TsukasaAzuma,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan);TeruakiHayakawa,TokyoInstituteofTechnology(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-80]

300mm pilot line DSA contact hole process stability,MaximeArgoud,IsabelleServin,CEA-LETI(France);AhmedGharbi,CEA-LETI-Minatec(France);PatriciaPimentaBarros,KarineJullian,MarcSanche,SébastienBarnola,CEA-LETI(France);RalucaTiron,CEA-LETI-Minatec(France);ChristopheNavarro,XavierChevalier,CéliaNicolet,ArkemaS.A.(France);GuillaumeFleury,GeorgesHadziioannou,Univ.Bordeaux1(France);MasayaAsai,DainipponScreenManufacturingCo.,Ltd.(Japan);CharlesPieczulewski,SOKUDOCo.,Ltd.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-81]

Modeling the effect of adjustable block copolymer morphology in directed self-assembly: Application to line-width roughness and to shrink of elliptical holes,JeffreyD.Weinhold,ValeriyV.Ginzburg,TheDowChemicalCo.(USA);PhillipD.Hustad,PeterTrefonasIII,DowElectronicMaterials(USA). . . . . . . . . . . . . [9049-82]

Streamlined etch integration with a unique hardmask neutral layer (HM NL) for self-assembled block copolymers (BCPs),MaryAnnJ.Hockey,KuiXu,YubaoWang,DouglasGuerrero,EricCalderas,BrewerScience,Inc.(USA)[9049-83]

Control of PS-b-PMMA directed self-assembly registration by laser-induced millisecond thermal annealing,AlanG.Jacobs,ByungkiJung,ChristopherK.Ober,MichaelO.Thompson,CornellUniv.(USA) . . . . . . . . . . . . . . . . . . . . . . . . [9049-84]

Improvement in electron-beam lithography throughput by exploiting relaxed patterning fidelity requirements with directed self-assembly,HaoYunYu,YuTianShen,Chun-HungLiu,Hsuan-PingLee,Kuen-YuTsai,NationalTaiwanUniv.(Taiwan) . . . . . . . . . . . . . . . . . . . . . . [9049-85]

Inspection of directed self-assembly defects,VenkatR.Nagaswami,ChikashiIto,StephaneDurant,SteveR.Lange,RyotaHarukawa,KLA-TencorCorp.(USA);PaulinaR.Delgadillo,RoelGronheid,IMEC(Belgium). . . . . . . . . . . . . [9049-86]

Understanding defects in DSA: Calculation of free energies of block copolymer DSA systems via thermodynamic integration of a mesoscale block-copolymer model,AndrewJ.Peters,RichardA.Lawson,PeterJ.Ludovice,CliffordL.Henderson,GeorgiaInstituteofTechnology(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-87]

Using chemoepitaxial directed self-assembly for repair and frequency multiplication of EUVL contact-hole patterns,ArjunSingh,IMEC(Belgium)andKatholiekeUniv.Leuven(Belgium);DoniParnell,TokyoElectronEuropeLtd.(Netherlands);BoonTeikChan,RoelGronheid,IMEC(Belgium). . . . . . . . . . . . . . . . . . . . . [9049-88]

Effects of surface characteristics of geometrically confined prepatterns on contact-hole patterning using directed self-assembly lithography,SungwookHwang,SoonMokHa,Joon-SooPark,Suk-wooNam,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof). [9049-89]

Creation of chemical guiding patterns for directed self-assembly of block co-polymers by AFM lithography,FrancescX.Pérez-Murano,MartaFernandez-Regúlez,LauraEvangelio,Ctr.NacionaldeMicroelectrónica(Spain);JordiFraxedas,InstitutCatalàdeNanotecnologia(Spain). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-90]

Scanner effects on directed self-assembly patterning,StephenP.Renwick,NikonResearchCorp.ofAmerica(USA). . . . . . . . . . . . . . . [9049-91]

Via layer DSA hot spot removal through local rerouting,YuelinDu,ZigangXiao,MartinD.F.Wong,Univ.ofIllinoisatUrbana-Champaign(USA);HeYi,H.S.PhilipWong,StanfordUniv.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-92]

Conference 9049

Alternative Lithographic Technologies VI

Page 37: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 35

tuesday 25 February — Poster/exhibition reception — 6:00 to 8:00 pm

The exhibition will be open during the Poster/Exhibition Reception on Tuesday only . Be sure to visit the exhibition booths during this time for insight on what is new or coming soon .

The following posters will be on display after 10:00 am on Tuesday, and the poster session will be Tuesday evening from 6:00 to 8:00 pm . Come and view the high-quality papers that are presented in this alternative format, and interact with the poster authors who will be present during the poster session . Enjoy light refreshments while networking with your colleagues .

Full author or technical registration is required for entry to the poster sessions . Please wear your registration badge .

Image ControlEstimation of 1D proximity budget impacts due to light source for 40nm half-pitch node and next advanced node,SimonHsieh,CymerSoutheastAsia,Ltd.(Taiwan);Jui-ChunPeng,Tung-LiWu,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan);IvanLalovic,OmarZurita,Cymer,Inc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-54]

In-situ aberration measurement method using a phase-shift ring mask,SikunLi,XiangzhaoWang,JishuoYang,FengTang,GuanyongYan,ShanghaiInstituteofOpticsandFineMechanics(China);AndreasErdmann,Fraunhofer-InstitutfürIntegrierteSystemeundBauelementetechnologieIISB(Germany) . . . . . . . . . . . . . . . . . . . . . [9052-55]

Design for feature size reduction and depth of focus improvement of optical lithography,QiangSong,JingZhu,HuijieHuang,BaoxiYang,ShanghaiInstituteofOpticsandFineMechanics(China). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-56]

A defocus measurement method for an in-situ aberration measurement method using a phase-shift ring mask,SikunLi,JishuoYang,XiangzhaoWang,FengTang,GuanyongYan,ShanghaiInstituteofOpticsandFineMechanics(China);AndreasErdmann,Fraunhofer-InstitutfürIntegrierteSystemeundBauelementetechnologieIISB(Germany) . . . . . . . . . . . . . . . . . . . . . . . . . [9052-57]

Alternative lithographic methods for variable aspect ratio vias,AnthonyR.Schepis,BruceW.Smith,RochesterInstituteofTechnology(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-58]

Process window enhancement using advanced RET techniques for 20nm contact layer,YangY.Ping,SarahMcGowan,GLOBALFOUNDRIESInc.(USA);YingGong,YeeMeiFoong,GLOBALFOUNDRIESSingapore(Singapore);JianhongQiu,VincentShu,BoYan,JunYe,TakshPandey,ChrisAquino,StanislasBaron,SanjayKapasi,BrionTechnologies,Inc.(USA). . . [9052-59]

The mitigation of mask roughness via pupil plane filtering for interference-like lithography,BurakBaylav,ChrisMaloney,ZachLevinson,RochesterInstituteofTechnology(USA);JoostP.Bekaert,AlessandroV.Pret,IMEC(Belgium);BruceW.Smith,RochesterInstituteofTechnology(USA) . [9052-60]

Study of the pattern aware OPC,Shin-ShingYeh,PowerchipTechnologyCorp.(Taiwan);JamesR.Chen,CadenceDesignSystems,Inc.(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-72]

OPC VerificationThe advanced verification methodology for OPC model via distance calculation in 3D image parameter space (DC-3D-IPS),JungkeeChoi,Yong-JinChun,SukjooLee,Byung-IlChoi,JahumKu,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof). . . . . . . . . . . . . . . . . . . . . . . . [9052-73]

Full-chip model-based OPC verification by using rigorous model,Dong-hoKong,SKHynix,Inc.(Korea,Republicof). . . . . . . . . . . . . . . . . . [9052-74]

OPC ModelingEffect of mask 3D and scanner focus difference on OPC modeling and verification,GuoXiangNing,GLOBALFOUNDRIESDresdenModuleTwo,GmbH&Co.KG(Germany);YongWahCheng,GLOBALFOUNDRIESSingapore(Singapore);SergeyKropinov,GLOBALFOUNDRIESDresdenModuleTwo,GmbH&Co.KG(Germany);LloydC.Litt,PaulW.Ackmann,GLOBALFOUNDRIESInc.(USA);YeeMeiFoong,GLOBALFOUNDRIESSingapore(Singapore). . . . . . . . . . . . . . . . [9052-75]

Combining lithography and etch models in OPC modeling,LenaV.Zavyalova,Synopsys,Inc.(USA);LanLuan,Synposys,Inc.(USA);HuaSong,Synopsys,Inc.(USA);ThomasSchmoeller,SynopsysGmbH(Germany);JamesP.Shiely,Synopsys,Inc.(USA). . . . . . . . . . . . . . . . . [9052-76]

Fast rigorous modeling applied to wafer topography effects for optical proximity correction,Jean-ChristopheMichel,STMicroelectronics(France)andLab.HubertCurien(France);Jean-ChristopheLeDenmat,STMicroelectronics(France);AlexandreV.Tishchenko,YvesJourlin,Lab.HubertCurien(France). . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-77]

Bringing SEM-contour based OPC to production,FrancoisWeisbuch,GLOBALFOUNDRIESDresdenModuleTwo,GmbH&Co.KG(Germany);KarKitKoh,GLOBALFOUNDRIESSingapore(Singapore);KennethJantzen,MentorGraphicsCorp.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-78]

A stochastic approach to SRAF printing prediction,AndreyLutich,GLOBALFOUNDRIESDresdenModuleTwo,GmbH&Co.KG(Germany) . . . . . . . . . . . . . . . . . . . . . . . . . [9052-79]

Multiple PatterningUnderstanding the critical challenges of self-aligned octuple patterning,JiYu,JunYou,YijianChen,PekingUniv.(China). . . . . . . . . . . . [9052-61]

An edge-placement yield model for self-aligned multiple patterning,PanZhang,YijianChen,PekingUniv.(China) . . . . . . . . . . . . . . . . . [9052-62]

Dual-photoresist complimentary lithography applied to sapphire substrate for submicro patterning,DonyauChiang,Chun-MingChang,Shih-FengTseng,Chao-TeLee,InstrumentTechnologyResearchCtr.(Taiwan);Jer-LiangA.Yeh,InstrumentTechnologyResearchCtr.(Taiwan)andNationalTsingHuaUniv.(Taiwan). . . [9052-63]

Non-IC ApplicationsTCO less dye sensitized solar cell lithographic methods for injecting the electrolyte,HyunChulKi,HyangYoonJung,SeonHoonKim,Doo-GunKim,TaeUnKim,KoreaPhotonicsTechnologyInstitute(Korea,Republicof). . . . . . . . . . . [9052-65]

Portable UV-LED exposure system for low-cost photolithography,IlyasFarhat,MuratKayaYapici,KhalifaUniv.ofScience,TechnologyandResearch(UnitedArabEmirates) . . . . . . . . . . . . . . . [9052-66]

Micro-optics: Enabling technology for illumination shaping in optical lithography,ReinhardVoelkel,SUSSMicroOpticsSA(Switzerland) . . . . . . . . . . . . . . . . . . . . . . . [9052-67]

OPC AlgorithmsFeasibility study of production by full-chip application of model-based mask data preparation,KatsuyaHayano,TakashiAdachi,AyakoTani,HideyoshiTakamizawa,DaiNipponPrintingCo.,Ltd.(Japan);ShoheiMatsushita,DaisukeHara,MasahiroTateishi,D2SK.K.(Japan);AkiFujimura,D2S,Inc.(USA). . . . . . . . . . [9052-68]

Model-based pattern dummy generation for logic devices,JongwonJang,SKHynix,Inc.(Korea,Republicof). . . . . . . . . . . . . . . . . . [9052-70]

Adaptive OPC approach based on pattern grouping algorithm,ChemingHu,Chung-TeHsuan,showHsieh,FredLo,ElvisYang,D.HYang,K.CChen,C.YLu,MacronixInternationalCo.,Ltd.(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-71]

Pattern density multiplication using block copolymer directed self-assembly via chemoepitaxy without traditional pinning stripes,BenjaminNation,AndrewJ.Peters,RichardA.Lawson,PeterJ.Ludovice,CliffordL.Henderson,GeorgiaInstituteofTechnology(USA) . . . [9049-93]

Multiscale DSA simulations for efficient hotspot analysis,YoshihiroHori,KenjiYoshimoto,KyotoUniv.(Japan). . . . . . . . . . . . . . . . . . . . . . . [9049-94]

Achieving sub-10nm half-pitch structures by directed assembly of PS-b-PLA diblock copolymer thin films,XiaoLi,ChangchunInstituteofAppliedChemistry(China)andTheUniv.ofChicago(USA);YadongLiu,XiaosaZhang,ChangchunInstituteofAppliedChemistry(China);LeiWan,HGST(USA);PaulF.Nealey,TheUniv.ofChicago(USA);ShengxiangJi,ChangchunInstituteofAppliedChemistry(China). . . . . . . . . . . [9049-95]

The formation of novel conformal self-assembly (DSA) for via pattern forming,KuanHsinLo,Ching-YuChang,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan). . . . . . . . [9049-96]

Development of fast DSA simulation method using OCTA system,HiroshiMorita,NationalInstituteofAdvancedIndustrialScienceandTechnology(Japan). . . . . . . . . . . . . . . . . . [9049-97]

Design rules for directed-assembly of block copolymer films using topcoats,HyoSeonSuh,TheUniv.ofChicago(USA);AbelardoRamírez-Hernández,TheUniv.ofChicago(USA)andArgonneNationalLab.(USA);JeongInLee,TheUniv.ofChicago(USA);KouheiAida,YasuhikoTada,HiroshiYoshida,HitachiResearchLab.(Japan);LeiWan,RicardoRuiz,HGST(USA);YoshihitoIshida,KanagawaUniv.(Japan);WeiChen,ArgonneNationalLab.(USA);JuanJ.dePablo,PaulF.Nealey,TheUniv.ofChicago(USA)andArgonneNationalLab.(USA). . . . . . . [9049-98]

Investigation of the resist outgassing and hydrocarbonaceous contamination induced for multi-electron-beam lithography tools,Armel-PetitMebiene-Engohang,Marie-LinePourteau,Jean-ChristopheMarusic,PhilippeMichallon,SylvianeCêtre,LaurentPain,CEA-LETI-Minatec(France);MiyakeAkira,TakahiroNakayama,CanonInc.(Japan);MarcSmits,MAPPERLithography(Netherlands);JumanaBoussey-Said,CEA-LETI-Minatec(France). . . . . . . . . . . . . . . . . . . [9049-100]

Conference 9052

Optical Microlithography XXVII

Page 38: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

36 SPIEAdvancedLithography2014 · www.spie.org/al

ToolingsTechnology for monitoring shot-level light source performance data to achieve high-optimization of lithography processes,MasatoMoriya,HideyukiOchiai,YoshinobuWatabe,KeisukeIshida,HiroyukiMasuda,YouichiSasaki,TakahitoKumazaki,AkihikoKurosu,TakeshiOhta,KoujiKakizaki,TakashiMatsunaga,HakaruMizoguchi,GigaphotonInc.(Japan). . . . . [9052-88]

A temperature control algorithm of immersion liquid for immersion lithography,JunweiHe,XiaopingLi,MinLei,BingChen,JinchunWang,HuazhongUniv.ofScienceandTechnology(China). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-89]

Novel wafer stepper with violet LED light source,Shyi-LongShy,NationalNanoDeviceLabs.(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-90]

Illumination system without scanning slit for lithographic tools,YunboZhang,AijunZeng,YingWang,MingxingChen,ShanhuaZhang,QiaoYuan,HuijieHuang,ShanghaiInstituteofOpticsandFineMechanics(China). . . . . . . . . . . . . . . . . . . [9052-91]

Glass ceramic ZERODUR(R) enabling nanometer precision,ThomasWesterhoff,RalfJedamzik,JohannesNieder,ClemensKunisch,SCHOTTAG(Germany) . . . . . . . . . . . . . . . . . . . . . . . . . [9052-92]

Improvements in energy timing control (ETC) for XLR 660xi systems,WillConley,JoshThrones,KevinO’Brien,AliciaRussin,HoangDao,JohnWyman,DavidDunlap,Cymer,Inc.(USA) [9052-93]

New technologies enable green manufacturing,HitomiFukuda,TatsuoEnami,YujiMinegishi,YoungSunYoo,NaotoHisanaga,GigaphotonInc.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-94]

tuesday 25 February — Poster/exhibition reception — 6:00 to 8:00 pm

The exhibition will be open during the Poster/Exhibition Reception on Tuesday only . Be sure to visit the exhibition booths during this time for insight on what is new or coming soon .

The following posters will be on display after 10:00 am on Tuesday, and the poster session will be Tuesday evening from 6:00 to 8:00 pm . Come and view the high-quality papers that are presented in this alternative format, and interact with the poster authors who will be present during the poster session . Enjoy light refreshments while networking with your colleagues .

Full author or technical registration is required for entry to the poster sessions . Please wear your registration badge .

Litho-resist rework influences on Cu metal layer patterning with TiN-hardmask,MarcusDankelmann,MarkusCzekalla,HeikoEstel,JensHahn,Bee-KimHong,MarioLamm,EricNeubert,MichaelRenner,RainerScheibel,MaikStegemann,JensSchneider,InfineonTechnologiesDresden(Germany) . . . . . . . . . . . . . . . . . . . . . . . . . [9054-24]

Spin on carbon using fullerene derivatives,AndreasFrommhold,TheUniv.ofBirmingham(UnitedKingdom);AlanG.Brown,IrresistibleMaterialsLtd.(UnitedKingdom);TomLada,Nano-C,Inc.(USA);RichardE.Palmer,AlexP.G.Robinson,TheUniv.ofBirmingham(UnitedKingdom). . . . . . . . . . . . . . . . . . . . . . . . . . [9054-25]

Dual-frequency mid-gap capacitively coupled plasma (CCP) for conventional and DSA patterning at 10nm node and beyond,NiharR.Mohanty,AkiteruKo,ChristopherCole,VinayakRastogi,KaushikKumar,TELTechnologyCtr.,America,LLC(USA);GerardSchmid,RichardA.Farrell,JiXu,MoshePreil,GLOBALFOUNDRIESInc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . [9054-27]

Conference 9054

Advanced Etch Technology for Nanopatterning III

The benefit of mask written by model-based mask database preparation (MB-MDP) on advanced node,ChainTingHuang,UnitedMicroelectronicsCorp.(Taiwan). . . . . . . . [9052-80]

Resist toploss modeling for OPC applications,ChristianD.Zuniga,YunfeiDeng,MentorGraphicsCorp.(USA). . . . . . . . . . . . . . . . . . . . . . . . [9052-81]

Process ControlImproving on-wafer CD correlation analysis using advanced diagnostics and across-wafer light-source monitoring,PaoloAlagna,Cymer,Inc.(Belgium);OmarZurita,GregoryRechtsteiner,IvanLalovic,Cymer,Inc.(USA);JoostP.Bekaert,IMEC(Belgium). . . . . . . . . . . . . . . . . . . . . . . . . . [9052-82]

Study on abnormal intra-field CD uniformity induced by Efese-tilt application upon complex leveling scheme,GuoguiDeng,JinganHao,BoxiuS.Cai,BinXing,XinYao,QiangZhang,TianhuiLi,Yi-ShihLin,QiangWu,XuelongShi,SemiconductorManufacturingInternationalCorp.(China) [9052-83]

Pattern environment impact on wafer of metal layers with high-NA process on advanced node,ChainTingHuang,UnitedMicroelectronicsCorp.(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-84]

SimulationClosing the loop in lithography simulation by adding capabilities for accurate modeling of SEM,SergeyBabin,SergeyS.Borisov,abeamTechnologies,Inc.(USA). . . . . . . . . . . . . . [9052-85]

SMOEffective simulation for robust inverse lithography using convolution-variation separation method,WenLv,ShiyuanLiu,XinjiangZhou,HaiqingWei,HuazhongUniv.ofScienceandTechnology(China). . . . . . . . . . . . . . . . . . [9052-86]

Proteus inverse lithography technology: ILT where it is needed,MindyLee,GuangmingXiao,KevinLucas,Synopsys,Inc.(USA);WooJ.Sim,HoSunCha,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof). . . . . . . . . . . . . . . . . . . . . . . . [9052-87]

Page 39: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 37

Visit the onsite BookstorefortheseandotherSPIEBooks

www.spie.org/books

Optical Scattering: Measurements and Analysis, Third EditionJohn C. StoverVol.PM224

Introduction to Semiconductor Manufacturing Technology, Second EditionHong XiaoVol.PM220

Integrated Optomechanical Analysis, Second EditionKeith B. Doyle, Victor L. Genberg, Gregory J. MichelsVol.PM223

Field Guide to Optomechanical Design and AnalysisKatie Schwertz, Jim BurgeVol.FG26

Field Guide to Lens DesignJulie Bentley, Craig OlsonVol.FG27

Maxwell's Equations of Electrodynamics: An ExplanationDavid W. BallVol.PM232

Commercialization Basics for the Photonics IndustryDavid KrohnVol.PM234

Page 40: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

38 SPIEAdvancedLithography2014 · www.spie.org/al

Wednesday 26 February

Session 8Location: Conv. Ctr. 210B Wed 8:00 am to 10:10 am

EUV Mask MetrologySessionChairs:Ted Liang, IntelCorp.(USA);Jan Hendrik Peters, CarlZeissSMSGmbH

(Germany)

8:00am:Actinic review of EUV masks: First results from the AIMSTM EUV system integration (Invited Paper),DirkHellweg,MarkusR.Weiss,CarlZeissSMTGmbH(Germany);JanHendrikPeters,SaschaPerlitz,AnthonyD.Garetto,CarlZeissSMSGmbH(Germany);MichaelGoldstein,SEMATECHInc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . [9048-32]

8:30am:Actinic mask imaging: Recent results and future directions from the SHARP EUV Microscope,KennethA.Goldberg,MarkusP.Benk,IacopoMochi,LawrenceBerkeleyNationalLab.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-33]

8:50am:EUV patterned mask inspection with an advanced projection electron microscope (PEM) system,RyoichiHirano,SusumuIida,TsuyoshiAmano,TsuneoTerasawa,HidehiroWatanabe,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan);MasahiroHatakeyama,TakeshiMurakami,KenjiTerao,EBARACorp.(Japan). . . . . . . . . . . [9048-34]

9:10am:Novel zone plate design for EUV mask inspection,Yow-GwoWang,Univ.ofCalifornia,Berkeley(USA)andLawrenceBerkeleyNationalLab.(USA);RyanH.Miyakawa,LawrenceBerkeleyNationalLab.(USA);AndrewR.Neureuther,Univ.ofCalifornia,Berkeley(USA);PatrickP.Naulleau,LawrenceBerkeleyNationalLab.(USA). . [9048-35]

9:30am:A novel concept for actinic mask inspection method using ptychography at the Swiss Light Source,SangsulLee,ManuelGuizar-Sicairos,YasinEkinci,PaulScherrerInstitut(Switzerland) . . . . . . . . . . . . . . . . . . . . . . . [9048-36]

9:50am:E-beam inspection of EUV mask defects: To etch or not to etch?,RaviK.Bonam,ScottD.Halle,IBMCorp.(USA);FeiWang,Hermes-MicrovisionInc.,USA(USA). . . . . . . . . . . [9048-37]

CoffeeBreak. . . . . . . . .Wed10:10amto10:40am

Session 7Location: Conv. Ctr. 220A Wed 8:00 am to 10:10 am

DSA Materials and Processes I: Joint Session with Conferences 9049 and 9051

SessionChairs:Benjamen M. Rathsack, TokyoElectronAmerica,Inc.(USA);Roel Gronheid, IMEC(Belgium)

8:00am:High-volume manufacturing equipment and processing for directed self-assembly applications (Invited Paper),MarkH.Somervell,BenjamenM.Rathsack,TokyoElectronAmerica,Inc.(USA);TakashiYamauchi,ShinchiroKawakami,SoichiroOkada,TadatoshiTomita,TokyoElectronKyushuLtd.(Japan);TakanoriNishi,TokyoElectronAmerica,Inc.(USA);MakotoMuramatsu,TokyoElectronKyushuLtd.(Japan);EtsuoIijima,TokyoElectronMiyagiLtd.(Japan);TakeoNakano,TakumiIshiguro,SeijiNagahara,HiroyukiIwaki,TokyoElectronLtd.(Japan);MakikoDojun,TokyoElectronKyushuLtd.(Japan);MarikoOzawa,TokyoElectronLtd.(Japan);AinhoaRomo-Negreira,TokyoElectronLtd.(Belgium);DoniParnell,TokyoElectronEuropeLtd.(Netherlands);KathleenNafus,TokyoElectronLtd.(Belgium);Jean-LucPeyre,TokyoElectronEuropeLtd.(France);TakahiroKitano,TokyoElectronKyushuLtd.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-22]

8:30am:Improvements of self-assembly properties thanks to homopolymer addition or block-copolymer blends,XavierChevalier,CéliaNicolet,ArkemaS.A.(France);RalucaTiron,AhmedGharbi,CEA-LETI-Minatec(France);MaximeArgoud,CEA-LETI(France);ChristopheCouderc,CEA-LETI-Minatec(France);GuillaumeFleury,GeorgesHadziioannou,Univ.Bordeaux1(France);IliasIliopoulos,ChristopheNavarro,ArkemaS.A.(France). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-25]

8:50am:300mm wafer performance characterization of the SMARTTM directed self-assembly,JihoonKim,JingxiuWan,AZElectronicMaterialsUSACorp.(USA);ShinjiMiyazaki,AZElectronicMaterials(Japan)K.K.(Japan);YiCao,AZElectronicMaterialsUSACorp.(USA);YoungJunHer,AZElectronicMaterialsLtd.(Korea,Republicof);HengpengWu,JianhuiShan,GuanyangLin,AZElectronicMaterialsUSACorp.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-26]

9:10am:Extending the scope of polystyrene-block-polymethyl methacrylate in directed self-assembly,ThomasBennett,KevinS.Jack,TheUniv.ofQueensland(Australia);KristoferJ.Thurecht,TheAustralianInstituteforBioengineeringandNanotechnology(Australia);IdrissBlakey,TheUniv.ofQueensland(Australia)[9049-27]

9:30am:Simulation study of the effect of differences in block energy and density on the self-assembly of block copolymers,RichardA.Lawson,AndrewJ.Peters,BenjaminNation,PeterJ.Ludovice,CliffordL.Henderson,GeorgiaInstituteofTechnology(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-28]

9:50am:Directed self-assembly of block copolymers under graphoepitaxial confinement,MingqiLi,DungQuach,DowElectronicMaterials(USA);Jong-KeunPark,DowAdvancedMaterials(USA);JeffreyD.Weinhold,ValeriyV.Ginzburg,TheDowChemicalCo.(USA);PeterTrefonasIII,PhillipD.Hustad,DowElectronicMaterials(USA);DanB.Millward,RanjanKhurana,GurpreetLugani,ScottL.Light,MicronTechnology,Inc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-29]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wed10:10amto10:40am

Session 8Location: Conv. Ctr. 220B Wed 8:00 am to 10:00 am

SEM, AFM, and SPMSessionChairs:Masafumi Asano, ToshibaCorp.(Japan);Shunsuke Koshihara, HitachiHigh-TechnologiesCorp.

(Japan)

8:00am:CD-SEM metrology for sub-10nm width features,BenjaminD.Bunday,AronJ.Cepler,AbrahamArceo,AaronCordes,SEMATECHInc.(USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-28]

8:20am:Improving SEM image quality using pixel superresolution technique,MyungjunLee,JasonR.Cantone,JiXu,LeiSun,Ryoung-HanKim,GLOBALFOUNDRIESInc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-29]

8:40am:Contour-based metrology for complex 2D shaped patterns printed by multiple-patterning process,DaisukeFuchimoto,ToruIshimoto,HiroyukiShindo,HitoshiSugahara,HitachiHigh-TechnologiesCorp.(Japan);YasutakaToyoda,Hitachi,Ltd.(Japan);JulienMailfert,IMEC(Belgium)andKatholiekeUniv.Leuven(Belgium);PeterDeBisschop,IMEC(Belgium) . . . [9050-30]

9:00am:Parallel SPM cantilever arrays for large-area surface metrology and lithography,TeodorP.Gotszalk,WroclawUniv.ofTechnology(Poland);IvoW.Rangelow,TzvetanIvanow,TechnischeUniv.Ilmenau(Germany). . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-31]

9:20am:In-situ defect classification by AFM-based nanomechanical measurement,AaronCordes,JenahHarris-Jones,SEMATECHInc.(USA);SeanHand,BrukerNanoInc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-32]

9:40am:The pattern wiggling metrology using CD-SEM,ChanghwanLee,SKHynix,Inc.(Korea,Republicof). . . . [9050-121]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . .Wed10:00amto10:30am

Conference 9048

Extreme Ultraviolet (EUV) Lithography V

Conference 9049

Alternative Lithographic Technologies VI

Conference 9050

Metrology, Inspection, and Process Control for Microlithography XXVIII

Page 41: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 39

Wednesday 26 February

Session 1Location: San Jose Marriott Salon III

Wed 8:00 am to 10:10 am

Patterns in DTCOSessionChairs:John Sturtevant, MentorGraphicsCorp.(USA);Luigi Capodieci, GLOBALFOUNDRIESInc.(USA)

8:00am:Lithography-induced limits to scaling of design quality (Invited Paper),AndrewB.Kahng,Univ.ofCalifornia,SanDiego(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9053-1]

8:30am:A pattern-driven design regularization methodology,JasonP.Cain,NormaP.Rodriguez,AdvancedMicroDevices,Inc.(USA);JasonSweis,FrankE.Gennari,Ya-ChiehLai,CadenceDesignSystems,Inc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . [9053-2]

8:50am:Systematic physical verification with topological patterns,VitoDai,GLOBALFOUNDRIESInc.(USA);Ya-ChiehLai,FrankE.Gennari,CadenceDesignSystems,Inc.(USA);EdwardTeoh,LuigiCapodieci,GLOBALFOUNDRIESInc.(USA). . [9053-3]

9:10am:Synthesis of lithographic test patterns through topology-oriented pattern extraction and classification,Seong-BoShim,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof)andKAIST(Korea,Republicof);Young-sooShin,KAIST(Korea,Republicof) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9053-4]

9:30am:Systematic data mining using a pattern database to accelerate yield ramp,EdwardTeoh,VitoDai,LuigiCapodieci,GLOBALFOUNDRIESInc.(USA);Ya-ChiehLai,FrankE.Gennari,CadenceDesignSystems,Inc.(USA). . . . . . . . . . . . . . . . . [9053-5]

9:50am:Layout pattern-driven design rule evaluation,YasmineA.Badr,Univ.ofCalifornia,LosAngeles(USA);Ko-weiMa,NVIDIACorp.(USA);PuneetGupta,Univ.ofCalifornia,LosAngeles(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9053-6]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . Wed10:10amto10:30am

Session 5Location: Conv. Ctr. 210C Wed 8:20 am to 10:00 am

OPC AlgorithmsSessionChairs:Sachiko Kobayashi, ToshibaCorp.(Japan);Xuelong Shi, Semiconductor

ManufacturingInternationalCorp.(China)

8:20am:Automated sample plan selection for OPC modeling,NathalieCasati,MariaGabrani,IBMZürichResearchLab.(Switzerland);RamyaViswanathan,IBMIndiaPrivateLtd.(India);ZikriBayraktar,IBMCorp.(USA);DavidL.DeMaris,IBMAustinResearchLab.(USA);AmrY.Abdo,JamesOberschmidt,IBMCorp.(USA);RainerAndreasKrause,ETHZürich(Switzerland). . . . . . . .[9052-18]

8:40am:Manufacturable conversion for freeform mask patterns using eigen-direction transformation,Jue-ChinYu,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan). . . . . . . . .[9052-19]

9:00am:Decreased shot count from shot overlapping and model-based fracturing for edge-based OPC layouts,ShangliangJiang,AvidehZakhor,Univ.ofCalifornia,Berkeley(USA).[9052-20]

9:20am:11nm logic optical lithography with OPC-lite,MichaelC.Smayling,TelaInnovations,Inc.(USA);KoichiroTsujita,CanonInc.(Japan);HidetamiYaegashi,TokyoElectronLtd.(Japan);ValeryAxelrad,SequoiaDesignSystems,Inc.(USA);RyoNakayama,CanonInc.(Japan);KenichiOyama,ArisaHara,TokyoElectronATLtd.(Japan). . . . .[9052-21]

9:40am:Model-based OPC using the MEEF matrix II,LeHong,J.J.Lei,GeorgeLippincott,JamesWord,MentorGraphicsCorp.(USA). . . . . . . . . . .[9052-22]

CoffeeBreak. . . . . . . . . Wed10:00amto10:20am

Session 8Location: Conv. Ctr. 220A Wed 8:00 am to 10:10 am

DSA Materials and Processes I: Joint Session with Conferences 9049 and 9051

SessionChairs:Benjamen M. Rathsack, TokyoElectronAmerica,Inc.(USA);Roel Gronheid, IMEC(Belgium)

8:00am:High-volume manufacturing equipment and processing for directed self-assembly applications (Invited Paper),MarkH.Somervell,BenjamenM.Rathsack,TokyoElectronAmerica,Inc.(USA);TakashiYamauchi,ShinchiroKawakami,SoichiroOkada,TadatoshiTomita,TokyoElectronKyushuLtd.(Japan);TakanoriNishi,TokyoElectronAmerica,Inc.(USA);MakotoMuramatsu,TokyoElectronKyushuLtd.(Japan);EtsuoIijima,TokyoElectronMiyagiLtd.(Japan);TakeoNakano,TakumiIshiguro,SeijiNagahara,HiroyukiIwaki,TokyoElectronLtd.(Japan);MakikoDojun,TokyoElectronKyushuLtd.(Japan);MarikoOzawa,TokyoElectronLtd.(Japan);AinhoaRomo-Negreira,TokyoElectronLtd.(Belgium);DoniParnell,TokyoElectronEuropeLtd.(Netherlands);KathleenNafus,TokyoElectronLtd.(Belgium);Jean-LucPeyre,TokyoElectronEuropeLtd.(France);TakahiroKitano,TokyoElectronKyushuLtd.(Japan). . . . . . . . [9051-22]

8:30am:Improvements of self-assembly properties thanks to homopolymer addition or block-copolymer blends,XavierChevalier,CéliaNicolet,ArkemaS.A.(France);RalucaTiron,AhmedGharbi,CEA-LETI-Minatec(France);MaximeArgoud,CEA-LETI(France);ChristopheCouderc,CEA-LETI-Minatec(France);GuillaumeFleury,GeorgesHadziioannou,Univ.Bordeaux1(France);IliasIliopoulos,ChristopheNavarro,ArkemaS.A.(France) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-25]

8:50am:300mm wafer performance characterization of the SMARTTM directed self-assembly,JihoonKim,JingxiuWan,AZElectronicMaterialsUSACorp.(USA);ShinjiMiyazaki,AZElectronicMaterials(Japan)K.K.(Japan);YiCao,AZElectronicMaterialsUSACorp.(USA);YoungJunHer,AZElectronicMaterialsLtd.(Korea,Republicof);HengpengWu,JianhuiShan,GuanyangLin,AZElectronicMaterialsUSACorp.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-26]

9:10am:Extending the scope of polystyrene-block-polymethyl methacrylate in directed self-assembly,ThomasBennett,KevinS.Jack,TheUniv.ofQueensland(Australia);KristoferJ.Thurecht,TheAustralianInstituteforBioengineeringandNanotechnology(Australia);IdrissBlakey,TheUniv.ofQueensland(Australia)[9049-27]

9:30am:Simulation study of the effect of differences in block energy and density on the self-assembly of block copolymers,RichardA.Lawson,AndrewJ.Peters,BenjaminNation,PeterJ.Ludovice,CliffordL.Henderson,GeorgiaInstituteofTechnology(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-28]

9:50am:Directed self-assembly of block copolymers under graphoepitaxial confinement,MingqiLi,DungQuach,DowElectronicMaterials(USA);Jong-KeunPark,DowAdvancedMaterials(USA);JeffreyD.Weinhold,ValeriyV.Ginzburg,TheDowChemicalCo.(USA);PeterTrefonasIII,PhillipD.Hustad,DowElectronicMaterials(USA);DanB.Millward,RanjanKhurana,GurpreetLugani,ScottL.Light,MicronTechnology,Inc.(USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-29]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Wed10:10amto10:40am

Conference 9051

Advances in Patterning Materials and Processes XXXI

Conference 9052

Optical Microlithography XXVII

Conference 9053

Design-Process-Technology Co-optimization for Manufacturability VIII

Page 42: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

40 SPIEAdvancedLithography2014 · www.spie.org/al

Wednesday 26 February

Session 9Location: Conv. Ctr. 210B Wed 10:40 am to 12:00 pm

Metrology Sources and ModelingSessionChairs:Michael Goldstein, SEMATECHInc.

(USA);Anna Tchikoulaeva, LasertecU.S.A.,Inc.ZweigniederlassungDeutschland(Germany)

10:40am:High-radiance LDP source for mask-inspection application,YusukeTeramoto,BárbaraSantos,UshioInc.(Germany);FelixKüpper,Fraunhofer-InstitutfürLasertechnik(Germany);TakumaYokoyama,GotaNiimi,HironobuYabuta,AkihisaNagano,MasakiYoshioka,TakahiroShirai,NoritakaAshizawa,HirotoSato,KiyotadaNakamura,KunihikoKasama,UshioInc.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-38]

11:00am:Table-top EUV/soft x-ray source and wavefront measurements at short wavelengths,KlausMann,Jens-OliverDette,TobiasMey,MatthiasMüller,BerndSchäfer,Laser-Lab.Göttingene.V.(Germany) . . . . . . . . . . . . . . . . . . . . . . . . . [9048-39]

11:20am:Three-dimensional plasma shape effects on the EUV emission distribution in laser-droplet interactions,AndreaZ.Giovannini,RezaS.Abhari,ETHZürich(Switzerland) . . . [9048-40]

11:40am:Enhancing the performance of LPP sources for EUV and BEUV lithography,AhmedHassanein,TatyanaSizyuk,PurdueUniv.(USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-41]

Lunch/ExhibitionBreak. . . . . . . . . . . . . Wed12:00pmto1:30pm

Session 8Location: Conv. Ctr. 220A Wed 10:40 am to 12:00 pm

DSA Materials and Processes II: Joint Session with 9049 and 9051

SessionChairs:James A. Liddle, NationalInstituteofStandardsandTechnology(USA);Sean D. Burns, IBMCorp.

(USA)

10:40am:Directed self-assembly of ABA triblock copolymer on chemical contrast pattern for sub-10nm nanofabrication by solvent annealing,ShishengXiong,TheUniv.ofChicago(USA);LeiWan,Yves-AndreChapuis,ThomasR.Albrecht,RicardoRuiz,HGST(USA);PaulF.Nealey,TheUniv.ofChicago(USA) . . . . . [9049-30]

11:00am:Sub-10nm patterning process using directed self-assembly with high-χ block copolymers,NaokoKihara,YurikoSeino,HironobuSato,YusukeKasahara,KatsutoshiKobayashi,KenMiyagi,ShinyaMinegishi,KoichiYatsuda,TomoharuFujiwara,NoriyukiHirayanagi,HidekiKanai,YoshiakiKawamonzen,KatsuyoshiKodera,TsukasaAzuma,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan);TeruakiHayakawa,TokyoInstituteofTechnology(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-31]

11:20am:Guided self-assembly of Si-containing block copolymer with a topcoat surface treatment,TakehiroSeshimo,YoshiyukiUtsumi,TakahiroDazai,TakayaMaehashi,KatsumiOhmori,TokyoOhkaKogyoCo.,Ltd.(Japan) . . . . . . . . . [9049-32]

11:40am:Self-assembly of high-resolutions PS-b-PMMA block-copolymers: processes capabilities and integration on 300mm track,XavierChevalier,CéliaNicolet,ArkemaS.A.(France);RalucaTiron,JonathanPradelles,AhmedGharbi,CEA-LETI-Minatec(France);MaximeArgoud,PatriciaPimenta-Barros,CEA-LETI(France);GillesCunges,LTMCNRS(France);Jean-LucPeyre,RickVanSpaandonk,TokyoElectronEuropeLtd.(France);GuillaumeFleury,GeorgesHadziioannou,Univ.Bordeaux1(France);ChristopheNavarro,ArkemaS.A.(France). . . . . . . . . . . . [9049-33]

Lunch/ExhibitionBreak. . . . . . . . . . . . . .Wed12:00pmto1:30pm

Session 9Location: Conv. Ctr. 220B Wed 10:30 am to 11:50 am

X-Ray Scattering MethodsSessionChairs:John A. Allgair, GLOBALFOUNDRIESInc.

(USA);Richard M. Silver, NationalInstituteofStandardsandTechnology(USA)

10:30am:CD-SAXS comparison study of lab sources versus synchrotrons,DanielF.Sunday,DonaldWindover,Wen-liWu,NationalInstituteofStandardsandTechnology(USA);BenjaminD.Bunday,SEMATECHInc.(USA);R.JosephKline,NationalInstituteofStandardsandTechnology(USA). . . . . . . . . . . . . . . . . .[9050-33]

10:50am:Characterization of directed self-assembly process using grazing incidence small-angle x-ray scattering,HyoSeonSuh,XuanxuanChen,TheUniv.ofChicago(USA);PaulinaA.RinconDelgadillo,TheUniv.ofChicago(USA)andIMEC(Belgium)andKatholiekeUniv.Leuven(Belgium);LingshuWan,TheUniv.ofChicago(USA);JiangZhang,JosephStrzalka,JinWang,WeiChen,ArgonneNationalLab.(USA);RoelGronheid,IMEC(Belgium);PaulF.Nealey,TheUniv.ofChicago(USA). . . . . . . . . . . . . . . .[9050-34]

11:10am:Correlation of CD-SAXS and CD-AFM measurements for FinFET fabrication process monitoring,CharlesM.Settens,SUNYCollegeofNanoscaleScience&Engineering(USA)andSEMATECHInc.(USA);AaronCordes,SEMATECHInc.(USA);R.JosephKline,NationalInstituteofStandardsandTechnology(USA);BenjaminD.Bunday,SEMATECHInc.(USA);AbnerF.Bello,VimalK.Kamineni,AbhijeetPaul,JodyFronheiser,GLOBALFOUNDRIESInc.(USA);RichardJ.Matyi,SUNYCollegeofNanoscaleScience&Engineering(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[9050-35]

11:30am:Nanometrology on gratings with GISAXS: Traceablility and FEM reconstruction,VictorSoltwisch,JanWernecke,AntonHaase,MichaelKrumrey,FrankScholze,Physikalisch-TechnischeBundesanstalt(Germany) . . . . . . . . . . . . . . . . . . . . . . . . . .[9050-36]

Lunch/ExhibitionBreak. . . . . . . . . . . . . . Wed11:50amto1:20pm

Conference 9048

Extreme Ultraviolet (EUV) Lithography V

Conference 9049

Alternative Lithographic Technologies VI

Conference 9050

Metrology, Inspection, and Process Control for Microlithography XXVIII

Page 43: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 41

Wednesday 26 February

Session 2Location: San Jose Marriott Salon III

Wed 10:30 am to 12:20 pm

MultipatterningSessionChairs:Robert Aitken, ARMInc.(USA);Vivek K.

Singh, IntelCorp.(USA)

10:30am:Bridging the gap from mask to physical design for multiple patterning lithography (Invited Paper),DavidZ.Pan,TheUniv.ofTexasatAustin(USA) . . . . . . . . . . . . . . . . . . . . . . [9053-7]

11:00am:Demonstrating production quality SIT-aware routing for the 10nm node,LarsW.Liebmann,IBMCorp.(USA);VassiliosGerousis,PaulGutwin,MikeZhang,CadenceDesignSystems,Inc.(USA);BrianCline,ARMInc.(USA);GustavoTellez,IBMCorp.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9053-8]

11:20am:A fast triple-patterning solution with fix guidance,WeipingFang,Synopsys,Inc.(USA);PeterDeBisschop,IMEC(Belgium);MarcoA.Hug,SriniArikati,ErdemCilingir,Synopsys,Inc.(USA);JulienMailfert,IMEC(Belgium)andKatholiekeUniv.Leuven(Belgium);KevinLukas,Synopsys,Inc.(USA);WeiminGao,Synopsys,Inc.(Belgium)andIMEC(Belgium) . . . . . . . . . . [9053-9]

11:40am:Benchmarking process integration and layout decomposition of directed self-assembly and self-aligned multiple patterning techniques,YijianChen,JunYou,WeiXiao,PekingUniv.(China) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9053-10]

12:00pm:Self-aligned quadruple patterning-friendly routing,FumiharuNakajima,ChikaakiKodama,ToshibaCorp.(Japan);HirotakaIchikawa,ToshibaMicroelectronicsCorp.(Japan);KoichiNakayama,ShigekiNojima,ToshiyaKotani,ToshibaCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9053-11]

Lunch/ExhibitionBreak. . . . . . . . . . . . . .Wed12:20pmto1:30pm

Session 6Location: Conv. Ctr. 210C Wed 10:20 am to 12:20 pm

Multiple Patterning and SMOSessionChairs:Geert Vandenberghe, IMEC(Belgium);

young Seog Kang, SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof)

10:20am:Immersion lithography extension to sub-10nm nodes with multiple patterning,SoichiOwa,ShinijiWakamoto,NikonCorp.(Japan);HidetamiYaegashi,TokyoElectronLtd.(Japan);KenichiOyama,TokyoElectronATLtd.(Japan). . . . . . . . [9052-23]

10:40am:Hybrid lithography for triple-patterning decomposition and e-beam lithography,HaitongTian,MartinD.F.Wong,Univ.ofIllinoisatUrbana-Champaign(USA). . . . . . . . . . . . . . . . . [9052-24]

11:00am:Metal1 patterning study for random-logic applications with 193i, using calibrated OPC for litho and etch,JulienMailfert,IMEC(Belgium)andKatholiekeUniv.Leuven(Belgium);JeroenVandeKerkhove,WernerGillijns,PeterDeBisschop,IMEC(Belgium);KristinDeMeyer,IMEC(Belgium)andKatholiekeUniv.Leuven(Belgium). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-25]

11:20am:Pattern fidelity in multiple-patterning process,MasatoshiYamato,KenichiOyama,ArisaHara,SakurakoNatori,ShoheiYamauchi,HidetamiYaegashi,TokyoElectronLtd.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-26]

11:40am:Joint optimization of source, mask, and pupil in optical lithography,LiJia,EdmundY.Lam,TheUniv.ofHongKong(HongKong,China). . . . . . . . . . . . . . . . . . . . . . . . . [9052-27]

12:00pm:Efficient source polarization optimization for robust optical lithography,XuMa,JieGao,ChunyingHan,YanqiuLi,LisongDong,LihuiLiu,BeijingInstituteofTechnology(China). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-28]

Lunch/ExhibitionBreak. . . . . . . . . . . . . . Wed12:20pmto1:20pm

Session 9Location: Conv. Ctr. 220A Wed 10:40 am to 12:00 pm

DSA Materials and Processes II: Joint Session with 9049 and 9051

SessionChairs:James A. Liddle, NationalInstituteofStandardsandTechnology(USA);Sean D. Burns, IBMCorp.

(USA)

10:40am:Directed self-assembly of ABA triblock copolymer on chemical contrast pattern for sub-10nm nanofabrication by solvent annealing,ShishengXiong,TheUniv.ofChicago(USA);LeiWan,Yves-AndreChapuis,ThomasR.Albrecht,RicardoRuiz,HGST(USA);PaulF.Nealey,TheUniv.ofChicago(USA)[9049-30]

11:00am:Sub-10nm patterning process using directed self-assembly with high-χ block copolymers,NaokoKihara,YurikoSeino,HironobuSato,YusukeKasahara,KatsutoshiKobayashi,KenMiyagi,ShinyaMinegishi,KoichiYatsuda,TomoharuFujiwara,NoriyukiHirayanagi,HidekiKanai,YoshiakiKawamonzen,KatsuyoshiKodera,TsukasaAzuma,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan);TeruakiHayakawa,TokyoInstituteofTechnology(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-31]

11:20am:Guided self-assembly of Si-containing block copolymer with a topcoat surface treatment,TakehiroSeshimo,YoshiyukiUtsumi,TakahiroDazai,TakayaMaehashi,KatsumiOhmori,TokyoOhkaKogyoCo.,Ltd.(Japan) . . . . . . . . . [9049-32]

11:40am:Self-assembly of high-resolutions PS-b-PMMA block-copolymers: processes capabilities and integration on 300mm track,XavierChevalier,CéliaNicolet,ArkemaS.A.(France);RalucaTiron,JonathanPradelles,AhmedGharbi,CEA-LETI-Minatec(France);MaximeArgoud,PatriciaPimenta-Barros,CEA-LETI(France);GillesCunges,LTMCNRS(France);Jean-LucPeyre,RickVanSpaandonk,TokyoElectronEuropeLtd.(France);GuillaumeFleury,GeorgesHadziioannou,Univ.Bordeaux1(France);ChristopheNavarro,ArkemaS.A.(France). . . . . . . . . . . . [9049-33]

Lunch/ExhibitionBreak. . . . . . . . . . . . . Wed12:00pmto1:30pm

Conference 9051

Advances in Patterning Materials and Processes XXXI

Conference 9052

Optical Microlithography XXVII

Conference 9053

Design-Process-Technology Co-optimization for Manufacturability VIII

Page 44: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

42 SPIEAdvancedLithography2014 · www.spie.org/al

Wednesday 26 February

Session 10Location: Conv. Ctr. 210B Wed 1:30 pm to 3:10 pm

EUV Resist Outgas TestingSessionChairs:Soichi Inoue, EUVLInfrastructure

DevelopmentCtr.,Inc.(Japan);Kurt G. Ronse, IMEC(Belgium)

1:30pm:Prediction of EUV resist outgassing,Shu-HaoChang,Ming-ChinChien,Shang-ChiehChien,TSMCTaiwan(Taiwan);Shu-FangChen,BrianC.Lee,Jui-ChingWu,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan);JackJ.-H.J.Chen,AnthonyYen,TSMCTaiwan(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-42]

1:50pm:The influence of witness sample intensity on resist outgas testing,ShannonB.Hill,NadirS.Faradzhev,RobertF.Berg,CharlesTarrio,ThomasB.Lucatorto,NationalInstituteofStandardsandTechnology(USA). . . . . . . . . . . . . . . . . . . [9048-43]

2:10pm:Contribution of EUV resist components to the non-cleanable contaminations,EishiShiobara,ToshiyaTakahashi,NorihikoSugie,YukikoKikuchi,IsamuTakagi,KazuhiroKatayama,HiroyukiTanaka,SoichiInoue,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan);TetsuroHarada,TakeoWatanabe,HirooKinoshita,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan)andUniv.ofHyogo(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-44]

2:30pm:Resist outgassing contamination on EUV multilayer mirror analogues,GregoryDenbeaux,DiegoAlvarado,YudhishthirP.Kandel,Univ.atAlbany(USA);JaewoongSohn,TonmoyChakraborty,DominicAshworth,SEMATECHInc.(USA). [9048-45]

2:50pm:Relationship between resist outgassing and witness sample contamination in NXE outgas qualification using electrons and EUV photons,IvanPollentier,AnanthTirumalaVenkata,RoelGronheid,IMEC(Belgium). . . . . . . . . . . . . [9048-46]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . . Wed3:10pmto3:40pm

Session 9Location: Conv. Ctr. 220A Wed 1:30 pm to 3:20 pm

Step and Repeat UV Nanoimprint LithographySessionChairs:Tatsuhiko Higashiki, ToshibaCorp.(Japan);

Naoya Hayashi, DaiNipponPrintingCo.,Ltd.(Japan)

1:30pm:Hard disk drive thin-film head manufactured using nanoimprint lithography (Invited Paper),DanielSullivan,ThomasR.Boonstra,MarkT.Kief,LilyH.Youtt,SethuramanJayashankar,CarolynVanDorn,HaroldN.Gentile,SriramViswanathan,DexinWang,DionSong,DongsungHong,Sung-HoonGee,SeagateTechnologyLLC(USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . .[9049-34]

2:00pm:High-throughput jet and flash imprint lithography for advanced semiconductor memory,NiyazKhusnatdinov,ZhengmaoYe,KangLuo,TimothyB.Stachowiak,XiaomingLu,J.W.Irving,MattShafran,WhitneyLongsine,VanTruskett,BrianFletcher,WeijunLiu,FrankY.Xu,DwayneL.LaBrake,S.V.Sreenivasan,MolecularImprints,Inc.(USA). . . . . . . . . . . .[9049-35]

2:20pm:The prospects of design for nanoimprint lithography: Layout refinement utilizing process simulation,SachikoKobayashi,MitsukoShimizu,ToshibaCorp.(Japan);SatoshiTanaka,YohkoFurutono,ToshibaMaterialsCo.,Ltd.(Japan);MasayukiHatano,ToshibaCorp.(Japan);ShojiMimotogi,ToshibaMaterialsCo.,Ltd.(Japan). . . . . . . . . . . . . . . . . . . . . . . . .[9049-36]

2:40pm:Development status of nanoimprint template quality,KojiIchimura,MasaakiKurihara,NaoyaHayashi,DaiNipponPrintingCo.,Ltd.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[9049-37]

3:00pm:Step-and-repeat nanoimprinting on pre-spin coated film: from sub-15nm metal patterning to the fabrication of a spectrometer-on-chip,GiuseppeCalafiore,abeamTechnologies,Inc.(USA);ScottDhuey,TheMolecularFoundry(USA);AlexanderKoshelev,Nano-OpticDevices(USA);MarkoVolger,microresisttechnologyGmbH(Germany);DeirdreL.Olynick,TheMolecularFoundry(USA);AlexanderGoltsov,Nano-OpticDevices(USA);StefanoCabrini,TheMolecularFoundry(USA);ChristophePeroz,abeamTechnologies,Inc.(USA). . . . . . . . . . . . . . . . . . . . .[9049-38]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . . Wed3:20pmto3:50pm

Session 10Location: Conv. Ctr. 220B Wed 1:20 pm to 3:00 pm

Overlay Measurement and Control: Joint Session with Conferences 9050 and 9052

SessionChairs:Alexander Starikov, I&IConsulting(USA);Pary Baluswamy, MicronTechnology,Inc.(USA)

1:20pm:Characterization and mitigation of overlay error on silicon wafers with nonuniform stress,TimothyA.Brunner,VinayanC.Menon,CheukW.Wong,NelsonFelix,MichaelB.Pike,OlegGluschenkov,MichaelBelyansky,IBMCorp.(USA);PradeepVukkadala,SathishVeeraraghavan,JaydeepK.Sinha,KLA-TencorCorp.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[9052-29]

1:40pm:Monitoring process-induced overlay errors through high-resolution wafer geometry measurements,KevinT.Turner,Univ.ofPennsylvania(USA);PradeepVukkadala,SathishVeeraraghavan,JaydeepK.Sinha,KLA-TencorCorp.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[9050-37]

2:00pm:Analysis of overlay errors induced by exposure energy in negative-tone development process for photolithography,YoungHaKim,Jang-SunKim,Young-HoonKim,Byeong-OkCho,JinphilChoi,YoungSeogKang,HunhwanHa,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof). . . . . . . . . . . . . .[9052-30]

2:20pm:Investigation on reticle heating effect induced overlay error,MiJungLim,GeunhakKim,Seo-MinKim,Byoung-HoonLee,SeokkyunKim,Chang-MoonLim,MyoungSooKim,Sung-KiPark,SKHynix,Inc.(Korea,Republicof). . . . . . . . . . . . . . . . . . .[9050-38]

2:40pm:Compensating process nonuniformity to improve wafer overlay by RegC,PhilippeJ.Leray,ShauneeY.Cheng,IMEC(Belgium);AviCohen,ErezGraitzer,VladimirDmitriev,NadavWertsman,CarlZeissSMSLtd.(Israel). . . . . . . . . . . . . . . .[9050-39]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . . Wed3:00pmto3:30pm

Conference 9048

Extreme Ultraviolet (EUV) Lithography V

Conference 9049

Alternative Lithographic Technologies VI

Conference 9050

Metrology, Inspection, and Process Control for Microlithography XXVIII

Page 45: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 43

Wednesday 26 February

Session 10Location: Conv. Ctr. 220C Wed 1:30 pm to 3:30 pm

Materials and Process FundamentalsSessionChairs:James W. Thackeray, DowElectronic

Materials(USA);Qinghuang Lin, IBMThomasJ.WatsonResearchCtr.(USA)

1:30pm:An in-situ analysis of resist dissolution in alkali-based and organic solvent-based developers using high-speed atomic force microscopy,JuliusJosephS.Santillan,MotoharuShichiri,ToshiroItani,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-23]

1:50pm:In-situ analysis of defect formation in coat develop track process,MasahikoHarumoto,OsamuTamada,TadashiMiyagi,KojiKaneyama,DainipponScreenManufacturingCo.,Ltd.(Japan);CharlesPieczulewski,SOKUDOCo.,Ltd.(Japan);MasayaAsai,DainipponScreenManufacturingCo.,Ltd.(Japan). [9051-24]

2:10pm:Methods of controlling cross-linking in negative-tone resists,RichardA.Lawson,LarenM.Tolbert,CliffordL.Henderson,GeorgiaInstituteofTechnology(USA) . . . . . . . . . . . . . . . [9051-25]

2:30pm:How to design a good photoresist solvent package using solubility parameters and high-throughput research,MichaelP.Tate,CharlotteA.Cutler,MikeSakillaris,MichaelKaufman,TomEstelle,CarolMohler,ChrisTucker,JamesW.Thackeray,TheDowChemicalCo.(USA). . . . . . . . . . . . . [9051-26]

2:50pm:Ionic contrast enhancement for organic solvent negative-tone develop,GregoryM.Wallraff,LindaK.Sundberg,LuisaD.Bozano,HoaTruong,MarthaI.Sanchez,IBMAlmadenResearchCtr.(USA);DarioL.Goldfarb,IBMThomasJ.WatsonResearchCtr.(USA);KarenE.Petrillo,AlbanyNanoTech(USA);WilliamD.Hinsberg,ColumbiaTechnicalConsulting,Inc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-27]

3:10pm:Introduction of an innovative solvent-free photoresist stripping process using intelligent fluids,MatthiasRudolph,YaverThrun,FraunhoferCtr.NanoelectronicTechnologiesIPMS(Germany);ChristophK.Hohle,FraunhoferInstituteforPhotonicMicrosystemsIPMS(Germany);DirkSchumann,AnitaHoehne,SilvioEsche,bubblesandbeyondGmbH(Germany). . . . . . . . . [9051-28]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . . Wed3:30pmto4:00pm

Session 7Location: Conv. Ctr. 220B Wed 1:20 pm to 3:00 pm

Overlay Measurement and Control: Joint Session with Conferences 9050 and 9052

SessionChairs:Alexander Starikov, I&IConsulting(USA);Pary Baluswamy, MicronTechnology,Inc.(USA)

1:20pm:Characterization and mitigation of overlay error on silicon wafers with nonuniform stress,TimothyA.Brunner,VinayanC.Menon,CheukW.Wong,NelsonFelix,MichaelB.Pike,OlegGluschenkov,MichaelBelyansky,IBMCorp.(USA);PradeepVukkadala,SathishVeeraraghavan,JaydeepK.Sinha,KLA-TencorCorp.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[9052-29]

1:40pm:Monitoring process-induced overlay errors through high-resolution wafer geometry measurements,KevinT.Turner,Univ.ofPennsylvania(USA);PradeepVukkadala,SathishVeeraraghavan,JaydeepK.Sinha,KLA-TencorCorp.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[9050-37]

2:00pm:Analysis of overlay errors induced by exposure energy in negative-tone development process for photolithography,YoungHaKim,Jang-SunKim,Young-HoonKim,Byeong-OkCho,JinphilChoi,YoungSeogKang,HunhwanHa,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof). . . . . . . . . . . . . .[9052-30]

2:20pm:Investigation on reticle heating effect induced overlay error,MiJungLim,GeunhakKim,Seo-MinKim,Byoung-HoonLee,SeokkyunKim,Chang-MoonLim,MyoungSooKim,Sung-KiPark,SKHynix,Inc.(Korea,Republicof). . . . . . . . . . . . . . . . . . .[9050-38]

2:40pm:Compensating process nonuniformity to improve wafer overlay by RegC,PhilippeJ.Leray,ShauneeY.Cheng,IMEC(Belgium);AviCohen,ErezGraitzer,VladimirDmitriev,NadavWertsman,CarlZeissSMSLtd.(Israel). . . . . . . . . . . . . . . .[9050-39]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . . Wed3:00pmto3:30pm

Session 3Location: San Jose Marriott Salon III

Wed 1:30 pm to 3:20 pm

HotspotsSessionChairs:Lars W. Liebmann, IBMCorp.(USA);Jason

P. Cain, AdvancedMicroDevices,Inc.(USA)

1:30pm:Challenges in OPC and design at 20nm and below (Invited Paper),SarahMcGowan,GLOBALFOUNDRIESInc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[9053-12]

2:00pm:Accurate lithography hotspot detection based on PCA-SVM classifier with hierarchical data clustering,Jhih-RongGao,BeiYu,DavidZ.Pan,TheUniv.ofTexasatAustin(USA) .[9053-13]

2:20pm:Model-based multilayers fix for litho-hotspots beyond 20nm node,AsmaaRabie,KareemMadkour,MentorGraphicsEgypt(Egypt);KirolosGeorge,MentorGraphicsCorp.(Egypt);WaelEl-Manhawy,Jean-MarieBrunet,JoeKwan,MentorGraphicsCorp.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[9053-14]

2:40pm:Configurable hotspot fixing system,MasanariKajiwara,SachikoKobayashi,HiromitsuMashita,RyotaAburada,ToshibaCorp.(Japan);NozomuFuruta,ToshibaMaterialsCo.,Ltd.(Japan);ToshiyaKotani,ToshibaCorp.(Japan). . . . . . . . . . . . . . . .[9053-15]

3:00pm:Smart source, mask, and target co-optimization to improve design related lithographically weak spots,Bong-RyoulChoi,ASMLKoreaCo.,Ltd.(Korea,Republicof);No-YoungChung,Pil-SooKang,Na-RaeBang,SukjooLee,Byung-IlChoi,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof);Sung-WoonPark,Ki-HoBaik,ASMLKoreaCo.,Ltd.(Korea,Republicof);StephenD.Hsu,XiaofengLiu,RafaelC.Howell,KeithD.Gronlund,BrionTechnologies,Inc.(USA) . . . . . . . . . . . . . . . . . . . . . . . . . .[9053-16]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . . Wed3:20pmto3:50pm

Conference 9051

Advances in Patterning Materials and Processes XXXI

Conference 9052

Optical Microlithography XXVII

Conference 9053

Design-Process-Technology Co-optimization for Manufacturability VIII

Page 46: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

44 SPIEAdvancedLithography2014 · www.spie.org/al

Session 11Location: Conv. Ctr. 210B Wed 3:40 pm to 6:00 pm

EUV Resist ISessionChairs:Thomas I. Wallow, GLOBALFOUNDRIESInc.

(USA);Christopher S. Ngai, AppliedMaterials,Inc.(USA)

3:40pm:Oxide nanoparticle photoresists: EUV patterning and mechanistic evidence,SouvikChakrabarty,LiLi,CornellUniv.(USA);ChandrasekharSarma,SEMATECHInc.(USA);EmmanuelP.Giannelis,ChristopherK.Ober,CornellUniv.(USA). . . . . . [9048-47]

4:00pm:Novel EUV resist materials for 16nm half pitch and EUV resist defects,MotohiroShiratani,JSREngineeringCo.,Ltd.(Japan);KenMaruyama,RamakrishnanAyothi,YoshiHishiro,JSRMicro,Inc.(USA);AndreiaSantos,XaverBuch,JSRMicroN.V.(Belgium);TooruKimura,JSREngineeringCo.,Ltd.(Japan)[9048-48]

4:20pm:Novel EUV resist materials design for 14nm half-pitch and below,HideakiTsubaki,ShinjiTarutani,ToruFujimori,HirooTakizawa,TakahiroGoto,FUJIFILMCorp.(Japan) . . . . . . [9048-49]

4:40pm:Electron and hole transfer in anion-bound chemically amplified resists used in extreme-ultraviolet lithography,YoshitakaKomuro,TokyoOhkaKogyoCo.,Ltd.(Japan)andOsakaUniv.(Japan);HirokiYamamoto,TheInstituteofScientificandIndustrialResearch(Japan);YoshiyukiUtsumi,KatsumiOhmori,TokyoOhkaKogyoCo.,Ltd.(Japan);TakahiroKozawa,TheInstituteofScientificandIndustrialResearch(Japan) . . . . . . . . . . . [9048-50]

5:00pm:Secondary electrons in EUV: Experimental studies,JustinTorok,SanjanaDas,RyanDelRe,HenryHerbol,Univ.atAlbany(USA);LeonidasE.Ocola,ArgonneNationalLab.(USA);CarlVentriceJr.,RobertL.Brainard,GregoryDenbeaux,Univ.atAlbany(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-51]

5:20pm:Comparative analysis of shot noise characteristics of EUV and e-beam lithography,SuchitBhattarai,Univ.ofCalifornia,Berkeley(USA);WeilunChao,LawrenceBerkeleyNationalLab.(USA);AndrewR.Neureuther,Univ.ofCalifornia,Berkeley(USA);PatrickP.Naulleau,LawrenceBerkeleyNationalLab.(USA)[9048-52]

5:40pm:Improved measurement capabilities at the NIST EUV Reflectometry Facility,CharlesTarrio,StevenE.Grantham,ThomasB.Lucatorto,NationalInstituteofStandardsandTechnology(USA);MichaelD.Kriese,YuriyY.Platonov,LicaiJiang,JimR.Rodriguez,RigakuInnovativeTechnologies,Inc.(USA). . . . . . . . . . . . [9048-53]

Session 10Location: Conv. Ctr. 220A Wed 3:50 pm to 5:50 pm

Multiple Electron Beam Direct Write LithographySessionChairs:Hans Loeschner, IMSNanofabricationAG

(Austria);Frank E. Abboud, IntelCorp.(USA)

3:50pm:MAPPER pre-production platform: Outlook on the 1st lithographic performances (Invited Paper),LaurentPain,CEA-LETI(France);BéatriceIcard,CEA-LETI-Minatec(France);LudovicLattard,JérômeBelledent,CEA-LETI(France);JonathanPradelles,CEA-LETI-Minatec(France);ArameNdeyeThiam,IsabelleServin,KarineJullian,SébastienBérard-Bergery,CEA-LETI(France);ChristopheConstancias,BernardDal’zotto,CEA-LETI-Minatec(France);ClaireSourd,CEA-LETI(France);Marie-LinePourteau,CEA-LETI-Minatec(France);Jean-ChristopheMarusic,SoitecS.A.(France);GuidodeBoer,MarcoJ.Wieland,BertJanKampherbeek,PieterBrandt,PabloWiedmann,AbdiFarah,MarcSmits,MAPPERLithography(Netherlands);SergeTedesco,CEA-LETI(France);Armel-PetitMebiene,STMicroelectronics(France);ErwinSlot,MAPPERLithography(Netherlands). . . . . . . . . . . . [9049-39]

4:20pm:Demonstration of EDA flow for massively parallel e-beam lithography,PieterBrandt,MAPPERLithography(Netherlands);JérômeBelledent,CEA-LETI(France);CélineTranquillin,ThiagoR.Figueiro,AseltaNanographics(France);StéfanieMeunier,CEA-LETI(France);SébastienBayle,AseltaNanographics(France);AurélienFay,CEA-LETI(France);MatthieuMilléquant,AseltaNanographics(France);BéatriceIcard,CEA-LETI-Minatec(France);MarcoJ.Wieland,MAPPERLithography(Netherlands). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-40]

4:40pm:Reflective electron-beam lithography: alignment and stitching of patterns printed via CMOS DPG chip (Invited Paper),ThomasGubiotti,AnthonyCheung,ShinichiKojima,FugeSun,JasonYang,FrancoiseKidwingira,JohnJ.Hench,ZhiyangWei,MarkA.McCord,PaulPetric,AllenCarroll,AlanD.Brodie,WilliamM.Tong,ChristopherF.Bevis,KLA-TencorCorp.(USA) . . . . . . . . . . . . [9049-41]

5:10pm:The REBL digital pattern generator: recent innovations and remaining challenges,AllenCarroll,LucaGrella,KirkMurray,MarkA.McCord,PaulPetric,WilliamM.Tong,ChristopherF.Bevis,KLA-TencorCorp.(USA);Shy-JayLin,Tsung-HsinYu,Tze-ChiangHuang,T.P.Wang,Wen-ChuanWang,J.J.Shin,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . [9049-42]

5:30pm:Massively parallel EB direct writing (MPEBDW) system based on microelectromechanical system (MEMS)/nc-Si emitter array,AkiraKojima,CrestecCorp.(Japan);NaokatsuIkegami,TokyoUniv.ofAgricultureandTechnology(Japan);TakashiYoshida,HiroshiMiyaguchi,HitoshiNishino,TohokuUniv.(Japan);MasanoriSugata,CrestecCorp.(Japan);SinyaYoshida,MasanoriMuroyama,TohokuUniv.(Japan);HideyukiOhyi,CrestecCorp.(Japan);NobuyoshiKoshida,TokyoUniv.ofAgricultureandTechnology(Japan);MasayoshiEsashi,TohokuUniv.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-43]

Session 11Location: Conv. Ctr. 220B Wed 3:30 pm to 6:10 pm

InspectionSessionChairs:Timothy F. Crimmins, IntelCorp.(USA);Chih-Ming Ke, TaiwanSemiconductorManufacturingCo.

Ltd.(Taiwan)

3:30pm:Optical three-dimensional volumetric detection of sub-20nm dense patterned defects with noise,BryanM.Barnes,MartinSohn,HuiZhou,AndrásE.Vladár,FrancoisGoasmat,RichardM.Silver,NationalInstituteofStandardsandTechnology(USA);AbrahamArceo,SEMATECHInc.(USA). . . . . . . . . . . . . . .[9050-40]

3:50pm:9nm-node wafer defect inspection using visible light,RenjieZhou,LynfordL.Goddard,GabrielPopescu,Univ.ofIllinoisatUrbana-Champaign(USA). . . . . . . . . . . . . . . . . . . . . . . . . .[9050-41]

4:10pm:Highly-effective and accurate weak point monitoring method for advanced design rule (1xnm) devices,JeonghoAhn,ShijinSeong,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof);GangadharanSivaraman,ChangHoLee,KLA-TencorCorp.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[9050-42]

4:30pm:Real-time inspection system utilizing scatterometry pupil data,JaeYeonBaek,Univ.ofCalifornia,Berkeley(USA);Anne-LaureCharley,PhilippeJ.Leray,IMEC(Belgium);RobertJ.Socha,ASMLUS,Inc.(USA);CostasJ.Spanos,Univ.ofCalifornia,Berkeley(USA)...................................[9050-43]

4:50pm:New inspection technology for observing nanometer size defects using expansion soft template,SeijiMorita,RyojiYoshikawa,TakashiHirano,TatsuhikoHigashiki,ToshibaCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[9050-44]

5:10pm:Parallel, miniaturized scanning probe microscope for defect inspection and review,HamedSadeghian,TNO(Netherlands)andTechnischeUniv.Delft(Netherlands);TeunC.vandenDool,RodolfHerfst,JasperWinters,WillE.Crowcombe,NorbertB.Koster,GeertenKramer,TNO(Netherlands) . . . . . . . . .[9050-45]

5:30pm:Quantitative tabletop coherent diffraction imaging EUV microscope for EUV lithography mask inspection,DanielAdams,BoshengZhang,MatthewD.Seaberg,DennisF.Gardner,JILA(USA);HenryC.Kapteyn,JILA(USA)andKapteyn-MurnaneLabs.,Inc.(USA);MargaretM.Murnane,JILA(USA) . . . . . . . . . .[9050-46]

5:50pm:Computational techniques for determining printability of real defects in EUV mask pilot line,PaulMorgan,DanielL.Rost,DanielPrice,MPMaskTechnologyCtr.,LLC(USA);YingLi,DanpingPeng,Dong-HwanSon,NoelCorcoran,LuminescentTechnologies(USA);DeanYonenaga,MPMaskTechnologyCtr.,LLC(USA);VikramL.Tolani,LuminescentTechnologies(USA). . . . . .[9050-47]

Wednesday 26 February

Conference 9048

Extreme Ultraviolet (EUV) Lithography V

Conference 9049

Alternative Lithographic Technologies VI

Conference 9050

Metrology, Inspection, and Process Control for Microlithography XXVIII

Page 47: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 45

Session 8Location: Conv. Ctr. 210C Wed 3:30 pm to 5:10 pm

OPC ModelingSessionChairs:yuri Granik, MentorGraphicsCorp.(USA);

Peter D. Brooker, Synopsys,Inc.(USA)

3:30pm:Hybrid OPC modeling with SEM contour technique for 10nm node process,KeiichiroHitomi,HitachiAmerica,Ltd.(USA);ScottD.Halle,IBMCorp.(USA);ShojiHotta,Hitachi,Ltd.(Japan);MarshalA.Miller,IoanaC.Graur,NicoleSaulnier,DerrenN.Dunn,IBMCorp.(USA);NobuhiroOkai,HitachiAmerica,Ltd.(USA);AtsukoYamaguchi,Hitachi,Ltd.(USA);HitoshiKomuro,ToruIshimoto,ShunsukeKoshihara,YutakaHojo,HitachiHigh-TechnologiesCorp.(Japan) . . . . . . . . . . . . . . . . . . . . . . . [9052-31]

3:50pm:Improving 3D resist profile compact modeling by exploiting 3D resist physical mechanisms,YongfaFan,Synopsys,Inc.(USA);Cheng-EnR.Wu,SynopsysTaiwanLtd.(Taiwan);HuaSong,Synopsys,Inc.(USA);ThomasSchmoeller,SynopsysGmbH(Germany). . . . . . . . . . . . . . . . . . . . . . . [9052-32]

4:10pm:Resist profile simulation with fast lithography model,Yan-YingHe,Chih-ShiangChou,Yu-PoTang,Wen-ChunHuang,Ru-GunLiu,Tsai-ShengGau,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-33]

4:30pm:Modeling the lithography of ion implantation resists on topography,GustafWinroth,IMEC(Belgium);AlessandroVaglioPret,KLA-Tencor/ICOSBelgium(Belgium);StewartA.Robertson,JohnJ.Biafore,KLA-TencorTexas(USA) . . . . . . . . . . . . [9052-34]

4:50pm:Analytical etch modeling and correction for highly polymerizing processes in sub-2x CMOS nodes,AlexandreVillaret,STMicroelectronics(France). . . . . . . . . . . . . . . . . [9052-35]

Session 11Location: Conv. Ctr. 220C Wed 4:00 pm to 5:40 pm

Advanced Patterning Processes

SessionChairs:Plamen Tzviatkov, FUJIFILMElectronicMaterialsU.S.A.,Inc.(USA);Douglas Guerrero, Brewer

Science,Inc.(USA)

4:00pm:Reduction of image placement error on photomask-making for multiple patterning,TakahiroHiromatsu,TooruFukui,KentaTsukagoshi,KazunoriOno,MasahiroHashimoto,HOYACorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-29]

4:20pm:Robust complementary technique with multiple-patterning for sub-10nm node device,KenichiOyama,ShoheiYamauchi,SakurakoNatori,ArisaHara,MasatoshiYamato,TokyoElectronATLtd.(Japan);HidetamiYaegashi,TokyoElectronLtd.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-30]

4:40pm:Advanced develop processes for reducing defects related with e-beam resists,ByunghoonLee,Sung-JaeHan,Se-GunMoon,HeeBomKim,InkyunShin,ChanukJeon,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof). . . . . . . . . . . . . [9051-31]

5:00pm:Recent progress on multiple-patterning process,HidetamiYaegashi,KenichiOyama,ShoheiYamauchi,ArisaHara,SakurakoNatori,MasatoshiYamato,TokyoElectronLtd.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-33]

5:20pm:Novel and cost-effective multiple patterning technology by means of invisible SiOxNy hardmask,LinusJang,YoungJoonMoon,Ryoung-HanKim,GLOBALFOUNDRIESInc.(USA);ChristopherBencher,PengXie,HuixiongDai,DanielDiehl,YongCao,AppliedMaterials,Inc.(USA). . . . . . . . . . . . . . [9051-34]

Session 4Location: San Jose Marriott Salon III

Wed 3:50 pm to 4:50 pm

Design Optimization ISessionChairs:Michael L. Rieger, Synopsys,Inc.(USA);

Mark E. Mason, TexasInstrumentsInc.(USA)

3:50pm:Layout induced variability and manufacturability checks in FinFETs process and its impact on performance and power,YongchanBan,HanahJung,SeongkyeongPark,HyunhaSeo,MoeunJung,LGElectronicsInc.(Korea,Republicof);PhilippeHurat,CadenceDesignSystems,Inc.(USA);YongseokKang,KuktaeHong,WooHyunPaik,LGElectronicsInc.(Korea,Republicof) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9053-17]

4:10pm:Rigorous layout optimization for array edges of periodic contact patterns using negative-tone development,Jin-HyuckJeon,ShinyoungKim,Chan-HaPark,HyunJoYim,DongGyuYim,SKHynix,Inc.(Korea,Republicof). . . . . . . . . . [9053-19]

4:30pm:An in-design DFM flow with pattern compaction for 16nm FinFET SoC design,HosoonShin,YongchanBan,YongjinKwon,YongseokKang,WooHyunPaik,LGElectronicsInc.(Korea,Republicof). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9053-20]

Wednesday 26 February

Conference 9051

Advances in Patterning Materials and Processes XXXI

Conference 9052

Optical Microlithography XXVII

Conference 9053

Design-Process-Technology Co-optimization for Manufacturability VIII

Page 48: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

46 SPIEAdvancedLithography2014 · www.spie.org/al

Wednesday 26 February — Poster session — 6:00 to 8:00 pm

The following posters will be on display after 10:00 am on Wednesday, and the poster session will be Wednesday evening from 6:00 to 8:00 pm . Come and view the high-quality papers that are presented in this alternative format, and interact with the poster authors who will be present during the poster session . Enjoy light refreshments while networking with your colleagues .

Full author or technical registration is required for entry to the poster sessions . Please wear your registration badge .

Conference 9050

Metrology, Inspection, and Process Control for Microlithography XXVIII

Evaluation of lens heating effect in high transmission NTD processes at the 20nm technology node,BumhwanJeon,Chien-HsienLee,LokeshSubramany,ChenLi,ShyamPal,SheldonMeyers,SohanS.Mehta,YayiWei,DavidR.Cho,GLOBALFOUNDRIESInc.(USA). [9050-61]

Automatically high accurate and efficient photomask defects management solution for advanced lithography manufacture,ChingyunHsiang,AnchorSemiconductor,Inc.(USA);JunZhu,LijunChen,LantaoMa,DejianLi,LihongPan,HongminJia,ShanghaiHualiMicroelectronicsCorp.(China);GuojieChen,AnchorSemiconductor,Inc.(China);ShijieChen,ShanghaiHualiMicroelectronicsCorp.(China);LiLing,WenkuiLiao,ShanghaiHualiMicroelectronicsCorp.(China)andAnchorSemiconductor,Inc.(China) . [9050-62]

Design of the phase-shifting algorithm for the flatness measurement of a mask blank glass,YangjinKim,TheUniv.ofTokyo(Japan);KenichiHibino,NationalInstituteofAdvancedIndustrialScienceandTechnology(Japan);NaohikoSugita,MamoruMitsuishi,TheUniv.ofTokyo(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-63]

Precise CD-SEM metrology of resist patterns at around 20nm for 0.33NA EUV lithography,NobuhiroOkai,HitachiAmerica,Ltd.(USA);ErinLavigne,IBMCorp.(USA);KeiichiroHitomi,HitachiAmerica,Ltd.(USA);ScottD.Halle,IBMCorp.(USA);ShojiHotta,HitachiAmerica,Ltd.(Japan);AtsukoYamaguchi,JunichiTanaka,Hitachi,Ltd.(Japan);ToddC.Bailey,IBMCorp.(USA) [9050-64]

Lithography focus/exposure control and corrections to improve CDU at post etch step,YoungKiKim,GLOBALFOUNDRIESInc.(USA);JieGao,KLA-TencorCorp.(USA) . . . . . . . . . [9050-65]

The metal ions from track filter and its impact to product yield in IC manufacturing,Tung-ChangKuo,UnitedMicroelectronicsCorp.(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-66]

Advanced broadband optical inspection on complex logic structures using NanoPoint at sub-20nm design nodes,AbhishekVikram,GLOBALFOUNDRIESInc.(USA);RavikumarSanapala,KLA-TencorCorp.(USA);PeterLin,AleisterMraz,VictorS.K.Lim,GLOBALFOUNDRIESInc.(USA);RahulLakhawat,KLA-TencorCorp.(USA);SumanthKini,GLOBALFOUNDRIESInc.(USA)andKLA-TencorCorp.(USA);SatyaKurada,AnkitJain,KLA-TencorCorp.(USA). . . . . . . . . . . . . . . . . . . . . . . . [9050-67]

In cleanroom, sub-PPB real-time monitoring of volatile organic compounds using proton-transfer reaction/time of flight/mass spectrometry,NathalieHayeck,Aix-MarseilleUniv.(France)andTERAEnvironnement(France);PhilippeMaillot,ThomasVitrani,NicolasPic,STMicroelectronics(France);HenriWortham,SashoGligorvski,BriceTemime-Roussel,AurélieMizzi,Aix-MarseilleUniv.(France);IrènePoulet,TERAEnvironnement(France)............... [9050-68]

AFM image placement accuracy and automation for the next mask manufacturing generation,SeanHand,EricMilligan,AndrewS.Lopez,JasonOsborne,VladimirFonoberov,AdamFeinstein,JimM.Young,BrukerNanoInc.(USA);ElbaGomar-Nadal,AdamSeeger,KyungM.Lee,MalahatA.Tavassoli,IntelCorp.(USA). . . . . . . . . . . . [9050-70]

Optical technologies for TSV and 3D-IC packaging inspection,ArunA.Aiyer,FrontierSemiconductor,Inc.(USA) . . . . . . . . . . . . [9050-71]

A new method for wafer quality monitoring using process big data,YounghoonSohn,HyunLee,MingooSeo,BaejinLee,YusinYang,ChungsamJun,SangkilLee,KyupilLee,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof) . . . . . . . . . . [9050-72]

Investigation of a methodology for in-film defects detection on film coated blank wafers,AkikoKiyotomi,ArnaudDauendorffer,ShinobuMiyazaki,SatoruShimura,TokyoElectronKyushuLtd.(Japan);TakemasaMiyagi,ShigeruOta,KojiHaneda,OksenBaris,KLA-TencorCorp.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-73]

Improving the accuracy of overlay measurements with calibration of wafer-induced shifts,WooseokKo,YusinYang,SangkilLee,ChungSamJun,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof). . . . . . . . . . . . . . . . . . [9050-74]

Across wafer CD uniformity optimization by wafer film scheme at double-patterning lithography process,Hsiao-ChiangLin,Yang-LiangLi,Shiuan-ChuanWang,Chien-HungLiu,Zih-SongWang,Jhung-YuinHsuh,PowerchipTechnologyCorp.(Taiwan). . . . . . . . . . . . [9050-75]

Defect analysis methodology for contact hole graphoepitaxy DSA,RyotaHarukawa,MasamiAoki,KLA-TencorJapan(Japan);AndrewJ.Cross,KLA-TencorEngland(UnitedKingdom);VenkatR.Nagaswami,KLA-TencorCorp.(USA);ShinichiroKawakami,TakashiYamauchi,TokyoElectronKyushuLtd.(Japan);TadatoshiTomita,TokyoElectronEuropeLtd.(Japan);SeijiNagahara,TokyoElectronLtd.(Japan);MakotoMuramatsu,TakahiroKitano,TokyoElectronKyushuLtd.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-76]

Dimensional and defectivity nanometrology of directed self-assembly patterns,C.Simão,W.Khunsin,InstitutCatalàdeNanotecnologia(Spain);A.Amann,Univ.CollegeCork(Ireland);N.Kehagias,InstitutCatalàdeNanotecnologia(Spain);M.A.Morris,TyndallNationalInstitute(Ireland)andTrinityCollegeDublin(Ireland)andUniv.CollegeCork(Ireland);C.M.SotomayorTorres,InstitutCatalàdeNanotecnologia(Spain). . . . . . . . . . . . . . . [9050-77]

New robust edge detection methodology for qualifying DSA characteristics by using CD SEM,SatoruYamaguchi,KazuhiroUeda,HitachiHigh-TechnologiesCorp.(Japan);TakeshiKato,HitachiHigh-TechTradingCorp.(Japan);NorioHasegawa,HitachiHigh-TechnologiesCorp.(Japan);TakashiYamauchi,ShinichiroKawakami,MakotoMuramatsu,TokyoElectronKyushuLtd.(Japan);SeijiNagahara,TokyoElectronLtd.(Japan);TakahiroKitano,TokyoElectronKyushuLtd.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-79]

Laser-based vacuum-ultraviolet light source,JohnSzilagyi,HomairaParchamy,MajidMasnavi,MartinC.Richardson,Univ.ofCentralFlorida(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-80]

A novel analytical method for the measurement of trace level acidic and basic AMC using liquid-free sample traps,TylerM.Moulton,EmilyC.Zaloga,KatherineM.Chase,JürgenM.LobertJr.,Entegris,Inc.(USA). . . . . . . . . . . . . . . . . . [9050-81]

Analytical method for the measurement of moisture and trace level gas contamination in carbon dioxide,JürgenM.LobertJr.,TylerM.Moulton,CharlesM.Miller,JeffreyL.Hermann,ChristopherJ.Ruple,Entegris,Inc.(USA) [9050-82]

A method for the combined measurement of volatile and condensable organic AMC in semiconductor applications,CharlesM.Miller,EmilyC.Zaloga,JürgenM.LobertJr.,Entegris,Inc.(USA). . . . . . . . . [9050-83]

Handling, clamping, and alignment evaluation for multibeam technology on Matrix1.1 platform,LudovicLattard,JonathanPradelles,CEA-LETI(France);NielsVergeer,ErwinSlot,MAPPERLithography(Netherlands);LaurentPain,CEA-LETI(France);ErikdeJong,MAPPERLithography(Netherlands);GianpaoloTorriani,DainipponScreenDeutschlandGmbH(Germany);CharlesN.Pieczulewski,SOKUDOCo.,Ltd.(Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-85]

Focus control budget analysis for critical layers of flash devices,JongHoonJang,KyeongDongPark,TonyPark,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof). . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-86]

Macroscopic exploration and visual quality inspection of thin film deposit,Simon-FrédéricDésage,GillesPitard,HuguesFavrelière,MauricePillet,Univ.deSavoie(France);OlivierDellea,PascalFugier,PhilippeCoronel,EmmanuelOllier,CEALITEN(France). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-87]

Wafer surface pre-treatment study for micro-bubble free of lithography process,XiaosongYang,SemiconductorManufacturingInternationalCorp.(China). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-88]

Characterization of e-beam patterned grating structures with Mueller matrix polarimetry,XiuguoChen,ShiyuanLiu,ChuanweiZhang,HuazhongUniv.ofScienceandTechnology(China);HaoJiang,TheUniv.ofTexasatArlington(USA). . . . . . . . . . . . . . [9050-89]

Run-time scanner data analysis for HVM lithography process monitoring and stability control,WoongJaeChung,YoungKiKim,GLOBALFOUNDRIESInc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-90]

Isolation grooves scatterometry simulation with GPU and groove shapes optimization,HirokimiShirasaki,TamagawaUniv.(Japan)........ [9050-91]

Deep-level data fusion for CD metrology: Heterogeneous hybridization of scatterometry, CDSEM, and AFM data,NicolasChesneau,JohannFoucher,GuillaumeEvin,AxelLargent,ThomasJanvier,AlexandreDerville,RomainThérèse,JéromeHazart,CEA-LETI(France). . . . . . . . . . . . . . . . . . . . . [9050-92]

Page 49: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 47

Wednesday 26 February — Poster session — 6:00 to 8:00 pm

The following posters will be on display after 10:00 am on Wednesday, and the poster session will be Wednesday evening from 6:00 to 8:00 pm . Come and view the high-quality papers that are presented in this alternative format, and interact with the poster authors who will be present during the poster session . Enjoy light refreshments while networking with your colleagues .

Full author or technical registration is required for entry to the poster sessions . Please wear your registration badge .

Accurate contour extraction system of mask SEM image,IzumiSanto,AkiraHiguchi,AnazawaMirai,HideakiBandoh,HolonCo.,Ltd.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-93]

Accuracy improvement of overlay measurement,KentaroKasa,KazuyaFukuhara,ToshibaCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-94]

Mean offset optimization for multipattering overlay using Monte-Carlo simulation method,WenhuiWang,LipingCui,LeiSun,Ryoung-HanKim,GLOBALFOUNDRIESInc.(USA). . . . [9050-95]

Lithography develop process electrostatic discharge effect mechanism study and process optimization,XiaosongYang,SemiconductorManufacturingInternationalCorp.(China) [9050-96]

20nm middle-of-line overlay case study,LokeshSubramany,MichaelHsieh,ChenLi,HuiPengKoh,DavidR.Cho,GLOBALFOUNDRIESInc.(USA);AnnaGolotsvan,KLA-TencorIsrael(USA);VidyaRamanathan,RamkumarKarur-Shanmugam,KLA-TencorCorp.(USA). . . . . . . . . . . . . . . . . . [9050-97]

Metrology of advanced N14 process pattern split at lithography,WeiYuanChu,KLA-TencorCorp.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-98]

Development of UV inspection system on the defect of electrode for 5µm level multilayer pattern,JaisoonKim,KeeNamgung,JihunWoo,SangheeLim,SeungilLee,JisooLee,SeungIlLim,MyongjiUniv.(Korea,Republicof);JaewonLim,ByongkyeomKim,AurosTechnology,Inc.(Korea,Republicof). . . . . . . . . . . . . . . . . . . . . . . . [9050-99]

Scatterometry performance improvement by parameter and spectrum feed-forward,JieLi,NanometricsInc.(USA);ShahinZangooie,HGST(USA);KarthikBoinapally,JiangtaoHu,ZhuanLiu,SanjayK.Yedur,NanometricsInc.(USA);PeterJ.Wilkens,AvrahamVer,RobertCohen,BabakKhamsepour,HGST(USA) . . . . . . . . . . . [9050-100]

Spectroscopic critical dimension technology (SCD) for directed self-assembly,VenkatR.Nagaswami,SenichiNishibe,ThaddeusDziura,KLA-TencorCorp.(USA);RoelGronheid,IMEC(Belgium). . . . . . . . . . . . . . . . . . . . . . . . . [9050-101]

SEM-contour shape analysis method based on pattern structure for advanced systematic defect inspection,YasutakaToyoda,Hitachi,Ltd.(Japan);HiroyukiShindo,YutakaHojo,DaisukeFuchimoto,HitachiHigh-TechnologiesCorp.(Japan)[9050-102]

Innovative fast technique for checking overlay accuracy with ASC (Archer self-calibration),NurielAmir,KLA-TencorIsrael(Israel);SimonC.C.Hsu,UnitedMicroelectronicsCorp.(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . [9050-103]

Overlay improvements using a real-time machine learning algorithm,EmilSchmitt-Weaver,DaanSlotboom,MichaelKubis,WolfgangHenke,TomHoogenboom,JanMulkens,PetertenBerge,MartynJ.Coogans,FrankvandeMast,ASMLNetherlandsB.V.(Netherlands). . . . . . . . [9050-105]

Method and system for real-time overlay measurement using fluorescent markers,DiederikJ.Maas,ErwinJ.vanZwet,TNO(Netherlands). . . . . . . . . . . . . . . . . . . . . . [9050-106]

High-precision tool to tool CD matching with focus target assist pattern by computational ways,Ki-YeopPark,Chang-HoonRyu,ASMLKoreaCo.,Ltd.(Korea,Republicof);Qi-TongFan,BrionTechnologiesCo.,Ltd.(China);Ki-HoBaik,ASMLKoreaCo.,Ltd.(Korea,Republicof);Sung-ManKim,Yong-HoKim,Byung-RohKim,Sung-IlKim,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof). . . . . . . . . . . . . . . . . . . . . . . [9050-108]

In-line focus monitoring and fast determination of best focus using scatterometry measurements,StevenT.Ha,SAMSUNGAustinSemiconductorLLC(USA);ChristianSparka,KLA-TencorGermany(Germany);BenjaminG.EynonJr.,SAMSUNGAustinSemiconductorLLC(USA);AntonioMani,KLA-TencorItalySRL(Italy);SeungHoonYoon,KLA-TencorKorea(USA);DavidC.Tien,JohnC.Robinson,KLA-TencorCorp.(USA);SarojaRamamurthi,KLA-TencorTexas(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-109]

Comparative defect classifications and analysis of Lasertec’s M1350 and M7360,MiltonC.Godwin,DaveK.Balachandran,SEMATECHInc.(USA);TomoyaTamura,LasertecCorp.(Japan);AnweiJia,LasertecUSAInc.(USA) . . . . [9050-110]

Tracking defectivity of EUVand SADP processing using bright-field inspection,NadineAlexis,KfirDotan,HuixiongDai,PengXie,YongmeiChen,JunXue,DaleHuang,AlisonNalven,BharathVijayaraghavan,AppliedMaterials,Inc.(USA);GaetanoSantoro,AppliedMaterials,Inc.(Belgium);ChristopherS.Ngai,ChristopherBencher,AppliedMaterials,Inc.(USA). . . . . . . . . . . . . . . . [9050-111]

Improving reticle requalification efficiency and reducing wafer print-checks via automated defect classification and simulation,ShazadParacha,BenjaminG.EynonJr.,SAMSUNGAustinSemiconductorLLC(USA);Hwa-SungKim,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof);AnthonyD.Vacca,PeterJ.Fiekowsky,DanielI.Fiekowsky,AVI-Photomask(USA);YoungMogHam,DouglasUzzele,MichaelJ.Green,DanielChalom,Photronics,Inc.(USA). . . . . . . . . . . . . . . [9050-112]

Direct-scatterometry-enabled PEC model calibration with two-dimensional layouts,Chih-YuChen,NationalTaiwanUniv.(Taiwan),ASMLTaiwanLtd.(Taiwan);Chun-HungLiu,Kuen-YuTsai,Jia-HanLi,NationalTaiwanUniv.(Taiwan);JasonJ.Shieh,AlekC.Chen,ASMLTaiwanLtd.(Taiwan)[9050-113]

Implementation of background scattering variance reduction on the rapid nanoparticle scanner,PetervanderWalle,SandroHannemann,DaanvanEijk,WouterMulckhuyse,JacquesC.J.vanderDonck,TNO(Netherlands). . . . . [9050-114]

The measurement uncertainty of CD measurement in the optical measurement technology using pupil,KuniharuNagashima,ToshibaMaterialsCo.,Ltd.(Japan);HideakiAbe,YuichiroYamazaki,ToshibaCorp.(Japan)[9050-115]

Process control using set-membership vector-form affine projection adaptive filtering scheme,Chia-ChangHu,Kui-HeChen,NationalChungChengUniv.(Taiwan) . . . . . . . . . . . . . . . [9050-116]

Phase-shift focus monitor (PSFM) for OAI and high-NA immersion scanners,H.M.Kuo,R.C.Peng,H.H.Liu,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan). . . . . . . [9050-117]

CD uniformity optimization at volume ramp-up stage for new product introduction,Jin-SooKim,WonKwangMa,Young-SikKim,Chang-MoonLim,Myoung-SooKim,Sung-KiPark,SKHynix,Inc.(Korea,Republicof);PeterNikolsky,MarianOtter,MaryanaEscalanteMarun,RoyAnunciado,ASMLNetherlandsB.V.(Netherlands);Kyu-TaeSun,ASMLNetherlandsB.V.(Korea,Republicof);EwouldvanWest,GreetStorms,ASMLNetherlandsB.V.(Netherlands). . . . . . . . . . . . . . . . . . . . . . [9050-118]

Plasma-etched surface scanning inspection recipe creation based on bidirectional reflectance distribution function and polystyrene latex spheres,TiffanySaldana,HitachiHighTechnologiesAmerica(USA);SteveMcGarvey,HitachiHighTechnologiesAmerica,Inc.(USA);SteveAyers,HitachiHighTechnologiesAmerica(USA)[9050-120]

Conference 9051

Advances in Patterning Materials and Processes XXXI

Advanced Patterning ProcessesThermal reflow and etching processes of DUV KrF resist for isolated 10nm contact hole,Wei-SuChen,FrederickT.Chen,Tzu-KunKu,IndustrialTechnologyResearchInstitute(Taiwan). . [9051-51]

Innovative solutions on 193 immersion-based self-aligned multiple patterning,SakurakoNatori,ShoheiYamauchi,ArisaHara,MasatoshiYamato,KenichiOyama,TokyoElectronATLtd.(Japan);HidetamiYaegashi,TokyoElectronLtd.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-52]

Wet particle source identification and reduction using a new filter cleaning process,ToruUmeda,NihonPallLtd.(Japan);AkihikoMorita,HidekiShimizu,DainipponScreenManufacturingCo.,Ltd.(Japan);ShuichiTsuzuki,NihonPallLtd.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-53]

Adsorption characteristics of lithography filters in various solvents using application-specific ratings,ToruUmeda,ShuichiTsuzuki,NihonPallLtd.(Japan). . . . . . . . . . . . . . . . . . . . . . . . [9051-54]

Removal of highly crosslinked resists and hybrid polymers for single-microparts fabrication and nanoimprint stamp rework,AnjaVoigt,RainerEngelke,GiselaAhrens,ArneSchleunitz,JanJasperKlein,GabiGrützner,microresisttechnologyGmbH(Germany) . . . . . . . . . . . . . . . . . . . . . . . . . [9051-56]

The research on pattern design that affect the well resistance,JihyunChoi,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof) . . . . . . . . . . [9051-57]

Characterization of chemically-amplified resists for electron-beam lithography,TomoharuYamazaki,HirokiYamamoto,TakahiroKozawa,OsakaUniv.(Japan);Wen-ChuanWang,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-58]

Improved adhesion of novolak and epoxy-based resists by cationic organic materials on critical substrates for high-volume patterning applications,AnjaVoigt,GiselaAhrens,microresisttechnologyGmbH(Germany);AndrewThompson,DisChem,Inc.(USA);GabiGrützner,microresisttechnologyGmbH(Germany). . . . . . . . . . [9051-59]

Page 50: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

48 SPIEAdvancedLithography2014 · www.spie.org/al

Wednesday 26 February — Poster session — 6:00 to 8:00 pm

The following posters will be on display after 10:00 am on Wednesday, and the poster session will be Wednesday evening from 6:00 to 8:00 pm . Come and view the high-quality papers that are presented in this alternative format, and interact with the poster authors who will be present during the poster session . Enjoy light refreshments while networking with your colleagues .

Full author or technical registration is required for entry to the poster sessions . Please wear your registration badge .

Materials and Process FundamentalsModeling acid transport in chemically-amplified resist films,GilaStein,AbhijitPatil,ManolisDoxastakis,Univ.ofHouston(USA). . . . . [9051-60]

The investigation of hybrid nanoparticle EUV photoresists: Influence of electrolyte solution on the particle size change,LiLi,SouvikChakrabarty,ChristineY.Ouyang,PanagiotisDallas,CornellUniv.(USA);MarkNeisser,ChandrasekharSarma,SEMATECHInc.(USA);ChristopherK.Ober,EmmanuelP.Giannelis,CornellUniv.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-61]

Deprotonation mechanism of ionized poly(4-hydroxystyrene),ToshihikoSusa,KazumasaOkamoto,TakuyaIshida,HokkaidoUniv.(Japan);TakahiroKozawa,HirokiYamamoto,OsakaUniv.(Japan);RyokoHuziyoshi,HokkaidoUniv.(Japan);KikuoUmegaki,HokkaidoUniv.Hospital(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-62]

Numerical analysis for resist profile after thermal process in display manufacturing,VitaliyDomnenko,SynopsysSPb,LLC(RussianFederation);SangminShin,JonghyoekRyu,SungWonChoi,HyunwooCho,SamsungDisplayCo.,Ltd.(Korea,Republicof);Eun-SooJeong,SynopsysKoreaInc.(Korea,Republicof);Hans-JürgenStock,SynopsysGmbH(Germany);Jung-HoeChoi,SynopsysKoreaInc.(Korea,Republicof). [9051-63]

Photoresist analysis to investigate LWR generation mechanism,KenjiMochida,ShinichiNakamura,TooruKimura,JSRCorp.(Japan);YoshihikoTaguchi,HirofumiSeki,NaokiMan,TorayResearchCtr.,Inc.(Japan). . . . . . . . . . . . [9051-64]

Decreasing curing temperature of spin-on dielectric by using additives,JinheeBae,KwenWooHan,CheilIndustriesInc.(Korea,Republicof) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-65]

Study of acid diffusion behaves form PAG by using topcoat method,AtsushiSekiguchi,LithoTechJapanCo.,Ltd.(Japan) . . . . . . . . . . [9051-67]

Fluidity dependence of deprotonation kinetics of chemically amplified resist,KazumasaOkamoto,TakuyaIshida,HokkaidoUniv.(Japan);HirokiYamamoto,TakahiroKozawa,OsakaUniv.(Japan);RyokoFujiyoshi,KikuoUmegaki,HokkaidoUniv.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-68]

New Materials and ProcessesInorganic resist materials based on zirconium phosphonate for atomic force microscope lithography,MankyuKang,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof)andHanyangUniv.(Korea,Republicof);SeonaeKim,Jin-HyuckJung,HanyangUniv.(Korea,Republicof);HeeBomKim,InkyunShin,ChanukJeon,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof);HaiwonLee,HanyangUniv.(Korea,Republicof). . . . . . . . . . . . . [9051-69]

Tailor-made inorganic-organic hybrid polymer materials for advanced micro- and nanolithography processes and production,ArneSchleunitz,JanJasperKlein,MarkoVogler,GabiGrützner,microresisttechnologyGmbH(Germany) . . . . . . . . . . . . . . . . . . . . . . . . . [9051-70]

Organic-inorganic hybrid resists for EUVL,VikramSingh,VishwanathKalyani,V.S.V.Satynarayana,PradeepParameswaran,SatinderK.Sharma,SubrataGhosh,IndianInstituteofTechnologyMandi(India);KennethE.Gonsalves,IndianInstituteofTechnologyMandi(India)andTheUniv.ofNorthCarolinaatCharlotte(USA)[9051-71]

Development of high-carbon spin-on hard masks for high-resolution lithography,TakanoriKudo,M.DalilRahman,DouglasS.McKenzie,ClementT.Anyadiegwu,MunirathnaPadmanaban,AZElectronicMaterialsUSACorp.(USA).... [9051-72]

Effective resist profile control for 20nm node and beyond,Chen-YuLiu,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan). . . . . . . . [9051-73]

Study on resist performance of chemically-amplified molecular resist based on noria derivative and calixarene derivative,HirokiYamamoto,OsakaUniv.(Japan);HirotoKudo,KansaiUniv.(Japan);TakahiroKozawa,OsakaUniv.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-74]

SRAF window improvement with under-coating layer,TakahiroHiromatsu,TooruFukui,KentaTsukagoshi,KazunoriOno,MasahiroHashimoto,HOYACorp.(Japan). . . . . . . . . . . . . . . . . [9051-76]

Development of new xanthendiol derivatives applied to the negative-tone molecular resists for EB/EUVL,TakashiSato,MasakoYamakawa,YumiOchiai,YuOkada,TakashiMakinoshima,MasaakiTakasuka,MasatoshiEchigo,MitsubishiGasChemicalCo.,Inc.(Japan). . . . . . . . . [9051-77]

Organic coating material tuning for CMP,SuYuChung,TSMCTaiwan(Taiwan). . . . . . . . . [9051-78]

Chemical shrink process for NTD (Negative Tone Development) resist,TatsuroNagahara,YoshihiroMiyamoto,GeorgPawlowski,AZElectronicMaterials(Japan)K.K.(Japan);JohnSagan,AZElectronicMaterialsUSACorp.(USA).... [9051-79]

Novel polymeric sulfonium photoacid generator and its application for chemically-amplified photoresists,LiyuanWang,JuanLiu,BeijingNormalUniv.(China). . . . . . . . . . . . . . . . . [9051-80]

Evaluation of novel hydrophilic derivatives for chemically amplified EUV resists,HiroyukiTanagi,HiroyasuTanaka,ShoichiHayakawa,KikuoFurukawa,MitsubishiGasChemicalCo.,Inc.(Japan);HirokiYamamoto,TakahiroKozawa,OsakaUniv.(Japan). . . . . . . . . . . . . . . . . . . . . . . [9051-81]

ArF photoresist polymers with nitrogen or sulfone moieties for negative-tone development process,Dong-GyunKim,Su-JeeKwon,SeoulNationalUniv.(Korea,Republicof);Suk-KooHong,JoonJeLee,HyungRaeLee,Hyo-jinYun,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof);Ji-HoonBaik,SeoulNationalUniv.(Korea,Republicof);DohyukLim,EujeanJang,Jae-WooLee,Jae-HyunKim,DongjinSemichemCo.,Ltd.(Korea,Republicof);Jong-ChanLee,SeoulNationalUniv.(Korea,Republicof). . . . . . . . . . . . . [9051-82]

Development of novel protecting derivatives for chemically amplified extreme ultraviolet resist,HiroyasuTanaka,HiroyukiTanagi,ShoichiHayakawa,KikuoFurukawa,MitsubishiGasChemicalCo.,Inc.(Japan);HirokiYamamoto,TakahiroKozawa,OsakaUniv.(Japan). . . [9051-83]

Development of antistatic film for electron-beam lithography,HiroyaFukuda,MasashiUzawa,MitsubishiRayonCo.,Ltd.(Japan). . . . . . [9051-84]

High-chi organic block copolymers for directed self-assembly,AnkitVora,AnindarupaChunder,MeliaTjio,SrinivasanBalakrishnan,ElizabethM.Lofano,JoyY.Cheng,DanielP.Sanders,IBMAlmadenResearchCtr.(USA);EriHirahara,YasushiAkiyama,AZElectronicMaterials(Japan)K.K.(Japan);OrestPolishchuk,MargaretaPaunescu,SungEunHong,DurairajBaskaran,GuanyangLin,AZElectronicMaterialsUSACorp.(USA). [9051-85]

EUV resists prepared from compounds containing bismuth, tellurium, and tin,JamesPassarelli,BrianCardineau,WestleyTear,RyanDelRe,MiriamSortland,SUNYCollegeofNanoscaleScience&Engineering(USA);ChandrasekharSarma,MarkNeisser,SEMATECHInc.(USA);DanielA.Freedman,StateUniv.ofNewYorkatNewPaltz(USA);RobertL.Brainard,SUNYCollegeofNanoscaleScience&Engineering(USA) . [9051-86]

Underlayers and Spin-On Materials Processing

Novel spin-on metal hardmask materials for filling applications,AlbertoD.Dioses,VenkataChada,ElizabethWolfer,EdwardNg,SalemMullen,HuirongYao,JoonYeonCho,MunirathnaPadmanaban,AZElectronicMaterialsUSACorp.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-87]

Novel silicon hard mask design for collapse margin improvemnt,Chen-YuLiu,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-88]

Page 51: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 49

Wednesday 26 February — Poster session — 6:00 to 8:00 pm

The following posters will be on display after 10:00 am on Wednesday, and the poster session will be Wednesday evening from 6:00 to 8:00 pm . Come and view the high-quality papers that are presented in this alternative format, and interact with the poster authors who will be present during the poster session . Enjoy light refreshments while networking with your colleagues .

Full author or technical registration is required for entry to the poster sessions . Please wear your registration badge .

Conference 9053

Design-Process-Technology Co-optimization for Manufacturability VIII

Decomposition-aware layout optimization for 20/14nm standard cells,LynnT.Wang,SriramMadhavan,ShobhitMalik,EricK.Chiu,LuigiCapodieci,GLOBALFOUNDRIESInc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9053-32]

Resist profile aware source mask optimization,AoChen,YeeMeiFoong,GLOBALFOUNDRIESSingapore(Singapore);MichaelHsieh,AndrewKhoh,GLOBALFOUNDRIESInc.(USA);StephenD.Hsu,MuFeng,JianhongQiu,ChrisAquino,BrionTechnologies,Inc.(USA) . . . . . . . . . . . . . [9053-33]

Fast lithographic hotspot identification and monitoring,AviramTam,AppliedMaterials,Inc.(Israel);ClareWu,AppliedMaterials,Inc.(Taiwan);SivanLifschitz,AppliedMaterials,Inc.(Israel) . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9053-34]

Robust and automated solution for correcting hotspots locally using cost-function based OPC solver,DongokYang,GLOBALFOUNDRIESSingapore(Singapore);CarlP.Babcockb,SarahMcGowan,GLOBALFOUNDRIESInc.(USA);BoYan,JunYe,JianhongQiu,StanislasBaron,TakshPandey,SanjayH.Kapasi,ChrisAquino,BrionTechnologies,Inc.(USA) . . . . . . . . . . . . . [9053-35]

An improved coloring algorithm for layout decomposition in self-aligned multiple patterning,JunYou,JiYu,YijianChen,PekingUniv.(China) . . . . . . . . . . . . . . . . . . . . . . . [9053-37]

Work smarter not harder: How to get more results with less modeling,KareemMadkour,MentorGraphicsEgypt(Egypt);FedorG.Pikus,MentorGraphicsCorp.(USA);MohabAnis,TheAmericanUniv.inCairo(Egypt). . . . . . . . . [9053-38]

Scanner correction capabilities-aware CMP lithography hotspot analysis,UshasreeKatakamsetty,ColinC.W.Hui,SkyYeo,PerezValerio,YangQing,QuekShyueFong,GLOBALFOUNDRIESSingapore(Singapore);NarayanaSamyAravind,RuhmMatthias,RobertoSchiwon,GLOBALFOUNDRIESDresdenModuleOneLLC&Co.KG(Germany). . . . . . . . . . [9053-39]

Chris A. Mack, Lithoguru.com Editor-in-Chief

The Journal of Micro/Nanolithography, MEMS, and MOEMS (JM3) publishes peer-reviewed papers on the development of lithographic, fabrication, packaging, and integration technologies necessary to address the needs of the electronics, micro-optoelectromechanical systems, and photonics industries. The scope is broad to facilitate synergy and interest between the communities served by the journal.

www.spie.org/JM3

^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^ ^^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^ ̂̂ ^^^^^^^Published by SPIE

Page 52: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

50 SPIEAdvancedLithography2014 · www.spie.org/al

thursday 27 February

Session 12Location: Conv. Ctr. 210B · Thu 8:00 am to 10:10 am

Exposure Tools and ExtendibilitySessionChairs:Markus Bender, AdvancedMaskTechnologyCtr.GmbHCo.

KG(Germany);Chang-Moon Lim, SKHynix,Inc.(Korea,Republicof)8:00am:EUV lithography: NXE platform performance overview (Invited Paper),RudyPeeters,SjoerdLok,MartijnvanNoordenburg,NoreenHarned,ASMLNetherlandsB.V.(Netherlands);PeterKuerz,MartinLowisch,CarlZeissSMTGmbH(Germany);GuidoSchiffelers,AlbertoPirati,JudonStoeldraijer,ASMLNetherlandsB.V.(Netherlands);DavidC.Brandt,NigelR.Farrar,IgorV.Fomenkov,ASMLNetherlandsB.V.(USA);HermanBoom,HansMeiling,RonKool,ASMLNetherlandsB.V.(Netherlands). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-54]

8:30am:Projection optics for EUVL microfield exposure tools with 0.5 NA,HolgerK.Glatzel,ZygoCorporation(USA);DominicAshworth,SEMATECHInc.(USA);DanielJ.Bajuk,MattBjork,MarkBremer,RodneyChin,MarkA.Cordier,ZygoCorporation(USA);KevinD.Cummings,SEMATECHInc.(USA);LucGirard,ZygoCorporation(USA);MichaelGoldstein,SEMATECHInc.(USA);EricM.Gullikson,LawrenceBerkeleyNationalLab.(USA);RussellHudyma,HyperionDevelopmentLLC(United

States);JameKennon,RobertKestner,LouisA.Marchetti,KeyvanNouri,ZygoCorporation(USA);PatrickP.Naulleau,LawrenceBerkeleyNationalLab.(USA);ReginaSoufli,LawrenceLivermoreNationalLab.(USA);EberhardAdolfSpiller,SpillerX-rayOptics(USA);DanielJ.Pierce,ZygoCorporation(USA) . . . . . . . . . . . . . . . . . [9048-55]

8:50am:Across scanner platform optimization to enable EUV lithography at the 10nm logic node,JanMulkens,JaapKarssenberg,MichaelKubis,LeonVerstappen,ASMLNetherlandsB.V.(Netherlands) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-56]

9:10am:Update on the SEMATECH 0.5 NA extreme-ultraviolet lithography (EUVL) microfield exposure tool (MET),KevinD.Cummings,DominicAshworth,SEMATECHInc.(USA);MarkBremer,RodneyChin,ZygoCorporation(USA);Yu-JenFan,SEMATECHInc.(USA);LucGirard,HolgerK.Glatzel,ZygoCorporation(USA);MichaelGoldstein,SEMATECHInc.(USA);EricM.Gullikson,LawrenceBerkeleyNationalLab.(USA);JameKennon,RobertKestner,LouisA.Marchetti,ZygoCorporation(USA);PatrickP.Naulleau,LawrenceBerkeleyNationalLab.(USA);ReginaSoufli,LawrenceLivermoreNationalLab.(USA);JohannesM.Bauer,MarkusMengel,JoachimWelker,MichaelGrupp,ErikM.Sohmen,CarlZeissSMTGmbH(Germany);StefanWurm,SEMATECHInc.(USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-57]

9:30am:Progress on EUV-pellicle development,CarmenZoldesi,KursatBal,ASMLNetherlandsB.V.(Netherlands);BrianD.Blum,ASML(USA);GuusBock,DerkBrouns,ASMLNetherlandsB.V.(Netherlands);FlorianDhalluin,ASMLNetherlandsB.V(Netherlands);NinaV.Dziomkina,ASMLNetherlandsB.V.(Netherlands);JuanDiegoEspinoza,ASMLNetherlandsB.V(Netherlands);JoostdeHoogh,SilvesterHouweling,MaartenJ.Jansen,MohammadKamali,AlainKempa,RobertC.deKruif,JorgeLima,YangLiu,HenkMeijer,HansMeiling,IjenvanMil,MarcoReijnen,LuigiScaccabarozzi,DanielA.Smith,BeatrijsVerbrugge,LaurensdeWinters,ASMLNetherlandsB.V.(Netherlands);XugangXiong,JohnD.Zimmerman,ASML(USA) . . . . . . . . . . [9048-58]

9:50am:Driving the industry to a consensus on high-NA EUV,PatrickA.Kearney,SEMATECHInc.(USA);EricHendrickx,IMEC(Belgium);ObertR.WoodII,GLOBALFOUNDRIESInc.(USA);GregoryR.McIntyre,IBMCorp.(USA);SoichiInoue,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan);StefanWurm,SEMATECHInc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9048-59]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thu10:10amto10:40am

Conference 9048

Extreme Ultraviolet (EUV) Lithography V

Conference 9049

Alternative Lithographic Technologies VI

Conference 9050

Metrology, Inspection, and Process Control for Microlithography XXVIII

Session 11Location: Conv. Ctr. 220A Thu 8:20 am to 10:00 am

DSA Line PatterningSessionChairs:Frank M. Schellenberg; Juan J. de

Pablo, TheUniv.ofChicago(USA)

8:20am:Block copolymer lithography in the fabrication of templates with high-bit aspect ratio rectangular bits,LeiWan,HeGao,RicardoRuiz,JeffreyLille,Yves-AndreChapuis,KanaiyalalC.Patel,AlexeiL.Bogdanov,ThomasR.Albrecht,HGST(USA). . . . . . . . . . . . . . . . . . . . . . . [9049-44]

8:40am:Customization of directed self-assembly patterns from chemoepitaxial etch trim using a self-aligned hardmask (CHEETAH),GregoryS.Doerk,JoyY.Cheng,CharlesT.Rettner,GurpreetSingh,SrinivasanBalakrishnan,NoelArellano,MeliaTjio,HoaTruong,IBMAlmadenResearchCtr.(USA);MarkusBrink,HsinyuTsai,IBMThomasJ.WatsonResearchCtr.(USA);ElizabethM.Lofano,JedW.Pitera,IBMAlmadenResearchCtr.(USA);MichaelA.Guillorn,IBMThomasJ.WatsonResearchCtr.(USA);DanielP.Sanders,IBMAlmadenResearchCtr.(USA). . . . . . . . . . . . . [9049-45]

9:00am:Tuning the strength of chemical patterns for directed self-assembly of block copolymers,LanceD.Williamson,IMEC(Belgium)andTheUniv.ofChicago(USA);RoelGronheid,IMEC(Belgium);PaulF.Nealey,TheUniv.ofChicago(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-46]

9:20am:Predicting process windows for pattern density multiplication using block copolymer directed self-assembly in conjunction with chemoepitaxial guiding layers,BenjaminNation,AndrewPeters,RichardA.Lawson,PeterJ.Ludovice,CliffordL.Henderson,GeorgiaInstituteofTechnology(USA). . . . . . . . . . . . . . . . . . . . . . . . . . [9049-47]

9:40am:Directed self-assembly of diblock copolymers in laterally confining channels: Effect of rough surfaces on line-edge roughness (LER) and defectivity,BongkeunKim,NavilLaachi,KrisT.Delaney,GlennH.Fredrickson,Univ.ofCalifornia,SantaBarbara(USA) . . . . . . . . . . . . . . . [9049-48]

CoffeeBreak. . . . . . . . . . . . . . . . . Thu10:00amto10:30am

Session 12Location: Conv. Ctr. 220B Thu 8:00 am to 10:00 am

Scatterometry and Optical MethodsSessionChairs:Matthew J. Sendelbach, Nova

MeasuringInstrumentsInc.(USA);Eric Solecky, IBMCorp.(USA)

8:00am:Novel in-line metrology methods for Fin pitch walking monitoring in 14nm node and beyond,RobinHsin-KuoChao,IBMCorp.(USA). . . . . . . . . . . . [9050-119]

8:20am:Weak measurements applied to process monitoring using focused beam scatterometry,ThomasG.Brown,MiguelA.Alonso,AnthonyVella,MichaelJ.Theisen,StephenHead,Univ.ofRochester(USA)[9050-49]

8:40am:Enhanced optical CD metrology by hybridization and azimuthal scatterometry,ShahinZangooie,HGST(USA);JieLi,KarthikBoinapally,NanometricsInc.(USA);PeterJ.Wilkens,AvrahamVer,BabakKhamsepour,HolgerSchroder,JohnB.Piggot,HGST(USA);SanjayK.Yedur,ZhuanLiu,JiangtaoHu,NanometricsInc.(USA). [9050-50]

9:00am:High-speed optical metrology solution for after etch process monitoring and control,Anne-LaureCharley,PhilippeJ.Leray,WouterPypen,ShauneeY.Cheng,IMEC(Belgium);AlokVerma,MohamedElKodadi,BaukjeWisse,HugoCramer,HenkNiesing,StefanKruijswijk,ASMLNetherlandsB.V.(Netherlands). . . . . . . . . . . . . . . [9050-51]

9:20am:Visualization of Si surface and interface quality by non-contact optical characterization techniques,WooSikYoo,KitaekKang,ToshikazuIshigaki,TakeshiUeda,WaferMasters,Inc.(USA) . . . . . . . . . . . . . . . . . . . [9050-52]

9:40am:Integrated ADI optical metrology solution for lithography process control of CD and OV,MarleneG.Strobl,WilhelmTsai,AndyLan,TomChen,WilsonHsu,HenryChen,InoteraMemoriesInc.(Taiwan);FridaLiang,AlanWang,PlattHung,DavidHuang,EthanChiu,PaulYu,ASMLTaiwanLtd.(Taiwan);YiSong,SylviaYuan,MohamedElKodadi,RemcoDirks,NoelleWright,MariyaPonomarenko,HugoCramer,BaukjeWisse,StefanKruijswijk,HenkNiesing,ASMLNetherlandsB.V.(Netherlands). . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-107]

CoffeeBreak. . . . . . . . . . . . . . . . Thu10:00amto10:30am

Page 53: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 51

thursday 27 February

Session 9Location: San Jose Marriott Salon III

Thu 8:20 am to 10:00 am

Pattern-Aware Techniques: Joint Session with Conferences 9052 and 9053

SessionChairs:Luigi Capodieci, GLOBALFOUNDRIESInc.(USA);Jongwook Kye, GLOBALFOUNDRIESInc.(USA)

8:20am:Fast detection of novel problematic patterns based on dictionary learning and boundary detection of failure regions,FrankdeMorsier,NathalieCasati,IBMZürichResearchLab.(Switzerland);DavidL.DeMaris,IBMAustinResearchLab.(USA);MariaGabrani,IBMZürichResearchLab.(Switzerland);AlkisGotovos,RainerAndreasKrause,ETHZurich(Switzerland)[9052-37]

8:40am:Pattern-based full-chip process verification,ChangshengYing,YongjunKwon,PaulFornari,GökhanPerçin,AnweiLiu,CadenceDesignSystems,Inc.(USA). . . . . . . .[9052-38]

9:00am:Characterization of 1D layout technology at advanced nodes and low k1,ValeryAxelrad,SequoiaDesignSystems,Inc.(USA);KojiMikami,CanonInc.(Japan);MichaelC.Smayling,TelaInnovations,Inc.(USA);HidetamiYaegashi,TokyoElectronLtd.(Japan);KoichiroTsujita,CanonInc.(Japan). . . . . . . . . . .[9052-39]

9:20am:Pattern-based lithographic-target optimization using a predictive yield model,RaniS.Ghaida,PiyushPathak,GLOBALFOUNDRIESInc.(USA). . . . . . . . . . . . . . . . . . . . .[9053-21]

9:40am:Lithography yield estimation model to predict layout pattern distortions with a reduced set of lithography simulations,SergioGomezFernandez,FrancescMollEcheto,JuanMauricio,Univ.PolitècnicadeCatalunya(Spain). . . . . . . .[9053-36]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . Thu10:00amto10:20am

Session 5Location: San Jose Marriott Salon III

Thu 8:20 am to 10:00 am

Pattern-Aware Techniques: Joint Session with Conferences 9052 and 9053

SessionChairs:Luigi Capodieci, GLOBALFOUNDRIESInc.(USA);Jongwook Kye, GLOBALFOUNDRIESInc.(USA)

8:20am:Fast detection of novel problematic patterns based on dictionary learning and boundary detection of failure regions,FrankdeMorsier,NathalieCasati,IBMZürichResearchLab.(Switzerland);DavidL.DeMaris,IBMAustinResearchLab.(USA);MariaGabrani,IBMZürichResearchLab.(Switzerland);AlkisGotovos,RainerAndreasKrause,ETHZurich(Switzerland)[9052-37]

8:40am:Pattern-based full-chip process verification,ChangshengYing,YongjunKwon,PaulFornari,GökhanPerçin,AnweiLiu,CadenceDesignSystems,Inc.(USA). . . . . . . [9052-38]

9:00am:Characterization of 1D layout technology at advanced nodes and low k1,ValeryAxelrad,SequoiaDesignSystems,Inc.(USA);KojiMikami,CanonInc.(Japan);MichaelC.Smayling,TelaInnovations,Inc.(USA);HidetamiYaegashi,TokyoElectronLtd.(Japan);KoichiroTsujita,CanonInc.(Japan). . . . . . . . . . [9052-39]

9:20am:Pattern-based lithographic-target optimization using a predictive yield model,RaniS.Ghaida,PiyushPathak,GLOBALFOUNDRIESInc.(USA). . . . . . . . . . . . . . . . . . . . [9053-21]

9:40am:Lithography yield estimation model to predict layout pattern distortions with a reduced set of lithography simulations,SergioGomezFernandez,FrancescMollEcheto,JuanMauricio,Univ.PolitècnicadeCatalunya(Spain). . . . . . . [9053-36]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . Thu10:00amto10:30am

Conference 9051

Advances in Patterning Materials and Processes XXXI

Conference 9052

Optical Microlithography XXVII

Conference 9053

Design-Process-Technology Co-optimization for Manufacturability VIII

Session 12Location: Conv. Ctr. 220C Thu 8:20 am to 10:00 am

DSA Materials IISessionChairs:Mark H. Somervell, TokyoElectron

America,Inc.(USA);Daniel P. Sanders, IBMAlmadenResearchCtr.(USA)

8:20am:Manufacturability considerations for graphoepitaxy DSA,GerardM.Schmid,RichardA.Farrell,JiXu,MosheE.Preil,GLOBALFOUNDRIESInc.(USA);MichaelCicoria,TokyoElectronAmerica,Inc.(USA);DavidHetzer,NiharMohanty,AntondeVilliers,TELTechnologyCtr.,America,LLC(USA). . . . . . . . . . . . [9051-35]

8:40am:Formation of sub-7nm feature size PS-b-P4VP block copolymer structures by solvent vapor process,AtulB.Chaudhari,TandraGhoshal,Univ.CollegeCork(Ireland)andTrinityCollegeDublin(Ireland);MatthewT.Shaw,TrinityCollegeDublin(Ireland)andUniv.CollegeCork(Ireland)andIntelIrelandLtd.(Ireland);CianCummins,DipuBorah,JustinD.Holmes,MichaelA.Morris,Univ.CollegeCork(Ireland)andTrinityCollegeDublin(Ireland). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-36]

9:00am:New materials for directed self-assembly for advanced patterning,JieqianZhang,TheDowChemicalCo.(USA);JongPark,JanetWu,DowAdvancedMaterials(USA);MingqiLi,DowElectronicMaterials(USA);MatthewD.Christianson,PaulClark,JeffreyD.Weinhold,ValeriyV.Ginzburg,TheDowChemicalCo.(USA);PhillipD.Hustad,PeterTrefonasIII,DowElectronicMaterials(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-37]

9:20am:Orientation control of high-χ block copolymers using polymeric topcoat surface treatments,WilliamJ.Durand,ChristopherM.Bates,GregoryBlachut,MichaelJ.Maher,MatthewCarlson,JeffreyL.Self,ChristopherJ.Ellison,C.GrantWillson,TheUniv.ofTexasatAustin(USA) . . . . . . . . . . . . . . . . . . . . . [9051-38]

9:40am:Enhanced characterization of BCP-based DSA processes using innovative CD SEM analysis,ToddR.Younkin,RobertBristol,MichaelJ.Leeson,EungnakHan,FlorianGstrein,DavidShykind,IntelCorp.(USA);TakeshiKato,HitachiHigh-TechTradingCorp.(Japan);KazuhiroUeda,AkiyukiSugiyama,HitachiHigh-TechnologiesCorp.(Japan);HiroshiYoshida,Hitachi,Ltd.(Japan);AlexDanilevsky,AndySelf,HitachiHighTechnologiesAmerica,Inc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-39]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . Thu10:00amto10:30am

Page 54: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

52 SPIEAdvancedLithography2014 · www.spie.org/al

thursday 27 February

Session 12Location: Conv. Ctr. 220A Thu 10:30 am to 12:20 pm

Nanodevice FabricationSessionChairs:Kenneth R. Carter, Univ.ofMassachusettsAmherst(USA);Kevin T. Turner, Univ.ofPennsylvania(USA)

10:30am:Nanoimprint lithography process chains for the fabrication of micro- and nanodevices (Invited Paper),HelmutSchift,PaulScherrerInstitut(Switzerland) . . . . . . . . . . . . . . [9049-49]

11:00am:Detection of the early stage of recombinational DNA repair by nanowire transistors fabricated by oxidation scanning probe lithography,MarcoChiesa,InstitutodeCienciadeMaterialesdeMadrid(Spain)andInstitutodeMicroelectrónicadeMadrid(Spain);PaulaP.Cardenas,Ctr.NacionaldeBiotecnologia(Spain);FranciscoOtón,InstitutdeCiènciadeMaterialsdeBarcelona(Spain);JavierMartinez,InstitutodeMicroelectrónicadeMadrid(Spain);MartaMas-Torrent,InstitutdeCiènciadeMaterialsdeBarcelona(Spain);FernandoGarcia,InstitutodeMicroelectrónicadeMadrid(Spain);JuanC.Alonso,Ctr.NacionaldeBiotecnologia(Spain);ConcepcióRovira,InstitutdeCiènciadeMaterialsdeBarcelona(Spain);RicardoGarcia,InstitutodeCienciadeMaterialesdeMadrid(Spain)andInstitutodeMicroelectrónicadeMadrid(Spain). . . . . . . . . . [9049-50]

11:20am:Lithography challenges and opportunities in fabrication of bit patterned media with areal density beyond 1.5 Tdpsi,XiaoMinYang,ShuaigangXiao,YautzongE.Hsu,HongyingWang,ZhaoningYu,NobuoKurataka,MichaelR.Feldbaum,PhilipSteiner,GeneG.Gauzner,KoichiWago,KimY.Lee,DavidS.Kuo,SeagateTechnologyLLC(USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-51]

11:40am:UV nanoimprint lithography for the fabrication of MEMS tunable Fabry-Perot infrared filters,JanBesser,MarcoMeinig,SteffenKurth,Fraunhofer-InstitutfürElektronischeNanosysteme(Germany);MarioSeifert,KarlaHiller,TechnischeUniv.Chemnitz(Germany);MaikWiemer,Fraunhofer-InstitutfürElektronischeNanosysteme(Germany);ThomasGessner,Fraunhofer-InstitutfürElektronischeNanosysteme(Germany)andTechnischeUniv.Chemnitz(Germany). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-52]

12:00pm:New lithography technology for sub-10nm patterning with shrinking organic material,SeijiMorita,ToshibaCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-53]

LunchBreak . . . . . . . . . . . . . . . . . . . . . . . . Thu12:20pmto1:35pm

Conference 9048

Extreme Ultraviolet (EUV) Lithography V

Conference 9049

Alternative Lithographic Technologies VI

Conference 9050

Metrology, Inspection, and Process Control for Microlithography XXVIII

Session 13Location: Conv. Ctr. 210B Thu 10:40 am to 12:20 pm

EUV ManufacturingSessionChairs:Daniel Corliss, IBMCorp.(USA);Seong-Sue

Kim, SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof)

10:40am:Whatever will be the EUVL extension technology which realizes a next-generation semiconductor device? (Invited Paper),TatsuhikoHigashiki,ToshibaCorp.(Japan). . . . . . . . . . . . .[9048-60]

11:10am:Integration of a EUV metal layer: 20/14nm test-chip demonstration (Invited Paper),CraigD.Higgins,GLOBALFOUNDRIESInc.(USA);ErikA.Verduijn,GLOBALFOUNDRIESInc.(Belgium);XiangHu,JeanRaymondFakhoury,MarkZaleski,YiZhou,PawitterJ.Mangat,GLOBALFOUNDRIESInc.(USA). . . . . . . . . . . . . . . . . . . . . .[9048-61]

11:40am:The economic impact of EUV lithography on critical process modules,ArindamMallik,NaotoHoriguchi,JürgenBömmels,AaronThean,KathyBarla,GeertVandenberghe,KurtG.Ronse,JulienRyckaert,AbdelkarimMercha,LaithAltimime,DiederikVerkest,AnSteegen,IMEC(Belgium). . . . . . . . . . . . . . . . . .[9048-62]

12:00pm:High-resist sensitization by pattern and flood combination lithography,SeiichiTagawa,AkihiroOshima,SatoshiEnomoto,OsakaUniv.(Japan). . . . . . . . . . . . . . . . . . . . . .[9048-106]

ConferenceEnd.

Presentation of The Karel Urbanek Best Student Paper AwardLocation: Conv. Ctr. 220B

10:30 am to 10:40 amAward Sponsored by

Session 13Location: Conv. Ctr. 220B Thu 10:40 am to 11:40 am

Reference Metrology, Accuracy, StandardsSessionChairs:Benjamin D. Bunday, SEMATECHInc.(USA);

Vladimir A. Ukraintsev, NanometrologyInternational,Inc.(USA)

10:40am:Sidewall roughness and line profile measurement of photoresist and finFET features by cross-section STEM image for reference metrology,KiyoshiTakamasu,HarukiOkitou,SatoruTakahashi,TheUniv.ofTokyo(Japan);MitsuruKonno,OsamuInoue,HirokiKawada,HitachiHigh-TechnologiesCorp.(Japan) . .[9050-53]

11:00am:Verification metrology system by using inline reference metrology,HideakiAbe,YasuhikoIshibashi,ChihiroIda,ToshibaCorp.(Japan);TakahiroIkeda,ToshibaMaterialsCo.,Ltd.(Japan);YuichiroYamazaki,ToshibaCorp.(Japan). . . . . . . . . . . . . .[9050-54]

11:20am:Impact of shrinking measurement error budgets on qualification metrology sampling and cost,MatthewJ.Sendelbach,NovaMeasuringInstrumentsInc.(USA);NivSarig,NovaMeasuringInstrumentsLtd.(Israel);MasafumiAsano,KazutoMatsuki,ToshibaCorp.(Japan);CarmenOsorio,AlokVaid,GLOBALFOUNDRIESInc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[9050-55]

LunchBreak . . . . . . . . . . . . . . . . . . . . . . . . Thu11:40amto1:00pm

Page 55: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 53

Session 6Location: San Jose Marriott Salon III

Thu 10:30 am to 12:00 pm

Design Optimization IISessionChairs:Chi-Min yuan, FreescaleSemiconductor,Inc.

(USA);David Z. Pan, TheUniv.ofTexasatAustin(USA)

10:30am:Technology-design-manufacturing co-optimization for advanced mobile SoCs (Invited Paper),GeoffreyYeap,QualcommInc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9053-23]

11:00am:Optimizing standard cell design for quality,Chi-MinYuan,DaveTipple,JeffWarner,FreescaleSemiconductor,Inc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9053-24]

11:20am:Analysis of process-induced electromigration and design-friendly compact model in 16nm FinFET SoC design,YongchanBan,Chang-SeokChoi,YongseokKang,WooHyunPaik,LGElectronicsInc.(Korea,Republicof). . . . . . . . . . . . . . . [9053-25]

11:40am:Design technology co-optimization for a robust 10nm solution for logic design and sram,BorisVandewalle,BharaniChava,SushilSakhare,IMEC(Belgium);MirceaV.Dusa,ASML(Belgium). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9053-26]

LunchBreak . . . . . . . . . . . . . . . . . . . . . . . .Thu12:00pmto1:35pm

thursday 27 February

2014 Best Student Paper AwardLocation: Conv. Ctr. 210C

10:20 am to 10:25 amSessionChairs:Kafai Lai, IBMCorp.(USA);Will Conley,

Cymer,Inc.(USA)

Award Sponsored by

Session 10Location: Conv. Ctr. 210C Thu 10:25 am to 12:25 pm

Mask Topography ModelingSessionChairs:Wilhelm Maurer, InfineonTechnologiesAG

(Germany);John S. Petersen, PeriodicStructures,Inc.(USA)

10:25am:Rigorous and fast simulation method for electromagnetic light-scattering computations with complex illuminations,LinZschiedrich,JanPomplun,JCMwaveGmbH(Germany);SvenBurger,FrankSchmidt,JCMwaveGmbH(Germany)andKonrad-Zuse-ZentrumfürInformationstechnikBerlin(Germany). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[9052-40]

10:45am:Availability study of extended and CFD-based mask 3D model for next-generation lithography technologies,MasanoriTakahashi,ToshibaCorp.(Japan);YuichiKawabata,ToshibaInformationSystems(Japan)Corp.(Japan);SatoshiTanaka,ShimonMaeda,ShojiMimotogi,ToshibaMaterialsCo.,Ltd.(Japan).[9052-41]

11:05am:Rapid, accurate improvement in 3D mask representation via input geometry optimization and crosstalk,DavidFryer,MichaelLam,KostasAdam,JohnSturtevant,MentorGraphicsCorp.(USA);ChangAnWang,GLOBALFOUNDRIESInc.(USA);ScottMansfield,IBMThomasJ.WatsonResearchCtr.(USA) . . . . . . . . . . . . . . . . .[9052-42]

11:25am:Fixing the focus shift caused by mask 3D diffractions,BayramYenikaya,KostyantynChuyeshov,CadenceDesignSystems,Inc.(USA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[9052-43]

11:45am:Impact of topographic mask models on scanner matching solutions,JacekK.Tyminski,NikonResearchCorp.ofAmerica(USA);JanPomplun,JCMwaveGmbH(Germany);StephenP.Renwick,NikonResearchCorp.ofAmerica(USA). . . . . . . . .[9052-44]

12:05pm:Joint optimization of mask, optical, and photoresist models for computational lithography,EditaTejnil,JohnSturtevant,MentorGraphicsCorp.(USA). . . . . . . . . . . . . . . . . . . . . . . . . .[9052-45]

LunchBreak. . . . . . . . . . . . . . . . . . . . . . . . . .Thu12:25pmto1:35pm

Conference 9051

Advances in Patterning Materials and Processes XXXI

Conference 9052

Optical Microlithography XXVII

Conference 9053

Design-Process-Technology Co-optimization for Manufacturability VIII

Session 13Location: Conv. Ctr. 220C Thu 10:30 am to 12:40 pm

Underlayers and Spin-on Materials ProcessingSessionChairs:Luisa D. Bozano, IBMAlmadenResearchCtr.(USA);Christoph K. Hohle, FraunhoferInstituteforPhotonic

MicrosystemsIPMS(Germany)

10:30am:Extending lithography with advanced materials (Invited Paper),DouglasGuerrero,BrewerScience,Inc.(USA) . . . .[9051-40]

11:00am:Spin-on organic hardmask materials for topo-patterned substrate,KazuhikoKomura,YoshiHishiro,JSRMicro,Inc.(USA);GojiWakamatsu,YoshioTakimoto,TomokiNagai,TooruKimura,YoshikazuYamaguchi,TsutomuShimokawa,JSRCorp.(Japan);GregoryBreyta,NoelArellano,SrinivasanBalakrishnan,LuisaD.Bozano,AnanthakrishnanSankaranarayanan,DanielP.Sanders,CarlE.Larson,IBMAlmadenResearchCtr.(USA);MartinGrodde,AnujadeSilva,IBMThomasJ.WatsonResearchCtr.(USA);KrishnaMohanBajjuri,IBMAlmadenResearchCtr.(USA). . . . . . . . . . . . . .[9051-41]

11:20am:EUV lithography and etching performance enhancement by EUV sensitive Si hard mask (EUV Si-HM) for 1Xnm hp generation,WataruShibayama,SyuheiShigaki,HiroakiYaguchi,RyujiOnishi,NoriakiFujitani,RikimaruSakamoto,NissanChemicalIndustries,Ltd.(Japan). . . . . . . . . . . . . . . . . . . . .[9051-42]

11:40am:Spin on lithographic resist trim process optimization and process window evaluation,ChristosF.Karanikas,GLOBALFOUNDRIESInc.(USA);JamesC.Taylor,DowAdvancedMaterials(USA);NaveenVaduri,TafsirulIslam,GLOBALFOUNDRIESInc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[9051-43]

12:00pm:A chemical underlayer approach to mitigate shot noise in EUV contact-hole patterning,JinLi,YasuakiIde,ShigemasaNakasugi,MotokiMisumi,HiroshiYanagita,FumihiroSuzuki,GeorgPawlowski,KazumaYamamoto,AZElectronicMaterials(Japan)K.K.(Japan);JoonYeonCho,HuirongYao,TakanoriKudo,MunirathnaPadmanaban,YoungJunHer,YiCao,AZElectronicMaterialsUSACorp.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[9051-44]

12:20pm:Anti-spacer double patterning,MichaelD.Hyatt,MicronTechnology,Inc.(USA);KarenHuang,JSRMicro,Inc.(USA);AntondeVilliers,TELTechnologyCtr.,America,LLC(USA);ZhiLiu,MarkSlezak,JSRMicro,Inc.(USA). . . . . . . . . . . . . . . . . . . . . . . .[9051-45]

LunchBreak . . . . . . . . . . . . . . . . . . . . . . . . Thu12:40pmto1:40pm

Page 56: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

54 SPIEAdvancedLithography2014 · www.spie.org/al

Session 13Location: Conv. Ctr. 220A Thu 1:35 pm to 3:35 pm

DSA Design for Manufacturability: Joint Session with Conferences 9049, 9052, and 9053

SessionChairs:Michael A. Guillorn, IBMThomasJ.WatsonResearchCtr.(USA);Bruce W. Smith, RochesterInstituteof

Technology(USA)

1:35pm:Computational lithography platform for 193i-guided directed self-assembly,KafaiLai,MelihOzlem,AnthonyR.Schepis,Chi-ChunLiu,IBMCorp.(USA);JedW.Pitera,IBMAlmadenResearchCtr.(USA);DanielJ.Dechene,NealV.Lafferty,DanielA.Brue,AzaliaKrasnoperova,IBMCorp.(USA);MichaelA.Guillorn,HsinyuTsai,MarkusBrink,IBMThomasJ.WatsonResearchCtr.(USA);JassemA.Abdallah,IBMCorp.(USA);GregoryS.Doerk,JoyY.Cheng,MeliaTjio,IBMAlmadenResearchCtr.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . .[9052-46]

1:55pm:Applying ILT mask synthesis for co-optimizing design rules and DSA model parameters,ThucDam,Synopsys,Inc.(USA)[9052-47]

2:15pm:Rigorous simulation and optimization of the lithography/directed self-assembly co-process,TimFühner,Fraunhofer-InstitutfürIntegrierteSystemeundBauelementetechnologieIISB(Germany);UlrichWelling,MarcusMüller,Georg-August-Univ.Göttingen(Germany);AndreasErdmann,Fraunhofer-InstitutfürIntegrierteSystemeundBauelementetechnologieIISB(Germany). . . . . . . . . . . . . . . . . .[9052-48]

2:35pm:Physical verification and manufacturing of contact/via layers using graphoepitaxy DSA processes,JuanAndresTorres,KyoheiSakajiri,YuriGranik,YuanshengMa,MentorGraphicsCorp.(USA);SeijiNagahara,TokyoElectronLtd.(Japan);BenjamenM.Rathsack,TokyoElectronAmerica,Inc.(USA);ShinichiroKawakami,TokyoElectronKyushuLtd.(Japan);TadatoshiTomita,TokyoElectronEuropeLtd.(Germany);TakashiYamauchi,TokyoElectronLtd.(Japan);MakotoMuramatsu,TakahiroKitano,TokyoElectronKyushuLtd.(Japan);GurdamanS.Khaira,TheUniv.ofChicago(USA) . . . .[9053-27]

2:55pm:A full-chip DSA correction framework,Wei-LongWang,AzatM.Latypov,YiZou,TamerH.Coskun,GLOBALFOUNDRIESInc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[9049-54]

3:15pm:DFM for defect-free DSA hole shrink process,KenFukawatase,KenjiYoshimoto,KyotoUniv.(Japan);YoshihiroNaka,ToshibaCorp.(Japan);ShimonMaeda,SatoshiTanaka,ToshibaMaterialsCo.,Ltd.(Japan);SeijiMorita,HisakoAoyama,ToshibaCorp.(Japan);ShojiMimotogi,ToshibaMaterialsCo.,Ltd.(Japan). .[9049-55]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . . . . . . . Thu3:35pmto4:05pm

Session 14Location: Conv. Ctr. 220B Thu 1:00 pm to 2:20 pm

OverlaySessionChairs:Chih-Ming Ke, TaiwanSemiconductorManufacturing

Co.Ltd.(Taiwan);John C. Robinson, KLA-TencorCorp.(USA)

1:00pm:The effect of individually-induced processes on image-based overlay and diffraction-based overlay,SeungHwaOh,JeongjinLee,ChanHwang,SeungYoonLee,GilheyunChoi,Ho-KyuKang,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-57]

1:20pm:Real-cell overlay measurement through design-based metrology,GyunYoo,JungchanKim,Chan-HaPark,TaehyeongLee,Hyun-JoYang,DongGyuYim,SKHynix,Inc.(Korea,Republicof). . . . . . . . . . . . . . . . . . . . . [9050-58]

1:40pm:Integrated production overlay field-by-field control for leading edge technology nodes,WoongJaeChung,GLOBALFOUNDRIESInc.(USA);KevinC.Huang,KLA-TencorCorp.(USA);JohnTristan,KarstenGutjahr,LokeshSubramany,MarkE.Yelverton,JeongSooKim,WinKongChing,GLOBALFOUNDRIESInc.(USA);WilliamPierson,RamkumarKarur-Shanmugam,BrentRiggs,SvenJug,JohnC.Robinson,KLA-TencorCorp.(USA) . . [9050-59]

2:00pm:Mask contribution to intra-field wafer overlay,FrankLaske,KLA-TencorMIEGmbH(Germany);WilliamChou,UnitedMicroelectronicsCorp.(Taiwan);Hsien-MinChang,LuminescentTechnologies(USA);Klaus-DieterRoeth,KLA-TencorMIEGmbH(Germany);SlawomirCzerkas,VistecSemiconductorSystemsGmbH(Germany);MichaelFerber,KLA-TencorMIEGmbH(Germany);MehdiDaneshpanah,KLA-TencorCorp.(USA). . . . [9050-60]

Session 15Location: Conv. Ctr. 220B Thu 2:20 pm to 3:00 pm

Late Breaking NewsSessionChairs:Jason P. Cain, AdvancedMicroDevices,Inc.(USA);

Ofer Adan, AppliedMaterials,Inc.(Israel)

2:20pm:Innovative techniques for improving overlay accuracy by using DCM (device correlated metrology) targets as reference,NurielAmir,KLA-TencorIsrael(Israel);Wei-JheTzai,UnitedMicroelectronicsCorp.(Taiwan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-104]

2:40pm:The pattern wiggling metrology using CD-SEM, ChangHwanLee,YoungSoonYoo,JurySong,HaeJungLee,HynixSemiconductorsInc.(Korea,Republicof);DongSuKwak,JaeCheolJo,HitachiHigh-TechnologiesKorea(Korea,Republicof);HirokiKawada,TakahiroKawasaki,HitachiHigh-Technologies(Japan);HyungWonYoo,HynixSemiconductorsInc.(Korea,Republicof). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9050-122]

ConferenceEnd.

thursday 27 February

Conference 9049

Alternative Lithographic Technologies VI

Conference 9050

Metrology, Inspection, and Process Control for Microlithography XXVIII

Page 57: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 55

Session 11Location: Conv. Ctr. 220A Thu 1:35 pm to 3:35 pm

DSA Design for Manufacturability: Joint Session with Conferences 9049, 9052, and 9053

SessionChairs:Michael A. Guillorn, IBMThomasJ.WatsonResearchCtr.(USA);Bruce W. Smith, RochesterInstituteof

Technology(USA)

1:35pm:Computational lithography platform for 193i-guided directed self-assembly,KafaiLai,MelihOzlem,AnthonyR.Schepis,Chi-ChunLiu,IBMCorp.(USA);JedW.Pitera,IBMAlmadenResearchCtr.(USA);DanielJ.Dechene,NealV.Lafferty,DanielA.Brue,AzaliaKrasnoperova,IBMCorp.(USA);MichaelA.Guillorn,HsinyuTsai,MarkusBrink,IBMThomasJ.WatsonResearchCtr.(USA);JassemA.Abdallah,IBMCorp.(USA);GregoryS.Doerk,JoyY.Cheng,MeliaTjio,IBMAlmadenResearchCtr.(USA) . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-46]

1:55pm:Applying ILT mask synthesis for co-optimizing design rules and DSA model parameters,ThucDam,Synopsys,Inc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-47]

2:15pm:Rigorous simulation and optimization of the lithography/directed self-assembly co-process,TimFühner,Fraunhofer-InstitutfürIntegrierteSystemeundBauelementetechnologieIISB(Germany);UlrichWelling,MarcusMüller,Georg-August-Univ.Göttingen(Germany);AndreasErdmann,Fraunhofer-InstitutfürIntegrierteSystemeundBauelementetechnologieIISB(Germany) . . . . . . . . . . . . . . . . . [9052-48]

2:35pm:Physical verification and manufacturing of contact/via layers using graphoepitaxy DSA processes,JuanAndresTorres,KyoheiSakajiri,YuriGranik,YuanshengMa,MentorGraphicsCorp.(USA);SeijiNagahara,TokyoElectronLtd.(Japan);BenjamenM.Rathsack,TokyoElectronAmerica,Inc.(USA);ShinichiroKawakami,TokyoElectronKyushuLtd.(Japan);TadatoshiTomita,TokyoElectronEuropeLtd.(Germany);TakashiYamauchi,TokyoElectronLtd.(Japan);MakotoMuramatsu,TakahiroKitano,TokyoElectronKyushuLtd.(Japan);GurdamanS.Khaira,TheUniv.ofChicago(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9053-27]

2:55pm:A full-chip DSA correction framework,Wei-LongWang,AzatM.Latypov,YiZou,TamerH.Coskun,GLOBALFOUNDRIESInc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-54]

3:15pm:DFM for defect-free DSA hole shrink process,KenFukawatase,KenjiYoshimoto,KyotoUniv.(Japan);YoshihiroNaka,ToshibaCorp.(Japan);ShimonMaeda,SatoshiTanaka,ToshibaMaterialsCo.,Ltd.(Japan);SeijiMorita,HisakoAoyama,ToshibaCorp.(Japan);ShojiMimotogi,ToshibaMaterialsCo.,Ltd.(Japan) . [9049-55]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . . . . . . . Thu3:35pmto4:05pm

thursday 27 February

Conference 9051

Advances in Patterning Materials and Processes XXXI

Conference 9052

Optical Microlithography XXVII

Conference 9053

Design-Process-Technology Co-optimization for Manufacturability VIII

Session 14Location: Conv. Ctr. 220C

Thu 1:40 pm to 3:20 pm

EUV MaterialsSessionChairs:Scott W. Jessen, TexasInstrumentsInc.

(USA);Sean D. Burns, IBMCorp.(USA)

1:40pm:Optimisation of fullerene-based negative tone chemically amplified resist for extreme ultraviolet lithography,AndreasFrommhold,DongXuYang,TheUniv.ofBirmingham(UnitedKingdom);XiangXue,Nano-C,Inc.(USA);YasinEkinci,PaulScherrerInstitut(Switzerland);RichardE.Palmer,AlexP.G.Robinson,TheUniv.ofBirmingham(UnitedKingdom).. [9051-46]

2:00pm:Patterning chemistry of HafSOx resist,DouglasA.Keszler,RoseRuther,RichardOleksak,GregoryHerman,ShawnP.Decker,JennAmador,OregonStateUniv.(USA).... [9051-47]

2:20pm:Photolithographic properties of tin-oxo clusters using EUV light (13.5nm),BrianCardineau,RyanDelRe,SUNYCollegeofNanoscaleScience&Engineering(USA);MilesMarnell,HashimAl-Mashat,StateUniv.ofNewYorkatNewPaltz(USA);MichaelaVockenhuber,YasinEkinci,PaulScherrerInstitut(Switzerland);ChandrasekharSarma,MarkNeisser,SEMATECHInc.(USA);DanielA.Freedman,StateUniv.ofNewYorkatNewPaltz(USA);RobertL.Brainard,SUNYCollegeofNanoscaleScience&Engineering(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-48]

2:40pm:Inhomogeneity of PAGs in resist film studied by molecular-dynamics simulations for EUV lithography,MinoruToriumi,ToshiroItani,EUVLInfrastructureDevelopmentCtr.,Inc.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-49]

3:00pm:A platinum-fullerene complex for patterning metal containing nanostructures,DongXuYang,AndreasFrommhold,DongshengHe,ZiyouLi,RichardE.Palmer,TheUniv.ofBirmingham(UnitedKingdom);MariaA.Lebedeva,ThomasW.Chamberlain,AndreiN.Khlobystov,TheUniv.ofNottingham(UnitedKingdom);AlexP.G.Robinson,TheUniv.ofBirmingham(UnitedKingdom). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9051-50]

ConferenceEnd.

Session 7Location: Conv. Ctr. 220A Thu 1:35 pm to 3:35 pm

DSA Design for Manufacturability: Joint Session with Conferences 9049, 9052, and 9053

SessionChairs:Michael A. Guillorn, IBMThomasJ.WatsonResearchCtr.(USA);Bruce W. Smith, RochesterInstituteof

Technology(USA)

1:35pm:Computational lithography platform for 193i-guided directed self-assembly,KafaiLai,MelihOzlem,AnthonyR.Schepis,Chi-ChunLiu,IBMCorp.(USA);JedW.Pitera,IBMAlmadenResearchCtr.(USA);DanielJ.Dechene,NealV.Lafferty,DanielA.Brue,AzaliaKrasnoperova,IBMCorp.(USA);MichaelA.Guillorn,HsinyuTsai,MarkusBrink,IBMThomasJ.WatsonResearchCtr.(USA);JassemA.Abdallah,IBMCorp.(USA);GregoryS.Doerk,JoyY.Cheng,MeliaTjio,IBMAlmadenResearchCtr.(USA). . . . . . . . . . . . . . . . . . . . . [9052-46]

1:55pm:Applying ILT mask synthesis for co-optimizing design rules and DSA model parameters,ThucDam,Synopsys,Inc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-47]

2:15pm:Rigorous simulation and optimization of the lithography/directed self-assembly co-process,TimFühner,Fraunhofer-InstitutfürIntegrierteSystemeundBauelementetechnologieIISB(Germany);UlrichWelling,MarcusMüller,Georg-August-Univ.Göttingen(Germany);AndreasErdmann,Fraunhofer-InstitutfürIntegrierteSystemeundBauelementetechnologieIISB(Germany). . . . . [9052-48]

2:35pm:Physical verification and manufacturing of contact/via layers using graphoepitaxy DSA processes,JuanAndresTorres,KyoheiSakajiri,YuriGranik,YuanshengMa,MentorGraphicsCorp.(USA);SeijiNagahara,TokyoElectronLtd.(Japan);BenjamenM.Rathsack,TokyoElectronAmerica,Inc.(USA);ShinichiroKawakami,TokyoElectronKyushuLtd.(Japan);TadatoshiTomita,TokyoElectronEuropeLtd.(Germany);TakashiYamauchi,TokyoElectronLtd.(Japan);MakotoMuramatsu,TakahiroKitano,TokyoElectronKyushuLtd.(Japan);GurdamanS.Khaira,TheUniv.ofChicago(USA) . . [9053-27]

2:55pm:A full-chip DSA correction framework,Wei-LongWang,AzatM.Latypov,YiZou,TamerH.Coskun,GLOBALFOUNDRIESInc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9049-54]

3:15pm:DFM for defect-free DSA hole shrink process,KenFukawatase,KenjiYoshimoto,KyotoUniv.(Japan);YoshihiroNaka,ToshibaCorp.(Japan);ShimonMaeda,SatoshiTanaka,ToshibaMaterialsCo.,Ltd.(Japan);SeijiMorita,HisakoAoyama,ToshibaCorp.(Japan);ShojiMimotogi,ToshibaMaterialsCo.,Ltd.(Japan) [9049-55]

CoffeeBreak. . . . . . . . . . . . . . . . . . . . . . . . . . .Thu3:35pmto4:05pm

Page 58: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

56 SPIEAdvancedLithography2014 · www.spie.org/al

thursday 27 February

Conference 9049

Alternative Lithographic Technologies VI

Session 14Location: Conv. Ctr. 220A Thu 4:05 pm to 5:45 pm

DSA Via PatterningSessionChairs:Ricardo Ruiz, HGST(USA);Shy-Jay Lin, TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan)

4:05pm:Evaluation of integration schemes for contact-hole graphoepitaxy DSA: A study of substrate and template affinity control,AinhoaRomo-Negreira,TokyoElectronEuropeLtd.(Netherlands);ToddR.Younkin,IntelCorp.(USA);RoelGronheid,StevenDemuynck,NadiaVandenbroeck,IMEC(Belgium);TakehitoSeo,TokyoOhkaKogyoCo.,Ltd.(Japan);DouglasGuerrero,BrewerScience,Inc.(USA);DoniParnell,TokyoElectronEuropeLtd.(Netherlands);MakotoMuramatsu,ShinichiroKawakami,TakashiYamauchi,TokyoElectronKyushuLtd.(Japan);KathleenNafus,MarkH.Somervell,TokyoElectronAmerica,Inc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[9049-56]

4:25pm:Field-theoretic simulations of directed self-assembly in cylindrical confinement: Placement and rectification aspects,NabilLaachi,Univ.ofCalifornia,SantaBarbara(USA);TatsuhiroIwama,AsahiKaseiE-materialsCorp.(USA)andTheMaterialsResearchLab.(USA);KrisT.Delaney,BongkeunKim,Univ.ofCalifornia,SantaBarbara(USA);RobertBristol,DavidShykind,CoreyJ.Weinheimer,IntelCorp.(USA);GlennH.Fredrickson,Univ.ofCalifornia,SantaBarbara(USA) . . . . . . . . . . . . . . . . . . .[9049-57]

4:45pm:Contact holes patterning by directed self-assembly of block copolymers: What would be the Bossung plot?,AhmedGharbi,RalucaTiron,CEA-LETI-Minatec(France);MaximeArgoud,CEA-LETI(France);XavierChevalier,ArkemaS.A.(France);JérômeBelledent,CEA-LETI(France);JonathanPradelles,CEA-LETI-Minatec(France);PatriciaPimentaBarros,CEA-LETI(France);ChristopheNavarro,CéliaNicolet,ArkemaS.A.(France);GeorgesHadziioannou,GuillaumeFleury,Univ.Bordeaux1(France);GillesCunge,LTMCNRS(France);SébastienBarnola,CEA-LETI(France). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[9049-58]

5:05pm:Influence of litho-patterning on DSA placement errors,SanderWuister,TamaraDruzhinina,DavideAmbesi,ASMLNetherlandsB.V.(Netherlands);BartLaenens,BrionTechnologies,Inc.(USA);LindaHeYi,StanfordUniv.(USA);JoFinders,ASMLNetherlandsB.V.(Netherlands). . . . . . . . . . . . . . . . . . . . . .[9049-59]

5:25pm:Directed self-assembly patterning for sub-20nm half-pitch using conventional lithography system,JaewooNam,EunSungKim,KyoungseonKim,ShiyongYi,Chul-HoShin,GilheyunChoi,Ho-KyuKang,E.S.Jung,SAMSUNGElectronicsCo.,Ltd.(Korea,Republicof). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .[9049-60]

ConferenceEnd.

Make SPIE your resource. Renew online today.

www.spie.org/membership

[email protected]+13606763290

Membership

A long-term investment that pays off

10 SPIE Digital Library downloads

Complimentary online SPIE Journal

Complimentary online courses

Networking and access to information

Discounts on events, courses, and publications

Career advancement and peer recognition

renew your sPie Membership1 year $105 | 3 years $297 | Lifetime $995

Discounts for students and early career professionals

Page 59: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 57

thursday 27 February

Conference 9052

Optical Microlithography XXVII

Conference 9053

Design-Process-Technology Co-optimization for Manufacturability VIII

Session 12Location: Conv. Ctr. 210C

Thu 4:05 pm to 5:45 pm

ToolingsSessionChairs:Soichi Owa, NikonCorp.(Japan);Reinhard

Voelkel, SUSSMicroOpticsSA(Switzerland)

4:05pm:Critical assessment of the transport of intensity equation as a phase recovery technique in optical lithography,AamodShanker,Univ.ofCalifornia,Berkeley(USA);MartinSczyrba,AdvancedMaskTechnologyCtr.GmbHCo.KG(Germany);BridConnolly,FranklinKalk,ToppanPhotomasks,Inc.(Germany);AndrewR.Neureuther,LauraWaller,Univ.ofCalifornia,Berkeley(USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-49]

4:25pm:Extremely-long life and low-cost 193nm excimer laser chamber technology for 450mm wafer multipatterning lithography,HiroakiTsushima,HisakazuKatsuumi,HiroyukiIkeda,TakeshiAsayama,TakahitoKumazaki,AkihikoKurosu,TakeshiOhta,KoujiKakizaki,TakashiMatsunaga,HakaruMizoguchi,GigaphotonInc.(Japan) . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-50]

4:45pm:Flexible power 90 w to 120 w ArF immersion light source for high-volume semiconductor lithography,RussellBurdt,Cymer,Inc.(USA). . . . . . . . . . . . . . . . . . . . . . . . . . [9052-51]

5:05pm:Immersion scanners enabling 10nm half-pitch production and beyond,HiroyukiEgashira,YusakuUehara,YosukeShirata,YuichiShibazaki,JunIshikawa,TakayukiFunatsu,MasanoriOhba,NikonCorp.(Japan). . . . . . . . . . . . . . . . [9052-52]

5:25pm:Extending ArFi immersion scanner capability in support of 1xnm production nodes,RemiC.Pieternella,JoostSmits,IgorBouchoms,JannekevanHeteren,MartijnLeenders,MarjinHoofman,RoelofF.deGraaf,HaicoKok,MatthewG.McLaren,WimP.deBoeij,ASMLNetherlandsB.V.(Netherlands). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9052-53]

Location: Conv. Ctr. 210C

5:45 pm to 5:50 pm

Closing Remarks

ConferenceEnd.

Session 8Location: San Jose Marriott Salon III

Thu 4:05 pm to 5:25 pm

Design Optimization IIISessionChairs:Andrew R. Neureuther, Univ.ofCalifornia,

Berkeley(USA);Fang-Cheng Chang, CadenceDesignSystems,Inc.(USA)

4:05pm:Automated fill modification to support late-stage design changes,JeffS.Wilson,MentorGraphicsCorp.(USA);GregDavis,MentorGraphicsCorp.(USA)andDesigntoSilicon(USA);AndersonChiu,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan);RickyYang,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan)andDesigntoSilcon(USA);Yao-JenChuang,TaiwanSemiconductorManufacturingCo.Ltd.(Taiwan). . . . . . . . . . . . . . . . . . . . . . [9053-28]

4:25pm:yield-aware decomposition for LELE double patterning,YukihideKohira,Univ.ofAizu(Japan);YokoYokoyama,ChikaakiKodama,ToshibaCorp.(Japan);AtsushiTakahashi,TokyoInstituteofTechnology(Japan);ShigekiNojima,SatoshiTanaka,ToshibaCorp.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9053-29]

4:45pm:A generalized model to predict Fin-width roughness induced FinFET device variations using the boundary perturbation method,QiCheng,JunYou,YijianChen,PekingUniv.(China). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9053-30]

5:05pm:Hotspot fixing with localized layout modification technique for litho-etch-litho-etch double patterning,YokoYokoyama,KeishiSakanushi,ToshibaCorp.(Japan);YukihideKohira,Univ.ofAizu(Japan);AtsushiTakahashi,TokyoInstituteofTechnology(Japan);ChikaakiKodama,ToshibaCorp.(Japan);SatoshiTanaka,ShigekiNojima,ToshibaMaterialsCo.,Ltd.(Japan). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . [9053-31]

ConferenceEnd.

Conference16–18September2014

Exhibition16–17September2014

LocationMontereyMarriott&MontereyConferenceCenterMonterey,California,USA

Technologies

-MaskMaking-EmergingMaskTechnologies-MaskApplication-MaskBusiness

2014PhotomaskTechnologyMaskdesign,production,integration,andnext-generationmasktechnologies

Call for PapersAbstractsdue24March2014www.spie.org/pm2014

TheinternationaltechnicalgroupofSPIEdedicatedtotheadvancementofphotomasktechnology

Page 60: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

58 SPIEAdvancedLithography2014 · www.spie.org/al

Conferences & Courses: 22–26 FebruaryExhibition: 24–25 February

2015 ADVANCED LITHOGRAPHY•Mark your calendarwww.spie.org/al2015

Location:San Jose Marriott and San Jose Convention CenterSan Jose, California, USA

Page 61: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 59

Index of Authors, Chairs, and Committee MembersBold=SPIEMember

AAbboud, Frank E.9049ProgramCommittee,

9049S10SessionChairAbdallah,JassemA.[9049-8]S2,[9052-46]S11,

[9052-46]S13,[9052-46]S7Abdo,AmrY.[9052-18]S5Abe,Hideaki[9050-115]SPWed,[9050-54]S13Abe,Tamotsu[9048-12]S4Abhari,RezaS.[9048-40]S9,[9048-89]SPTue,

[9048-91]SPTue,[9048-92]SPTueAbsil,Philippe[9052-14]S4Aburada,Ryota[9053-15]S3Ackmann, Paul W.[9052-75]SPS6Adachi,Takashi[9052-68]SPS4Adam, Kostas[9052-42]S10Adams,Daniel[9050-46]S11Adan,Ofer9050ProgramCommittee,9050S15

SessionChair,[9050-11]S3,[9050-2]S1,[9051-10]S5,SC1133

Afanasiev,Kanstantin[9048-85]SPTueAgrawal,GauravK.[9050-25]S7Ahmad,Ahmad[9049-11]S3,[9049-65]SPS3Ahn,Chang-Nam[9048-9]S3Ahn,Jeongho[9050-42]S11Ahn,JinhoSC888Ahn,SeHyun[9049-15]S4Ahrens,Gisela[9051-56]SPS1,[9051-59]SPS1Aida,Kouhei[9049-98]SPS5Aitken,Robert9053ProgramCommittee,9053

S2SessionChairAiyer,ArunA.[9050-71]SPWedAkiyama,Yasushi[9051-85]SPS3Alagna,Paolo[9052-82]SPS7Albrecht,ThomasR.[9049-18]S5,[9049-18]S6,

[9049-30]S8,[9049-30]S9,[9049-44]S11,[9049-5]S2,[9054-22]S6

Alexis,Nadine[9050-111]SPWedAlickaj,Flori[9048-91]SPTueAlkemade,PaulF.A.[9048-107]SPTueAllen, Robert[9049-9]S3,9051Program

Committee,9051S6SessionChairAllgair, John A.9050ProgramCommittee,

9050S9SessionChairAl-Mashat,Hashim[9051-4]S2,[9051-48]S14Alonso,JuanC.[9049-50]S12Alonso,MiguelA.[9050-49]S12Altamirano-Sánchez,Efrain[9051-7]S3,[9054-

11]S3,[9054-14]S4Altimime,Laith[9048-62]S13Alvarado,Diego[9048-45]S10Amador,Jenn[9051-47]S14Amann,Andreas[9050-77]SPWedAmano,Tsuyoshi[9048-124]SPTue,[9048-34]

S8,[9048-71]SPTue,[9048-76]SPTue,[9048-78]SPTue,[9048-97]SPTue

Ambesi,Davide[9049-59]S14Amin,Hemant[9050-14]S3Aminpur,Massud[9054-20]S6Amir,Nuriel[9050-103]SPWed,[9050-104]S15Anderson,JeremyT.[9051-3]S2Andrew,TrishaL.[9049-64]SPS3Angelov,Tihomir[9049-11]S3,[9049-65]SPS3Anis,Mohab[9053-38]SPWedAntohe,AlinO.[9048-16]S5,[9048-19]S5,

[9048-20]S6Anunciado,Roy[9050-118]SPWedAnyadiegwu, Clement T.[9051-72]SPS3Aoki,Masami[9050-76]SPWedAoyama,Hajime[9052-9]S3Aoyama,Hisako[9049-55]S11,[9049-55]S13,

[9049-55]S7

Aquino,Chris[9052-59]SPS1,[9053-33]SPWed,[9053-35]SPWed

Aravind,NarayanaSamy[9053-39]SPWedArceo,Abraham[9050-12]S3,[9050-28]S8,

[9050-40]S11Archambault,Sophie[9054-23]S6Arellano,Noel[9049-45]S11,[9051-41]S13Argoud,Maxime[9049-25]S7,[9049-25]S8,

[9049-33]S8,[9049-33]S9,[9049-58]S14,[9049-81]SPS5,[9054-13]S4,[9054-15]S4

Arikati,Srini[9053-9]S2Arisawa,Yukiyasu[9048-73]SPTueArnold,John[9054-20]S6Arnold, William H.MeetingVIPArvet,Christian[9054-13]S4Asai,Masaya[9048-80]SPTue,[9049-81]

SPS5,[9051-24]S10Asano, Masafumi9050ProgramCommittee,

9050S3SessionChair,9050S8SessionChair,[9050-55]S13

Asayama,Takeshi[9052-50]S12Ashizawa,Noritaka[9048-38]S9Ashworth,Dominic[9048-125]SPTue,[9048-

23]S6,[9048-45]S10,[9048-55]S12,[9048-57]S12,[9048-70]SPTue

Aslam,Amber[9051-4]S2Attota,Ravikiran[9050-13]S3Axelrad,Valery[9052-21]S5,[9052-39]S5,

[9052-39]S9Ayers,Steve[9050-120]SPWedAyothi, Ramakrishnan[9048-48]S11,9051

ProgramCommittee,9051S6SessionChairAzuma,Tsukasa[9049-31]S8,[9049-31]S9,

[9049-78]SPS5,[9049-80]SPS5

BBabcock,CarlP.[9053-35]SPWedBabin,Sergey[9050-16]S4,[9052-85]SPS8Badr,YasmineA.[9053-6]S1Bae,Jinhee[9051-65]SPS2Baek,JaeYeon[9050-43]S11Bahrdt,Johannes[9048-10]S4Baik,Ji-Hoon[9051-82]SPS3Baik,Ki-Ho[9050-108]SPWed,[9053-16]S3Bailey,ToddC.[9050-4]S2,[9050-64]SPWedBajjuri,KrishnaMohan[9051-41]S13Bajuk,DanielJ.[9048-55]S12Bakshi,VivekSC888Bal,Kursat[9048-58]S12Balachandran,DaveK.[9048-17]S5,[9048-22]

S6,[9050-110]SPWedBalakrishnan,Srinivasan[9049-45]S11,[9051-

41]S13,[9051-85]SPS3Baluswamy, Pary9050S10SessionChair,

9052ProgramCommittee,9052S7SessionChair

Ban,Yongchan[9053-17]S4,[9053-20]S4,[9053-25]S6

Bandoh,Hideaki[9050-93]SPWedBang,Na-Rae[9053-16]S3Bangsaruntip,Sarunya[9054-10]S3Bannister,Julie9054ProgramCommittee,

9054S5SessionChairBao,T.I.[9049-66]SPS4Baris,Oksen[9050-73]SPWedBarla,Kathy[9048-62]S13Barnes,BryanM.[9050-3]S2,[9050-40]S11Barnola,Sebastien[9049-58]S14,[9049-81]

SPS5,[9054-13]S4,[9054-15]S4Baron,Stanislas[9052-59]SPS1,[9053-35]

SPWed

Barouch,Eytan[9048-64]SPTue,[9048-84]SPTue

Barraud,Sylvain[9054-13]S4Bar-Zvi,Maayan[9050-11]S3,[9051-10]S5Baskaran,Durairaj[9051-85]SPS3Bates,ChristopherM.[9051-38]S12Bauer,JohannesM.[9048-57]S12Baylav, Burak[9052-60]SPS1Bayle,Sébastien[9049-40]S10Bayraktar,Zikri[9052-18]S5Bekaert,JoostP.[9052-60]SPS1,[9052-82]

SPS7Belledent,Jérôme[9049-39]S10,[9049-40]

S10,[9049-58]S14Bello,AbnerF.[9050-10]S3,[9050-35]S9Belyansky,Michael[9052-29]S10,[9052-29]

S7Bencher,Christopher9049Conference

CoChair,9049S1SessionChair,9049SPANELPanelModerator,[9050-111]SPWed,[9051-34]S11

Bender,Markus9048ProgramCommittee,9048S12SessionChair

Benk,MarkusP.[9048-33]S8Bennett,Thomas[9049-27]S7,[9049-27]S8Benschop, Jos P.9048ProgramCommittee,

9048S1SessionChairBérard-Bergery,Sebastien[9049-39]S10Berg,RobertF.[9048-43]S10Berggren,KarlK.[9049-21]S6Berwald,Frans[9049-21]S6Besacier,Maxime[9052-7]S3Besen,MatthewM.[9048-127]SPTueBesser,Jan[9049-52]S12Bevis,ChristopherF.[9049-41]S10,[9049-42]

S10Beylier,Charlotte[9053-18]S4Bhattacharyya,Kaustuve[9050-27]S7Bhattarai,Suchit[9048-115]SPTue,[9048-52]

S11Biafore, John J.[9048-112]SPTue,[9048-8]

S3,[9051-8]S4,[9052-34]S8Biesemans,Serge[9048-119]SPTueBijkerk, Fred[9048-15]S4Biswas,Mahua[9051-14]S6Bizen,Daisuke[9050-19]S4Bjork,Matt[9048-55]S12Blachut,Gregory[9051-38]S12Black,CharlesT.9054ProgramCommitteeBlackborow,Paul[9048-127]SPTueBlackwell,JamesM.[9048-4]S2Blakey,Idriss[9049-27]S7,[9049-27]S8Blum,BrianD.[9048-58]S12Boccardi,Guillaume[9054-6]S2Bock,Guus[9048-58]S12Bodnar, Nathan[9048-77]SPTueBogaerts, Wim[9052-14]S4Bogdanov,AlexeiL.[9049-44]S11,[9054-22]

S6Boinapally,Karthik[9050-100]SPWed,[9050-

50]S12Bomholt, Lars H.[9050-17]S4Bömmels,Jürgen[9048-62]S13Bonam,RaviK.[9048-37]S8Boom,Herman[9048-54]S12Boonstra,ThomasR.[9049-34]S9Borah,Dipu[9051-36]S12Borisov,SergeyS.[9052-85]SPS8Bouchoms,Igor[9052-53]S12Boussey-Said,Jumana[9049-100]SPS5Bouyssou,Régis[9054-7]S2Bowden,MurraeJ.SC101

Bowering,NorbertR.[9048-11]S4,[9048-113]SPTue

Bozano, Luisa D.9051ProgramCommittee,9051S13SessionChair,[9051-27]S10,[9051-41]S13

Bozdog,Cornel[9050-8]S2Bozinova,LunaS.[9048-91]SPTue,[9048-92]

SPTueBradford,JoshuaD.[9048-77]SPTueBrainard, Robert L.9048ProgramCommittee,

9048S2SessionChair,[9048-51]S11,[9048-95]SPTue,9051S2SessionChair,[9051-4]S2,[9051-48]S14,[9051-86]SPS3

Bramati,Arianna[9052-15]S4Brandstätter,Markus[9048-89]SPTueBrandt,DavidC.[9048-11]S4,[9048-113]

SPTue,[9048-54]S12Brandt,Pieter[9049-39]S10,[9049-40]S10Bremer,Mark[9048-55]S12,[9048-57]S12Breslin,ChristopherM.[9054-10]S3Breyta,Gregory[9051-41]S13Brink,Markus[9049-45]S11,[9052-46]S11,

[9052-46]S13,[9052-46]S7,[9054-10]S3Bristol,Robert[9049-57]S14,[9051-39]S12Brodie,AlanD.[9049-41]S10,[9049-66]SPS4Brooker,PeterD.9052ProgramCommittee,

9052S8SessionChairBrouns,Derk[9048-58]S12Brown,AlanG.[9054-25]SPTuesBrown,DanielJ.[9048-11]S4,[9048-113]

SPTueBrown,JeremiahD.[9052-13]S4Brown,ThomasG.[9050-49]S12Brue,DanielA.[9052-46]S11,[9052-46]S13,

[9052-46]S7Brueck,StevenR.J.[9052-6]S2Brugger,Juergen[9052-15]S4Brunet,Jean-Marie[9053-14]S3Brunner, Timothy A.[9052-29]S10,[9052-29]

S7Bu,Huiming[9050-10]S3Buch,Xaver[9048-48]S11Bunday, Benjamin D.9050Program

Committee,9050S13SessionChair,9050S5SessionChair,[9050-12]S3,[9050-13]S3,[9050-15]S4,[9050-23]S5,[9050-23]S6,[9050-28]S8,[9050-33]S9,[9050-35]S9,9051S5SessionChair

Burbine, Andrew[9048-94]SPTueBurdt,Russell[9052-51]S12Burger, Sven[9052-40]S10Burkhardt, Martin[9048-116]SPTueBurns,SeanD.9049S8SessionChair,9051

ProgramCommittee,9051S14SessionChair,9051S9SessionChair

Bustos,Jessy[9054-26]S2

CCabrini,Stefano[9049-38]S9Cai,BoxiuSpike[9052-83]SPS7Cain,JasonP.9050ConferenceChair,

9050S15SessionChair,9053ProgramCommittee,9053S3SessionChair,[9053-2]S1

Calafiore,Giuseppe[9049-38]S9Calderas,Eric[9049-83]SPS5Cameron,JamesF.[9048-6]S3Cantone,JasonR.[9049-8]S2,[9050-29]S8Cantu, Precious[9049-64]SPS3

Cao,Yi[9049-19]S5,[9049-19]S6,[9049-26]S7,[9049-26]S8,[9049-4]S2,[9051-44]S13

Cao,Yong[9051-34]S11Cao,Zhen[9048-86]SPTueCapodieci, Luigi9052S9SessionChair,9053

ConferenceCoChair,9053S1SessionChair,9053S5SessionChair,[9053-3]S1,[9053-32]SPWed,[9053-5]S1

Carcasi,MichaelA.[9048-119]SPTueCardenas,PaulaP.[9049-50]S12Cardineau,Brian[9051-4]S2,[9051-48]S14,

[9051-86]SPS3Carlson,Matthew[9051-38]S12Carroll, Allen[9049-41]S10,[9049-42]S10,

[9049-66]SPS4Carter,KennethR.9049ProgramCommittee,

9049S12SessionChair,[9049-13]S4Casati,Nathalie[9052-18]S5,[9052-37]S5,

[9052-37]S9Cepler,AronJ.[9050-23]S5,[9050-23]S6,

[9050-28]S8Cêtre,Sylviane[9049-100]SPS5Cha,HoSun[9052-87]SPS9Chada,Venkata[9051-87]SPS4Chakrabarty,Souvik[9048-47]S11,[9051-61]

SPS2Chakraborty,Tonmoy[9048-45]S10Chalom,Daniel[9050-112]SPWedChamberlain,ThomasW.[9051-50]S14Chan,BoonTeik[9049-19]S5,[9049-19]S6,

[9049-88]SPS5,[9051-21]S7Chang,Ching-Yu[9049-96]SPS5Chang,Chun-Ming[9052-63]SPS2Chang,Fang-Cheng9053ProgramCommittee,

9053S8SessionChairChang,Hong-Young[9054-19]S5Chang,Hsien-Min[9050-60]S14Chang,Shih-Wei[9054-21]S6Chang,Shu-Hao[9048-42]S10Chao,Rikon[9048-114]SPTueChao,RobinHsin-Kuo[9050-119]S15Chao,Weilun[9048-52]S11Chapuis,Yves-Andre[9049-18]S5,[9049-18]

S6,[9049-30]S8,[9049-30]S9,[9049-44]S11,[9049-5]S2,[9054-22]S6

Charley,Anne-Laure[9050-43]S11,[9050-51]S12

Chase,KatherineM.[9050-81]SPWedChau,Kiet[9048-17]S5Chaudhari,AtulB.[9051-36]S12Chava,Bharani[9053-26]S6Chawla,JasmeetS.[9054-3]S1Chen,AlekC.[9048-9]S3,9050Program

Committee,[9050-113]SPWedChen,Ao[9053-33]SPWedChen,Bing[9052-89]SPS10Chen,Cheng-Hung[9049-24]S6Chen,Chih-Yu[9050-113]SPWedChen,FrederickT.[9051-32]S11,[9051-51]

SPS1,[9052-64]SPS2Chen,Henry[9050-107]S12Chen,Hong[9048-66]SPTueChen,JackJ.H.[9048-1]S1,[9048-42]S10Chen,JamesR.[9052-72]SPS4Chen,Jinping[9048-100]SPTueChen,Kuang-Chao[9052-71]SPS4Chen,Kui-He[9050-116]SPWedChen,Li[9048-101]SPTueChen,Li-Jui9048ProgramCommitteeChen,Lijun[9050-62]SPWedChen,Mingxing[9052-91]SPS10

Page 62: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

60 SPIEAdvancedLithography2014 · www.spie.org/al

Index of Authors, Chairs, and Committee MembersBold=SPIEMember

Chen,Shijie[9050-62]SPWedChen,Shu-Fang[9048-42]S10Chen,Tom[9050-107]S12Chen,Wei[9049-98]SPS5Chen,Wei[9050-34]S9Chen,Wei-Su[9051-32]S11,[9051-51]SPS1,

[9052-64]SPS2Chen,Xiuguo[9050-89]SPWedChen,Xuanxuan[9050-34]S9Chen, yijian[9049-12]S3,[9052-61]SPS2,

[9052-62]SPS2,[9053-10]S2,[9053-30]S8,[9053-37]SPWed

Chen,Yongmei[9050-111]SPWedCheng,Guojie[9050-62]SPWedCheng,JoyY.9049ProgramCommittee,9049

S2SessionChair,[9049-45]S11,[9049-8]S2,[9051-85]SPS3,[9052-46]S11,[9052-46]S13,[9052-46]S7

Cheng,Qi[9053-30]S8Cheng,ShauneeY.[9050-39]S10,[9050-39]

S7,[9050-51]S12,[9050-56]S14Cheng,YongWah[9052-75]SPS6Cheong,LinLee[9049-9]S3Chesneau,Nicolas[9050-6]S2,[9050-92]

SPWedCheung,Anthony[9049-41]S10Chevalier,Xavier[9049-25]S7,[9049-25]S8,

[9049-33]S8,[9049-33]S9,[9049-58]S14,[9049-77]SPS5,[9049-81]SPS5,[9054-15]S4

Chiang,Donyau[9052-63]SPS2Chien,Ming-Chin[9048-42]S10Chien,Shang-Chieh[9048-42]S10Chien,Tsung-Chi[9049-24]S6Chiesa,Marco[9049-50]S12Chin,Rodney[9048-55]S12,[9048-57]S12Ching,WinKong[9050-59]S14Chiu,Anderson[9053-28]S8Chiu,EricKelvin[9053-32]SPWedChiu,Ethan[9050-107]S12Cho,Byeong-Ok[9052-30]S10,[9052-30]S7Cho, David R.[9050-61]SPWed,[9050-97]

SPWedCho,Hyunwoo[9051-63]SPS2Cho,JoonYeon[9051-44]S13,[9051-87]SPS4Cho,Sangho[9051-11]S6Choi,Bong-Ryoul[9053-16]S3Choi,ByungJin[9049-15]S4Choi,Byung-Il[9052-36]S8,[9052-73]SPS5,

[9053-16]S3Choi,Chang-Seok[9053-25]S6Choi,Dongsub[9050-84]SPWedChoi,Gilheyun[9049-60]S14,[9050-57]S14Choi,Jihyun[9051-57]SPS1Choi,Jinphil[9050-26]S7,[9052-30]S10,

[9052-30]S7Choi,Jungdal[9052-8]S3Choi,Jung-Hoe[9051-63]SPS2Choi,Jungkee[9052-73]SPS5Choi,SungWon[9051-63]SPS2Chou,Chih-Shiang[9052-33]S8Chou,William[9050-60]S14Christianson,MatthewD.[9051-37]S12Chu,WeiYuan[9050-98]SPWedChua,GekSoon[9048-31]S7Chuang,Yao-Jen[9053-28]S8Chun,JunSung[9048-70]SPTueChun,Yong-Jin[9052-36]S8,[9052-73]SPS5Chunder,Anindarupa[9051-85]SPS3Chung,No-Young[9053-16]S3Chung,WoongJae[9050-59]S14,[9050-90]

SPWed

Chuyeshov,Kostyantyn[9052-43]S10Ciappa,Mauro[9050-17]S4Cicoria,Michael[9049-8]S2,[9051-35]S12Cilingir,Erdem[9053-9]S2Civay,Deniz[9048-121]SPTueClark,BenjaminL.[9051-3]S2Clark,Corrie[9051-11]S6Clark,Paul[9051-37]S12Claus,ReneA.[9048-104]SPTue,[9048-115]

SPTueCline,Brian[9053-8]S2Clube,Francis[9049-10]S3Coady,DanielJ.[9049-9]S3Cohen,Avi[9050-39]S10,[9050-39]S7Cohen,GuyM.[9050-7]S2,[9054-10]S3Cohen,Robert[9050-100]SPWedColburn,MatthewE.[9049-8]S2Cole,Christopher[9054-27]SPTuesColey,SuzanneM.[9048-6]S3Conley,Will9052ProgramCommittee,9052

S2SessionChair,9052SPANELSessionChair,[9052-93]SPS10

Connolly,Brid[9052-49]S12Constancias,Christophe[9049-39]S10Coogans,MartynJ.[9050-105]SPWedCooke,Mike[9049-65]SPS3Corcoran,Noel[9050-47]S11Cordes,Aaron[9050-12]S3,[9050-28]S8,

[9050-32]S8,[9050-35]S9Cordier,MarkA.[9048-55]S12Corliss,Daniel9048ProgramCommittee,9048

S13SessionChairCornell,Roger[9050-5]S2Coronel,Philippe[9050-87]SPWedCoskun,TamerH.[9048-31]S7,[9049-54]S11,

[9049-54]S13,[9049-54]S7Couderc,Christophe[9049-25]S7,[9049-25]

S8Cramer,Hugo[9050-107]S12,[9050-51]S12,

SC1100Crimmins,TimothyF.9050Program

Committee,9050S11SessionChairCrosland,NigelC.E.[9049-23]S6Cross,AndrewJ.[9050-76]SPWedCrowcombe,WillE.[9048-109]SPTue,[9050-

45]S11Cui,Liping[9050-95]SPWedCummings,KevinD.[9048-125]SPTue,[9048-

55]S12,[9048-57]S12Cummins,Cian[9051-36]S12Cunge,Gilles[9049-33]S8,[9049-33]S9,

[9049-58]S14,[9049-77]SPS5,[9054-13]S4,[9054-23]S6

Cutler,CharlotteA.[9051-26]S10Czekalla,Markus[9054-24]SPTuesCzerkas,Slawomir[9050-60]S14

DDai,Huixiong[9050-111]SPWed,[9051-34]

S11Dai,Vito[9053-3]S1,[9053-5]S1Dais,Christian[9049-10]S3Dallas,Panagiotis[9051-61]SPS2Dal’zotto,Bernard[9049-39]S10Dam,Thuc[9052-47]S11,[9052-47]S13,

[9052-47]S7Dammel, Ralph R.9051ProgramCommittee,

9051S7SessionChair,SC616Daneshpanah, Mehdi[9050-60]S14Daniel,Jay[9048-120]SPTueDanilevsky,Alex[9051-39]S12

Dankelmann,Marcus[9054-24]SPTuesDao,Hoang[9052-93]SPS10Darling,SethB.[9051-14]S6Darnon,Maxime9054ProgramCommittee,

9054S4SessionChairDas,Sanjana[9048-51]S11,[9048-95]SPTueDasaka,R.[9054-17]S5Dattilo,Davide[9048-111]SPTueDauendorffer,Arnaud[9050-73]SPWedDavis,Greg[9053-28]S8Dazai,Takahiro[9049-32]S8,[9049-32]S9DeBisschop,Peter[9048-112]SPTue,[9048-8]

S3,[9050-30]S8,[9052-11]S3,[9052-25]S6,[9053-9]S2

deBoeij,WimP.[9052-53]S12deBoer,Guido[9049-39]S10DeGendt,Stefan[9054-11]S3deGraaf,RoelofF.[9052-53]S12deHoogh,Joost[9048-58]S12deJong,Erik[9050-85]SPWeddeKruif,RobertC.[9048-58]S12deMarneffe,Jean-FrancoisG.N.[9049-65]

SPS3DeMeyer,Kristin[9052-25]S6deMorsier,Frank[9052-37]S5,[9052-37]S9dePablo,JuanJ.9049ProgramCommittee,

9049S11SessionChair,[9049-98]SPS5,SC1067

DeRiet,Joris[9049-14]S4DeSchepper,Peter[9049-65]SPS3,[9054-11]

S3deSilva,Anuja[9051-41]S13deWinters,Laurens[9048-58]S12Dechene,DanJ.[9052-46]S11,[9052-46]S13,

[9052-46]S7Decker,ShawnP.[9048-126]SPTue,[9051-47]

S14Decoster,Stefan[9054-14]S4DelRe,Ryan[9048-51]S11,[9048-95]SPTue,

[9051-4]S2,[9051-48]S14,[9051-86]SPS3Delalande,Michael[9054-23]S6Delaney,KrisT.[9049-48]S11,[9049-57]S14,

[9049-73]SPS5,[9049-79]SPS5Delgadillo,PaulinaRincon[9049-86]SPS5DelgadoSimão,ClaudiaC.[9050-77]SPWedDellea,Olivier[9050-87]SPWedDelvaux,Christie[9052-14]S4DeMaris,DavidL.[9052-18]S5,[9052-37]S5,

[9052-37]S9Demmerle,Wolfgang[9052-11]S3Demuynck,Steven[9049-56]S14,[9054-14]S4Denbeaux, Gregory[9048-125]SPTue,[9048-

130]SPTue,[9048-131]SPTue,[9048-23]S6,[9048-45]S10,[9048-51]S11,[9048-72]SPTue,[9048-95]SPTue

Deng,Guogui[9052-83]SPS7Deng,Yunfei[9052-81]SPS6Depre,Laurent[9052-12]S3,[9052-7]S3Derville,Alexandre[9050-6]S2,[9050-92]

SPWedDésage, Simon-Frédéric[9050-87]SPWedDesvoivres,Latifa[9054-13]S4,[9054-7]S2Dette,Jens-Oliver[9048-39]S9deVilliers,Anton[9048-119]SPTue,[9051-35]

S12,[9051-45]S13Dhalluin,Florian[9048-58]S12Dhuey,Scott[9049-38]S9Diebold, Alain C.[9050-22]S5,[9050-22]S6Diehl,Daniel[9051-34]S11Dietrich,Kay[9051-12]S6Dietze,Uwe[9048-111]SPTue

Dinescu,Adrian[9049-63]SPS3Dinger,Udo[9048-10]S4Dioses,AlbertoD.[9051-87]SPS4Dirks,Remco[9050-107]S12Dixit,DhairyaJ.[9050-22]S5,[9050-22]S6Dmitriev,Vladimir[9050-39]S10,[9050-39]S7Dobisz, Elizabeth A.9049Program

Committee,9049S2SessionChairDoerk,GregoryS.[9049-45]S11,[9052-46]

S11,[9052-46]S13,[9052-46]S7Doganaksoy,Necip[9048-121]SPTueDojun,Makiko[9051-22]S7,[9051-22]S8Domnenko, Vitaliy[9051-63]SPS2Dong,Lisong[9052-28]S6Doran,SamK.[9049-23]S6Dotan,Kfir[9050-111]SPWed,[9051-10]S5Dowling,RobertC.[9049-23]S6Doxastakis,Manolis[9051-60]SPS2Driessen,FrankA.[9048-25]S7,[9052-7]S3Druzhinina,Tamara[9049-59]S14Du,Yuelin[9049-71]SPS5,[9049-92]SPS5Ducoté,Julien[9054-5]S2Dumont,Benjamin[9054-7]S2Dunlap,David[9052-93]SPS10Dunn,DerrenN.[9052-31]S8Durand,WilliamJ.[9051-38]S12Durant,Stephane[9049-86]SPS5Düring,Urs[9049-65]SPS3,[9049-9]S3D’Urzo,Lucia[9049-4]S2Dusa,MirceaV.SymposiumChair,[9050-39]

S10,[9050-39]S7,[9053-26]S6,SC885Dziomkina,NinaV.[9048-58]S12Dziura,Thaddeus[9050-101]SPWed,[9050-

23]S5,[9050-23]S6

EEah,Sang-Kee[9048-24]S6Echigo,Masatoshi[9051-77]SPS3Economikos,Laertis[9054-17]S5Edmunson,Holly[9050-14]S3Egashia,Hiroyuki[9052-52]S12Ekinci,Yasin[9048-3]S2,[9048-36]S8,[9051-

4]S2,[9051-46]S14,[9051-48]S14ElKodadi,Mohamed[9050-107]S12,[9050-

51]S12Elam,JeffreyWilliams[9051-14]S6Elg,Daniel[9048-14]S4Ellison,ChristopherJ.[9051-38]S12El-Manhawy,Wael[9053-14]S3Enami,Tatsuo[9052-94]SPS10Endo,Takafumi[9048-88]SPTue,[9051-20]S7Engelke,Rainer[9051-56]SPS1Engelmann, Sebastian U.9054Program

Committee,9054S3SessionChair,[9054-10]S3

Enomoto,Satoshi[9048-106]S13Eppler,Aaron[9054-9]S3Erdmann, Andreas[9048-123]SPTue,9052

ConferenceCoChair,9052S1SessionChair,9052S4SessionChair,[9052-15]S4,[9052-48]S11,[9052-48]S13,[9052-48]S7,[9052-55]SPS1,[9052-57]SPS1

Ershov,AlexI.[9048-11]S4,[9048-113]SPTueEsashi,Masayoshi[9049-43]S10Esche,Silvio[9051-28]S10Espinoza,JuanDiego[9048-58]S12Estel,Heiko[9054-24]SPTuesEstelle,Tom[9051-26]S10Estellers,Virginia[9050-24]S7Estrada-Raygoza,Cristina[9049-8]S2Evangelio,Laura[9049-90]SPS5

Evin,Guillaume[9050-6]S2,[9050-92]SPWedEynon,BenjaminG.[9050-109]SPWed,[9050-

112]SPWed

FFakhoury,JeanRaymond[9048-61]S13Fan,Daniel[9048-3]S2Fan,Qi-Tong[9050-108]SPWedFan,Yongfa[9052-32]S8Fan,Yu-Jen[9048-125]SPTue,[9048-23]S6,

[9048-57]S12Fang, Chao[9051-8]S4Fang,Weiping[9053-9]S2Faradzhev,NadirS.[9048-43]S10Farah,Abdi[9049-39]S10Farhat, Ilyas A.[9052-66]SPS3Farrar,NigelR.[9048-11]S4,[9048-113]

SPTue,[9048-54]S12Farrell,RichardA.[9050-22]S5,[9050-22]S6,

[9051-35]S12,[9054-27]SPTuesFarys,Vincent[9052-7]S3Favrelière,Hugues[9050-87]SPWedFay,Aurélien[9049-40]S10Feinstein,Adam[9050-70]SPWedFeldbaum,MichaelR.[9049-51]S12Felix,NelsonM.[9052-29]S10,[9052-29]S7Feng,Mu[9052-12]S3,[9053-33]SPWedFenger, Germain L.[9048-28]S7,[9048-93]

SPTueFerber,Michael[9050-60]S14Fernandez-Regúlez,Marta[9049-90]SPS5Fiekowsky, Daniel I.[9050-112]SPWedFiekowsky, Peter J.[9050-112]SPWedFigueiro,ThiagoR.[9049-40]S10Finders, Jo[9049-59]S14,9052Program

Committee,[9052-4]S2Fisher,Daniel[9050-14]S3Fletcher,Brian[9049-35]S9Fleury,Guillaume[9049-25]S7,[9049-25]S8,

[9049-33]S8,[9049-33]S9,[9049-58]S14,[9049-77]SPS5,[9049-81]SPS5

Fliervoet,TimonF.[9048-107]SPTueFomenkov,IgorV.[9048-11]S4,[9048-113]

SPTue,[9048-54]S12Fong,QuekShyue[9053-39]SPWedFonoberov,Vladimir[9050-70]SPWedFonseca,Carlos9052ProgramCommittee,

9052S3SessionChairFookema,Vincent[9049-65]SPS3Foong,YeeMei[9052-59]SPS1,[9052-75]

SPS6,[9053-33]SPWedFornari,Paul[9052-38]S5,[9052-38]S9Foubert,Philippe[9051-7]S3Foucher,Johann[9050-6]S2,[9050-92]

SPWedFourkas,JohnT.[9052-6]S2Fraxedas,Jordi[9049-90]SPS5Fredrickson,GlennH.[9049-48]S11,[9049-57]

S14,[9049-73]SPS5,[9049-79]SPS5Freedman,DanielA.[9051-4]S2,[9051-48]

S14,[9051-86]SPS3Fritz,ErikC.[9048-109]SPTueFrommhold, Andreas[9051-46]S14,[9051-

50]S14,[9054-25]SPTuesFronheiser,Jody[9050-35]S9Fryer,David[9052-42]S10Fuchimoto,Daisuke[9050-102]SPWed,

[9050-30]S8Fugier,Pascal[9050-87]SPWedFühner,Tim[9052-48]S11,[9052-48]S13,

[9052-48]S7

Page 63: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 61

Index of Authors, Chairs, and Committee MembersFujikawa,Shuichi[9048-13]S4Fujimori,Toru[9048-49]S11Fujimura, Aki[9052-68]SPS4Fujitani,Noriaki[9048-83]SPTue,[9048-88]

SPTue,[9051-42]S13Fujiwara,Tomoharu[9049-31]S8,[9049-31]

S9,[9049-78]SPS5,[9049-80]SPS5Fujiyoshi,Ryoko[9051-68]SPS2Fukawatase, Ken[9049-55]S11,[9049-55]

S13,[9049-55]S7Fukuda,Hiroshi[9050-1]S1,[9050-21]S5Fukuda,Hiroya[9051-84]SPS3Fukuda,Hitomi[9052-94]SPS10Fukuhara,Kazuya[9050-94]SPWedFukui,Tooru[9051-29]S11,[9051-76]SPS3Fumar-Pici,Anita[9048-9]S3Funaoka,Koji[9048-13]S4Funatsu,Takayuki[9052-52]S12Furukawa,Hiroyuki[9048-74]SPTueFurukawa,Kikuo[9051-81]SPS3,[9051-83]

SPS3Furuta,Nozomu[9053-15]S3Furutono,Yohko[9049-36]S9

GGabrani,Maria[9050-24]S7,[9052-18]S5,

[9052-37]S5,[9052-37]S9Gallagher,EmilyE.9048ProgramCommittee,

9048S6SessionChairGambino,Nadia[9048-89]SPTue,[9048-91]

SPTueGanapathisubramanian,Maha[9049-15]S4Gao,He[9049-18]S5,[9049-18]S6,[9049-44]

S11,[9054-22]S6Gao,Jhih-Rong[9053-13]S3Gao,Jie[9050-65]SPWedGao,Jie[9052-28]S6Gao,Weimin[9052-11]S3,[9053-9]S2García,Fernando[9049-50]S12Garcia,Ricardo[9049-50]S12Gardin,Christian[9054-5]S2Gardner, Dennis Floyd[9050-46]S11Garetto, Anthony D.[9048-32]S8Garner,Grant[9049-7]S2Gau, Tsai-Sheng9052ProgramCommittee,

9052S3SessionChair,[9052-33]S8Gaupp,Andreas[9048-10]S4Gauzner,GeneG.[9049-51]S12Ge,Adam[9050-11]S3Gee,Sung-Hoon[9049-34]S9Geh, Bernd9052ProgramCommittee,9052

S2SessionChairGennari,FrankE.[9053-2]S1,[9053-3]S1,

[9053-5]S1Gentile,HaroldN.[9049-34]S9George,Kirolos[9053-14]S3Gerousis,Vassilios[9053-8]S2Gessner,Thomas[9049-52]S12Ghaida,RaniS.[9053-21]S5,[9053-21]S9Gharbi,Ahmed[9049-25]S7,[9049-25]S8,

[9049-33]S8,[9049-33]S9,[9049-58]S14,[9049-81]SPS5,[9054-13]S4,[9054-15]S4

Ghosh,Subrata[9048-67]SPTue,[9048-69]SPTue,[9051-5]S2,[9051-71]SPS3

Ghoshal,Tandra[9051-18]S7,[9051-36]S12,[9051-66]SPS2

Giannelis,EmmanuelP.[9048-47]S11,[9051-61]SPS2

Gignac,LynneM.[9054-10]S3Gillijns,Werner[9052-25]S6

Ginzburg,ValeriyV.[9049-29]S7,[9049-29]S8,[9049-82]SPS5,[9051-37]S12

Giovannini,AndreaZ.[9048-40]S9,[9048-91]SPTue

Girard, Luc[9048-55]S12,[9048-57]S12Girardot,Cecile[9054-23]S6Gizzo,Vincent[9054-17]S5Glatzel,HolgerK.[9048-55]S12,[9048-57]S12Gligorvski,Sasho[9050-68]SPWedGluschenkov,Oleg[9052-29]S10,[9052-29]

S7Goasmat,Francois[9050-40]S11Gobrecht,Jens[9049-10]S3Goddard, Lynford L.[9050-41]S11Godwin,MiltonC.[9048-16]S5,[9050-110]

SPWedGoethals,Anne-Marie[9051-7]S3Goldberg, Kenneth A.[9048-115]SPTue,

[9048-33]S8Goldfarb,DarioL.[9051-27]S10Goldstein,Michael9048ProgramCommittee,

9048S9SessionChair,[9048-114]SPTue,[9048-32]S8,[9048-55]S12,[9048-57]S12

Golotsvan,Anna[9050-97]SPWedGolovkin,IgorE.[9048-63]SPTueGoltsov,Alexander[9049-38]S9Gomar-Nadal,Elba[9050-70]SPWedGomezFernandez,Sergio[9053-36]S5,

[9053-36]S9Gong,Ying[9052-59]SPS1Gonsalves,KennethEmmanuel[9048-67]

SPTue,[9048-69]SPTue,[9051-5]S2,[9051-71]SPS3

Gonzalez,CarlosM.[9048-21]S6Goodwin, Frank9048ProgramCommittee,

9048S5SessionChair,[9048-111]SPTue,[9048-114]SPTue,[9048-16]S5,[9048-17]S5,[9048-19]S5,[9048-23]S6

Goto,Takahiro[9048-49]S11Gotovos,Alkis[9052-37]S5,[9052-37]S9Gotszalk,TeodorP.[9050-31]S8Gouraud,Pascal[9054-5]S2,[9054-7]S2Gourgon,Cécile[9052-7]S3Graitzer,Erez[9050-39]S10,[9050-39]S7Granik, yuri9052ProgramCommittee,9052

S8SessionChair,[9053-27]S11,[9053-27]S13,[9053-27]S7

Grantham,StevenE.[9048-120]SPTue,[9048-53]S11

Gräupner,Paul[9048-25]S7Graur,IoanaC.[9052-31]S8Graves,Trey[9052-5]S2Green,MichaelJ.[9050-112]SPWedGreer,Michael[9051-3]S2Grella,Luca[9049-42]S10,[9049-66]SPS4Grenville,Andrew[9051-3]S2Grodde,Martin[9051-41]S13Gronheid,Roel9048S3SessionChair,[9048-

46]S10,9049S7SessionChair,[9049-19]S5,[9049-19]S6,[9049-4]S2,[9049-46]S11,[9049-56]S14,[9049-86]SPS5,[9049-88]SPS5,[9050-101]SPWed,[9050-34]S9,9051ProgramCommittee,9051S3SessionChair,9051S8SessionChair,[9051-17]S7,[9051-19]S7,[9051-21]S7

Gronlund,KeithD.[9048-25]S7,[9053-16]S3Groves,TimothyR.[9049-3]S1Grubner,Eyal[9050-8]S2Grupp,Michael[9048-57]S12Grützner,Gabi[9049-16]S4,[9051-56]SPS1,

[9051-59]SPS1,[9051-70]SPS3

Gstrein,Florian[9051-39]S12Gubiotti,Thomas[9049-41]S10Guerrero,Douglas[9049-56]S14,[9049-83]

SPS5,9051ProgramCommittee,9051S11SessionChair,[9051-40]S13

Guillorn,MichaelA.9049ProgramCommittee,9049S13SessionChair,[9049-1]S1,[9049-45]S11,[9049-8]S2,9052S11SessionChair,[9052-46]S11,[9052-46]S13,[9052-46]S7,9053S7SessionChair,[9054-10]S3

Guizar-Sicairos,Manuel[9048-36]S8Gullikson,EricM.[9048-114]SPTue,[9048-55]

S12,[9048-57]S12Gupta,Puneet[9048-29]S7,[9053-6]S1Gustafson,Carol[9050-5]S2Gustafson,DeborahS.[9048-127]SPTueGutjahr,Karsten[9050-59]S14Gutwin,Paul[9053-8]S2

HHa,Hunhwan[9052-30]S10,[9052-30]S7Ha,SoonMok[9049-89]SPS5Ha,StevenThanh[9050-109]SPWedHaase,Anton[9050-36]S9Hadziioannou,Georges[9049-25]S7,[9049-25]

S8,[9049-33]S8,[9049-33]S9,[9049-58]S14,[9049-77]SPS5,[9049-81]SPS5

Hagen,CornelisW.[9049-21]S6,[9050-20]S5Hahn,Jens[9054-24]SPTuesHalle,ScottD.[9048-37]S8,[9050-64]SPWed,

[9052-31]S8Ham, young[9050-112]SPWedHan,Chunying[9052-28]S6Han,Eungnak[9051-39]S12Han,JoonHee[9048-98]SPTueHan,KwenWoo[9051-65]SPS2Han,Sung-Jae[9051-31]S11Hand,Sean[9050-32]S8,[9050-7]S2,[9050-

70]SPWedHaneda,Koji[9050-73]SPWedHannemann,Sandro[9050-114]SPWedHansen,Steven[9048-25]S7Hansen,Terje[9054-11]S3Hao,Jingan[9052-83]SPS7Hao,Qingshan[9048-100]SPTueHara,Arisa[9051-30]S11,[9051-33]S11,

[9051-52]SPS1,[9052-21]S5,[9052-26]S6Hara, Daisuke[9052-68]SPS4Harada,Sumito[9050-12]S3Harada,Tetsuo[9048-103]SPTue,[9048-124]

SPTue,[9048-44]S10,[9048-76]SPTue,[9048-78]SPTue

Hari,Sangeetha[9049-21]S6Harned, Noreen[9048-11]S4,[9048-113]

SPTue,[9048-54]S12Harris-Jones,Jenah[9048-130]SPTue,[9048-

24]S6,[9050-32]S8Hartley,JohnG.[9049-23]S6Harukawa,Ryota[9049-19]S5,[9049-19]S6,

[9049-4]S2,[9049-86]SPS5,[9050-76]SPWed

Harumoto,Masahiko[9048-80]SPTue,[9051-24]S10

Hasegawa,Norio[9050-79]SPWedHasegawa,Yasuo[9052-16]S4Hashimoto,Masahiro[9051-29]S11,[9051-76]

SPS3Hassanein, Ahmed[9048-41]S9,[9048-96]

SPTueHatakeyama,Masahiro[9048-34]S8Hatano,Masayuki[9049-36]S9

Hayakawa,Shoichi[9051-81]SPS3,[9051-83]SPS3

Hayakawa,Teruaki[9049-31]S8,[9049-31]S9,[9049-80]SPS5

Hayano,Katsuya[9052-68]SPS4Hayashi,Hisataka[9054-8]S2Hayashi, Naoya9048ProgramCommittee,

9048S5SessionChair,9049ProgramCommittee,9049S9SessionChair,[9049-37]S9

Hayeck,Nathalie[9050-68]SPWedHayes,Alan[9048-16]S5Hazart, Jérome[9050-6]S2,[9050-92]SPWedHe,Dongsheng[9051-50]S14He,Hong[9049-8]S2He,Junwei[9052-89]SPS10He,Long[9048-16]S5He,Xiaobin[9054-12]S3He,Yan-Ying[9052-33]S8Head, Stephen[9050-49]S12Heard,Kara[9051-4]S2Hedrick,JamesL.[9049-9]S3Hellin,David[9054-6]S2Hellweg,Dirk[9048-32]S8Hench,JohnJ.[9049-41]S10Hendel, Rudi[9052-6]S2Henderson, Clifford L.[9049-28]S7,[9049-

28]S8,[9049-47]S11,[9049-87]SPS5,[9049-93]SPS5,9050S5SessionChair,9051ProgramCommittee,9051S5SessionChair,[9051-13]S6,[9051-2]S1,[9051-25]S10

Hendrickx,Eric[9048-28]S7,[9048-30]S7,[9048-59]S12

Henke,Wolfgang[9050-105]SPWedHennemeyer,Marc[9052-15]S4Hennerkes,Christoph[9048-25]S7Her,YoungJun[9049-26]S7,[9049-26]S8,

[9049-4]S2,[9051-44]S13Herbol,Henry[9048-130]SPTue,[9048-51]

S11,[9048-95]SPTueHerfst,Rodolf[9050-45]S11Herman,Gregory[9048-126]SPTue,[9051-47]

S14Hermann,JeffreyL.[9050-82]SPWedHerr, Daniel J. C.9049ProgramCommittee,

9049S5SessionChair,9050ProgramCommittee,9050S6SessionChair

Hertig,Konrad[9048-91]SPTueHetzer,David[9048-119]SPTue,[9049-8]S2,

[9051-35]S12Hibino,Kenichi[9050-63]SPWedHigashiki,Tatsuhiko[9048-60]S13,9049

ProgramCommittee,9049S9SessionChair,[9050-44]S11

Higgins,CraigD.[9048-119]SPTue,[9048-121]SPTue,[9048-31]S7,[9048-61]S13

Higuchi,Akira[9050-93]SPWedHill,ShannonB.[9048-43]S10Hiller,Karla[9049-52]S12Himel, Marc D.[9052-13]S4Hinata,Toru[9051-6]S2Hinsberg,WilliamD.[9051-27]S10,[9051-3]S2Hirahara,Eri[9051-85]SPS3Hirai,Yoshihiko[9052-17]S4Hirano,Ryoichi[9048-34]S8Hirano,Takashi[9050-44]S11Hirayama,Toru[9052-10]S3Hirayanagi,Noriyuki[9049-31]S8,[9049-31]

S9,[9049-78]SPS5,[9049-80]SPS5Hiromatsu,Takahiro[9051-29]S11,[9051-76]

SPS3

Hisanaga,Naoto[9052-94]SPS10Hishiro,Yoshi[9048-48]S11,[9051-41]S13Hitomi,Keiichiro[9050-64]SPWed,[9052-31]

S8Hockey,MaryAnnJ.[9049-83]SPS5Hody,Hubert[9054-14]S4,[9054-6]S2Hoefnagels,Rik[9048-107]SPTueHoehne,Anita[9051-28]S10Hofer,Manuel[9049-11]S3Hofmann,Ulrich[9052-15]S4Hohle, Christoph K.9051Conference

CoChair,9051S1SessionChair,9051S13SessionChair,[9051-28]S10

Hojo,Yutaka[9050-102]SPWed,[9052-31]S8Hollink,Thijs[9048-25]S7Holmes,JustinD.[9051-18]S7,[9051-36]S12,

[9051-66]SPS2Holzner,Felix[9049-9]S3Hong,Bee-Kim[9054-24]SPTuesHong,Dongsung[9049-34]S9Hong,Kuktae[9053-17]S4Hong,Le[9052-22]S5Hong,Suk-Koo[9051-82]SPS3Hong,SungEun[9051-85]SPS3Hoofman,Marjin[9052-53]S12Hoogenboom,Tom[9050-105]SPWedHori,Tsukasa[9048-12]S4Hori, yoshihiro[9049-94]SPS5Horiguchi,Naoto[9048-62]S13Horne,StephenF.[9048-127]SPTueHosler,Erik[9050-22]S5,[9050-22]S6Hotta,Shoji[9050-18]S4,[9050-64]SPWed,

[9052-31]S8House,Matthew[9048-111]SPTue,[9048-20]

S6,[9048-24]S6Houweling,Silvester[9048-58]S12Howell,RafaelC.[9048-25]S7,[9053-16]S3Hoyle,PhilipC.[9049-23]S6Hsiang,Chingyun[9050-62]SPWedHsieh,Michael[9050-97]SPWed,[9053-33]

SPWedHsieh,Simon[9052-54]SPS1Hsu,SimonC.C.[9050-103]SPWedHsu,StephenD.[9048-25]S7,[9053-16]S3,

[9053-33]SPWed,SC885Hsu,Wilson[9050-107]S12Hsu,YautzongE.[9049-51]S12Hsuan,Chung-Te[9052-71]SPS4Hsuh,Jhung-Yuin[9050-75]SPWedHu,Cheming[9052-71]SPS4Hu,Chia-Chang[9050-116]SPWedHu,Jiangtao[9050-100]SPWed,[9050-50]S12Hu,Lin[9050-4]S2Hu,Rui[9048-101]SPTueHu,Xiang[9048-61]S13Huang,ChainTing[9052-80]SPS6,[9052-84]

SPS7Huang,Dale[9050-111]SPWedHuang,David[9050-107]S12Huang,Huijie[9052-56]SPS1,[9052-91]

SPS10Huang,Karen[9051-45]S13Huang,KevinC.[9050-59]S14Huang, Qiushi[9048-15]S4Huang,Tze-Chiang[9049-42]S10Huang,Wen-Chun[9052-33]S8Hudson,EricA.9054ProgramCommittee,

9054S2SessionChairHudyma,Russell[9048-55]S12Hug,MarcoA.[9053-9]S2Hui,ColinC.W.[9053-39]SPWedHuli,Lior[9048-70]SPTue

Page 64: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

62 SPIEAdvancedLithography2014 · www.spie.org/al

Index of Authors, Chairs, and Committee MembersBold=SPIEMember

Hung,Platt[9050-107]S12Hurat,Philippe[9053-17]S4Hustad,PhillipD.[9049-29]S7,[9049-29]S8,

[9049-82]SPS5,[9051-37]S12,[9054-21]S6

Huziyoshi,Ryoko[9051-62]SPS2Hwang,Chan[9050-57]S14Hwang,JongHyun[9050-26]S7Hwang,Sungwook[9049-89]SPS5Hyatt,MichaelD.[9051-45]S13Hyun,Yoonsuk[9048-26]S7,[9048-97]SPTue

IIcard,Béatrice[9049-39]S10,[9049-40]S10,

[9054-26]S2Ichikawa,Hirotaka[9053-11]S2Ichimura,Koji[9049-37]S9Ida,Chihiro[9050-54]S13Ide,Yasuaki[9051-44]S13Iida,Susumu[9048-34]S8Iijima,Etsuo[9051-22]S7,[9051-22]S8Ikeda,Hiroyuki[9052-50]S12Ikeda,Junji[9052-9]S3Ikeda,Takahiro[9050-54]S13Ikegami,Naokatsu[9049-43]S10Ilgüsatiroglu,Emre[9050-17]S4Iliopoulos,Ilias[9049-25]S7,[9049-25]S8Illarionov,AlexeyYu.[9050-17]S4Imamura,Tsubasa[9054-8]S2Inanami,Ryoichi[9049-17]S4Inoue,Osamu[9050-53]S13Inoue,Soichi9048ProgramCommittee,9048

S10SessionChair,[9048-103]SPTue,[9048-44]S10,[9048-59]S12

Irihama,Hiroshi[9052-10]S3Irving,J.W.[9049-35]S9Ishibashi,Yasuhiko[9050-54]S13Ishida,Keisuke[9052-88]SPS10Ishida,Takuya[9051-62]SPS2,[9051-68]

SPS2Ishida,Yoshihito[9049-98]SPS5Ishigaki,Toshikazu[9050-52]S12Ishiguro,Takumi[9051-22]S7,[9051-22]S8Ishikawa,Jun[9052-52]S12Ishimoto,Toru[9050-30]S8,[9052-31]S8Islam,Tafsirul[9051-43]S13Itani,Toshiro[9048-5]S3,[9048-83]SPTue,

[9048-87]SPTue,[9051-23]S10,[9051-49]S14

Ito,Chikashi[9049-86]SPS5Ito,Wataru[9050-12]S3Ivanov,Tzvetan[9050-31]S8Iwaki,Hiroyuki[9051-22]S7,[9051-22]S8Iwama,Tatsuhiro[9049-57]S14,[9049-79]

SPS5Izumi,Kenichi[9049-73]SPS5

JJack,KevinS.[9049-27]S7,[9049-27]S8Jacobs, Alan G.[9049-84]SPS5Jain,Ankit[9050-67]SPWedJain,Vipul[9048-6]S3Jang,Eujean[9051-82]SPS3Jang,Il-Yong[9048-130]SPTueJang,JongHoon[9050-86]SPWedJang,Jongwon[9052-70]SPS4Jang, Linus[9051-34]S11Jang,Mason[9048-19]S5Jang,Yuseong[9048-77]SPTue,[9048-79]

SPTue,[9048-82]SPTue

Jankowiak,Andreas[9048-10]S4Jansen,MaartenJ.[9048-58]S12Jantzen,Kenneth[9052-78]SPS6Janvier,Thomas[9050-6]S2,[9050-92]SPWedJayashankar,Sethuraman[9049-34]S9Jedamzik, Ralf[9052-92]SPS10Jen,Shih-Hui[9048-70]SPTueJeon,Bumhwan[9050-61]SPWedJeon,Chan-Uk[9051-31]S11,[9051-69]SPS3Jeon,Jin-Hyuck[9053-19]S4Jeon,Sanghuck[9050-84]SPWedJeong,Eun-Soo[9051-63]SPS2Jeong, Jaeyoon[9052-36]S8Jessen,ScottW.9051ProgramCommittee,

9051S14SessionChairJi,Shengxiang[9049-95]SPS5Jia,Anwei[9050-110]SPWedJia,Hongmin[9050-62]SPWedJia,Li[9052-27]S6Jia,Ningning[9052-8]S3Jiang,Hao[9050-89]SPWedJiang,Jing[9051-16]S6Jiang,Kai[9051-3]S2Jiang, Licai[9048-120]SPTue,[9048-53]S11Jiang,Shangliang[9052-20]S5Jindal, Vibhu[9048-130]SPTue,[9048-131]

SPTueJinga,SorinI.[9049-63]SPS3JohnKadaksham,Arun[9048-111]SPTue,

[9048-16]S5,[9048-19]S5,[9048-22]S6John,Jacob[9049-13]S4Johnson,TimothyA.[9050-14]S3Jonckheere,Rik[9048-28]S7Joubert,Olivier[9054-23]S6Jourlin,Yves[9052-77]SPS6Jug,Sven[9050-59]S14Jullian,Karine[9049-39]S10,[9049-81]SPS5Jun,ChungSam[9050-72]SPWed,[9050-74]

SPWedJung,Byungki[9049-84]SPS5Jung,E.S.[9049-60]S14Jung,Hanah[9053-17]S4Jung,HyangYoon[9052-65]SPS3Jung,Jin-Hyuck[9051-69]SPS3Jung,Moeun[9053-17]S4Jussot,Julien[9054-26]S2

KKagalwala,Taher[9050-4]S2Kagalwalla,AbdeAliH.[9048-29]S7Kagatsume,Takeshi[9049-99]SPS1Kahng, Andrew B.[9053-1]S1Kajiwara,Masanari[9053-15]S3Kakizaki,Kouji[9052-50]S12,[9052-88]SPS10Kalk, Franklin[9052-49]S12Kalyani,Vishwanath[9051-71]SPS3Kamali,Mohammad[9048-58]S12Kamarthy,Gowri[9054-9]S3Kamineni,HimaniS.[9050-14]S3Kamineni,VimalK.[9050-22]S5,[9050-22]S6,

[9050-35]S9Kampherbeek,BertJan[9049-39]S10Kanai,Hideki[9049-31]S8,[9049-31]S9,

[9049-78]SPS5,[9049-80]SPS5Kanakasabapathy,Sivananda[9054-20]S6Kandel,YudhishthirP.[9048-125]SPTue,

[9048-45]S10Kaneyama,Koji[9048-80]SPTue,[9051-24]

S10Kang,Ho-Kyu[9049-60]S14,[9050-57]S14,

[9052-8]S3

Kang,HyeongGon[9050-13]S3Kang,Kitaek[9050-52]S12Kang,Mankyu[9051-69]SPS3Kang,Pil-Soo[9053-16]S3Kang,Yongseok[9053-17]S4,[9053-20]S4,

[9053-25]S6Kang, young Seog[9050-26]S7,9052

ProgramCommittee,9052S6SessionChair,[9052-30]S10,[9052-30]S7

Kapasi,Sanjay[9052-12]S3,[9052-59]SPS1,[9052-7]S3,[9053-35]SPWed

Kapteyn,HenryC.[9050-46]S11Karanikas,ChristosF.[9051-43]S13Karssenberg,Jaap[9048-56]S12Karur-Shanmugam,Ramkumar[9050-59]S14,

[9050-97]SPWedKasa,Kentaro[9050-94]SPWedKasahara,Yusuke[9049-31]S8,[9049-31]S9,

[9049-78]SPS5,[9049-80]SPS5Kasama,Kunihiko[9048-38]S9Kasprowicz, Bryan S.9048Program

CommitteeKästner,Marcus[9049-11]S3,[9049-65]SPS3Katakamsetty,Ushasree[9053-39]SPWedKatayama,Kazuhiro[9048-103]SPTue,[9048-

44]S10Kato,Takeshi[9050-79]SPWed,[9051-39]S12Katsuumi,Hisakazu[9052-50]S12Kaufman,Michael[9051-26]S10Kawabata,Yuichi[9052-41]S10Kawada, Hiroki[9050-18]S4,[9050-53]S13Kawai,Yoshio9051ProgramCommittee,9051

S7SessionChairKawakami,Shinichiro[9049-56]S14,[9050-76]

SPWed,[9050-79]SPWed,[9051-22]S7,[9051-22]S8,[9053-27]S11,[9053-27]S13,[9053-27]S7

Kawamonzen,Yoshiaki[9049-31]S8,[9049-31]S9,[9049-78]SPS5,[9049-80]SPS5

Kawasuji,Yasufumi[9048-12]S4Kawata,Hiroaki[9052-17]S4Kazumi,Hideyuki[9050-19]S4Ke, Chih-Ming9050ProgramCommittee,

9050S11SessionChair,9050S14SessionChair,[9050-27]S7

Kearney,PatrickA.[9048-16]S5,[9048-19]S5,[9048-20]S6,[9048-59]S12

Kehagias,Nikolaos[9050-77]SPWedKempa,Alain[9048-58]S12Kennon,Jame[9048-55]S12,[9048-57]S12Kessler,Felipe[9048-69]SPTueKestner,Robert[9048-55]S12,[9048-57]S12Keszler,DouglasA.[9048-126]SPTue,[9051-3]

S2,[9051-47]S14Khaira,GurdamanSingh[9053-27]S11,[9053-

27]S13,[9053-27]S7Khamsepour,Babak[9050-100]SPWed,

[9050-50]S12Khare,Mukesh[9050-10]S3Khatri,Shayna[9048-120]SPTueKhlobystov,AndreiN.[9051-50]S14Khoh,Andrew[9053-33]SPWedKhopkar, yashdeep[9048-131]SPTueKhunsin,Worawut[9050-77]SPWedKhuran,Ranjan[9054-21]S6Khurana,Ranjan[9049-29]S7,[9049-29]S8Khursheed,Anjam[9048-128]SPTueKhusnatdinov,Niyaz[9049-35]S9Ki,HyunChul[9052-65]SPS3Kidwingira,Francoise[9049-41]S10Kief,MarkT.[9049-34]S9

Kihara,Naoko[9049-31]S8,[9049-31]S9,[9049-78]SPS5,[9049-80]SPS5

Kikuchi,Yoshiyuki[9054-16]S5Kikuchi,Yukiko[9048-103]SPTue,[9048-44]

S10Kikuta, Hisao[9052-17]S4Kim,Bongkeun[9049-48]S11,[9049-57]S14,

[9049-79]SPS5Kim,Byongkyeom[9050-99]SPWedKim,Byung-Roh[9050-108]SPWedKim, David H.[9052-87]SPS9Kim,Dong-Gyun[9051-82]SPS3Kim,Dongwan[9048-27]S7Kim,Doo-Gun[9052-65]SPS3Kim,EunSung[9049-60]S14Kim,Geunhak[9050-38]S10,[9050-38]S7Kim,GukJin[9048-81]SPTue,[9048-84]

SPTue,[9048-99]SPTueKim,HeeBom[9051-31]S11,[9051-69]SPS3Kim,Hwa-Sung[9050-112]SPWedKim,Hye-Jin[9048-99]SPTueKim,Hyun-Woo[9048-27]S7Kim,In-Seon[9048-81]SPTue,[9048-99]

SPTueKim,Insung[9048-27]S7Kim,Jae-Hyun[9051-82]SPS3Kim, Jaisoon[9050-99]SPWedKim,Jang-Sun[9052-30]S10,[9052-30]S7Kim,JeongSoo[9050-59]S14Kim,JiWon[9048-81]SPTueKim, JiHoon[9049-26]S7,[9049-26]S8,

[9050-23]S5,[9050-23]S6Kim,Jin-Soo[9050-118]SPWedKim,Jungchan[9050-58]S14Kim,Kyoungseon[9049-60]S14Kim,Min-Soo[9051-21]S7Kim,MyoungSoo[9048-26]S7,[9048-9]S3,

[9050-38]S10,[9050-38]S7Kim,Myoung-Soo[9050-118]SPWedKim, Ryoung-Han[9049-8]S2,[9050-29]S8,

[9050-95]SPWed,[9051-34]S11Kim,Sang-Hyun[9048-27]S7Kim,Sangwook[9052-8]S3Kim,SeoMin[9048-26]S7,[9048-9]S3Kim,Seokkyun[9050-38]S10,[9050-38]S7Kim,Seo-Min[9050-38]S10,[9050-38]S7Kim,SeonHoon[9052-65]SPS3Kim,Seonae[9051-69]SPS3Kim, Seong-Sue9048ProgramCommittee,

9048S13SessionChair,[9048-27]S7Kim,Shinyoung[9053-19]S4Kim,Sung-Il[9050-108]SPWedKim,Sung-Man[9050-108]SPWedKim,Sun-Nam[9048-27]S7Kim,TaeUn[9052-65]SPS3Kim,TaeWon[9054-9]S3Kim, yangjin[9050-63]SPWedKim,Yongdae[9048-97]SPTueKim,Yong-Ho[9050-108]SPWedKim,YoungHa[9052-30]S10,[9052-30]S7Kim,YoungKi[9050-65]SPWed,[9050-90]

SPWedKim,Young-Chang[9052-8]S3Kim,Young-Hoon[9052-30]S10,[9052-30]S7Kim,Young-Sik[9050-118]SPWedKimura,Tooru[9048-48]S11,[9051-41]S13,

[9051-64]SPS2King,DavidM.P.[9049-23]S6Kini,Sumanth[9050-67]SPWedKinoshita,Hiroo[9048-103]SPTue,[9048-124]

SPTue,[9048-44]S10,[9048-76]SPTueKinosihta,Hiroo[9048-78]SPTue

Kirkpatrick,Sean[9048-17]S5Kishimoto,Shuji[9049-99]SPS1Kita,Naonori[9052-9]S3Kitano,Takahiro[9049-72]SPS5,[9050-76]

SPWed,[9050-79]SPWed,[9051-22]S7,[9051-22]S8,[9053-27]S11,[9053-27]S13,[9053-27]S7

Kiyotomi,Akiko[9050-73]SPWedKlaus,DavidP.[9054-10]S3Klein,JanJasper[9051-56]SPS1,[9051-70]

SPS3Kley,Ernst-Bernhard[9051-12]S6Kline,R.Joseph[9049-20]S5,[9049-20]S6,

[9050-3]S2,[9050-33]S9,[9050-35]S9,[9050-9]S3

Klostermann,Ulrich[9052-11]S3Knobloch,Jens[9048-10]S4Knoll,ArminW.[9049-65]SPS3,[9049-9]S3Ko,Akiteru[9048-70]SPTue,[9049-8]S2,

[9054-27]SPTuesKo,Ki-Ho[9048-84]SPTueKo,Wooseok[9050-74]SPWedKo,Yeong-Uk[9050-14]S3Kobayashi, Hideo[9049-99]SPS1Kobayashi,Katsutoshi[9049-31]S8,[9049-31]

S9,[9049-78]SPS5,[9049-80]SPS5Kobayashi,Sachiko[9049-36]S9,9052

ProgramCommittee,9052S5SessionChair,[9053-15]S3

Kocsis,MichaelK.[9051-3]S2Kodama,Chikaaki[9053-11]S2,[9053-29]S8,

[9053-31]S8Kodama,Takeshi[9048-12]S4Kodera,Katsuyoshi[9049-31]S8,[9049-31]S9,

[9049-78]SPS5,[9049-80]SPS5Koh,HuiPeng[9050-97]SPWedKoh,KarKit[9052-78]SPS6Kohira,Yukihide[9053-29]S8,[9053-31]S8Koike,Fumihiro[9048-74]SPTueKojima,Akira[9049-43]S10Kojima,Shinichi[9049-41]S10Kok,Haico[9052-53]S12Kolb,Tristan[9051-15]S6Komura,Kazuhiko[9051-41]S13Komuro,Hitoshi[9052-31]S8Komuro,Yoshitaka[9048-50]S11Konduparthi,Deepasree[9050-11]S3Kong,Dong-ho[9052-74]SPS5Konno,Mitsuru[9050-53]S13Kono,Takuya[9049-17]S4Koo,Sunyoung[9048-9]S3Kool,Ron[9048-54]S12Koret,Roy[9050-8]S2Koshelev,Alexander[9049-38]S9Koshida,Nobuyoshi[9049-43]S10Koshihara, Shunsuke9050Program

Committee,9050S4SessionChair,9050S8SessionChair,9051S4SessionChair,[9052-31]S8

Koster,NorbertB.[9048-109]SPTue,[9050-45]S11

Kotani,Toshiya[9053-11]S2,[9053-15]S3Kozawa,Takahiro[9048-5]S3,[9048-50]S11,

[9048-87]SPTue,[9051-58]SPS1,[9051-62]SPS2,[9051-68]SPS2,[9051-74]SPS3,[9051-81]SPS3,[9051-83]SPS3

Kramar,JohnA.[9050-13]S3Kramer,Geerten[9050-45]S11Krasnoperova,Azalia[9052-46]S11,[9052-46]

S13,[9052-46]S7Krause,RainerAndreas[9052-18]S5,[9052-

37]S5,[9052-37]S9

Page 65: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 63

Index of Authors, Chairs, and Committee MembersKriese,MichaelD.[9048-120]SPTue,[9048-53]

S11Kris,Roman[9050-11]S3Krivoshapkina,Yana[9049-11]S3Kropinov,Sergey[9052-75]SPS6Kruijswijk,Stefan[9050-107]S12,[9050-51]

S12Kruit,Pieter[9049-21]S6,[9050-20]S5Krumrey,Michael[9050-36]S9Krysak,MarieE.[9048-108]SPTue,[9048-4]

S2Ku,Jahum[9052-36]S8,[9052-73]SPS5Ku,Tzu-Kun[9051-32]S11,[9051-51]SPS1,

[9052-64]SPS2Ku, yi-Sha9050ProgramCommitteeKubis,Michael[9048-56]S12,[9050-105]

SPWedKudo,Hiroto[9051-74]SPS3Kudo,Shintaro[9052-9]S3Kudo,Takanori[9051-44]S13,[9051-72]SPS3Kuerz,Peter[9048-54]S12Kulkarni,Subodh[9048-63]SPTueKumar,Kaushik[9054-27]SPTuesKumazaki,Takahito[9052-50]S12,[9052-88]

SPS10Kunisch,Clemens[9052-92]SPS10Kunnen,Eddy[9054-14]S4Kuo,Carmen[9050-81]SPWed,[9050-82]

SPWed,[9050-83]SPWedKuo,DavidS.[9049-51]S12,[9049-6]S2Kuo,H.M.[9050-117]SPWedKuo,Tung-Chang[9050-66]SPWedKüpper,Felix[9048-38]S9Kurada,Satya[9050-67]SPWedKurataka,Nobuo[9049-51]S12Kurihara,Masaaki[9049-37]S9Kurosu,Akihiko[9052-50]S12,[9052-88]

SPS10Kurth,Steffen[9049-52]S12Kurtuldu,Hüseyin[9048-24]S6Kushner,MarkJ.[9054-1]S1Kutcher,Lawrence[9049-23]S6Kuwahara,Yuhei[9051-7]S3Kwan,Joe[9053-14]S3Kwon,HyukJoo[9048-130]SPTueKwon,Su-Jee[9051-82]SPS3Kwon,Yongjin[9053-20]S4Kwon,Yongjun[9052-38]S5,[9052-38]S9Kye,Jongwook9052ProgramCommittee,

9052S9SessionChair,9053S5SessionChair

LLaFontaine,Bruno9048ProgramCommittee,

[9048-11]S4,[9048-113]SPTueLaachi,Nabil[9049-48]S11,[9049-57]S14,

[9049-73]SPS5,[9049-79]SPS5LaBeaume,Paul[9048-6]S3Labelle,Cathy9054ProgramCommittee,9054

S1SessionChairLaBrake,DwayneL.[9049-35]S9Lada,Tom[9054-25]SPTuesLaenens,Bart[9049-59]S14Lafferty,NealV.[9052-46]S11,[9052-46]S13,

[9052-46]S7Lai,Kafai9052ConferenceChair,9052S1

SessionChair,9052SPANELSessionChair,[9052-46]S11,[9052-46]S13,[9052-46]S7,SC1132

Lai,Ya-Chieh[9053-2]S1,[9053-3]S1,[9053-5]S1

Lakhawat,Rahul[9050-67]SPWedLalovic,Ivan[9052-54]SPS1,[9052-82]SPS7Lam, Edmund y.[9052-27]S6Lam, Michael[9052-42]S10Lamm,Mario[9054-24]SPTuesLan,Andy[9050-107]S12Lan,Song[9052-12]S3Lange,SteveR.[9049-86]SPS5Largent,Axel[9050-6]S2,[9050-92]SPWedLaRose,JoshuaD.[9054-17]S5Larson,CarlE.[9051-41]S13Laske,Frank[9050-60]S14Lattard,Ludovic[9049-39]S10,[9050-85]

SPWedLatypov,AzatM.[9049-54]S11,[9049-54]S13,

[9049-54]S7,[9049-7]S2,[9049-75]SPS5Laursen,Thomas[9048-20]S6Lavigne,Erin[9050-64]SPWedLawson,RichardA.[9049-28]S7,[9049-28]S8,

[9049-47]S11,[9049-87]SPS5,[9049-93]SPS5,[9051-13]S6,[9051-25]S10

Lazzarino,Frederic[9054-14]S4LeDenmat,Jean-Christophe[9052-12]S3,

[9052-77]SPS6Lebedeva,MariaA.[9051-50]S14Lee,Baejin[9050-72]SPWedLee,BrianChi-Chuang[9048-42]S10Lee,Byoung-Ho9050ProgramCommitteeLee,Byoung-Hoon[9048-26]S7,[9050-38]

S10,[9050-38]S7Lee,Byunghoon[9051-31]S11Lee,ChangHo[9050-42]S11Lee,Changhwan[9050-121]S8Lee,Chao-Te[9052-63]SPS2Lee,Chien-Hsien[9050-61]SPWedLee,ChristopherN.[9054-21]S6Lee,Dohwa[9050-84]SPWedLee,Haiwon[9051-69]SPS3Lee,Hsuan-Ping[9049-85]SPS5Lee,Hyun[9050-72]SPWedLee,HyungRae[9051-82]SPS3Lee,Hyungkun[9049-76]SPS5Lee,Inhwan[9048-26]S7Lee,JaeUk[9048-68]SPTueLee,Jae-Woo[9051-82]SPS3Lee,JeongIn[9049-98]SPS5Lee,Jeongjin[9050-57]S14Lee,Jisoo[9050-99]SPWedLee,Jong-Chan[9051-82]SPS3Lee,JoonJe[9051-82]SPS3Lee,KimY.[9049-51]S12,[9049-6]S2Lee,KyungM.[9050-70]SPWedLee,Kyupil[9050-72]SPWedLee,Mindy[9052-87]SPS9Lee,Myungjun[9050-29]S8Lee,Nae-Eung9054ProgramCommittee,

9054S4SessionChairLee,Sangkil[9050-72]SPWed,[9050-74]

SPWedLee,Sangsul[9048-36]S8Lee,SeungYoon[9050-57]S14Lee,Seungil[9050-99]SPWedLee,Sukjoo[9052-36]S8,[9052-73]SPS5,

[9053-16]S3Lee,Sung-Gyu[9048-102]SPTueLee,Suyoung[9048-18]S5Lee,Taehyeong[9050-58]S14Lee,Yu-Tsung[9049-19]S5,[9049-19]S6,

[9049-4]S2Leenders,Martijn[9052-53]S12Leeson,MichaelJ.[9048-4]S2,[9051-39]S12Le-Gratiet,Bertrand[9054-5]S2,[9054-7]S2

Lehr,Dennis[9051-12]S6Lei,J.J.[9052-22]S5Lei,Min[9052-89]SPS10Leng,Yuxin[9048-129]SPTueLenk,Steve[9049-11]S3Lepage,Guy[9052-14]S4Leray,PhilippeJ.[9050-39]S10,[9050-39]S7,

[9050-43]S11,[9050-51]S12,[9050-56]S14

Lercel,MichaelJ.9048ProgramCommittee,9048S4SessionChair

Levi,Shimon[9050-7]S2,[9051-10]S5Levinson, Harry J.SymposiumChairLevinson, Zac[9048-93]SPTue,[9048-94]

SPTue,[9052-60]SPS1Li,Chen[9050-61]SPWed,[9050-97]SPWedLi,Chunlong[9054-12]S3Li,Dejian[9050-62]SPWedLi,Jia-Han[9050-113]SPWedLi,Jie[9050-100]SPWed,[9050-50]S12Li,Jin[9051-44]S13Li,Junfeng[9054-12]S3Li,Li[9048-47]S11,[9051-61]SPS2Li,Mingqi[9049-29]S7,[9049-29]S8,[9051-

37]S12Li,Ruxin[9048-129]SPTueLi,Shayu[9048-101]SPTueLi,Sikun[9052-55]SPS1,[9052-57]SPS1Li,Sikun[9048-123]SPTueLi,Tianhui[9052-83]SPS7Li,Xiao[9049-95]SPS5Li,Xiaoping[9052-89]SPS10Li,Yang-Liang[9050-75]SPWedLi, yanqiu[9048-86]SPTue,[9052-28]S6Li,Yi[9048-100]SPTueLi,Ying[9050-47]S11Li,Ziyou[9051-50]S14Liang,Frida[9050-107]S12Liang,Ted9048ProgramCommittee,9048S8

SessionChair,[9048-21]S6Liao,Wenkui[9050-62]SPWedLibera,JosephA.[9051-14]S6Liddle,JamesA.9049ProgramCommittee,

9049S8SessionChair,[9049-2]S1,9051S9SessionChair

Liebmann,LarsW.9053ProgramCommittee,9053S3SessionChair,[9053-8]S2

Lifschitz,Sivan[9053-34]SPWedLight,ScottL.[9049-29]S7,[9049-29]S8,

[9054-21]S6Lill,ThorstenB.[9054-9]S3Lille,Jeffery[9049-18]S5,[9049-18]S6,[9049-

44]S11,[9049-5]S2,[9054-22]S6Lim,Chang-Moon9048ProgramCommittee,

9048S12SessionChair,[9048-26]S7,[9048-9]S3,[9050-118]SPWed,[9050-38]S10,[9050-38]S7

Lim,Dohyuk[9051-82]SPS3Lim,Jaewon[9050-99]SPWedLim,MiJung[9050-38]S10,[9050-38]S7Lim,Sanghee[9050-99]SPWedLim,SeungIl[9050-99]SPWedLim,VictorSengKeong[9050-67]SPWedLima,Jorge[9048-58]S12Lin, Burn J.[9049-24]S6,[9049-66]SPS4Lin, Guanyang[9049-19]S5,[9049-19]S6,

[9049-26]S7,[9049-26]S8,[9050-23]S5,[9050-23]S6,[9051-85]SPS3

Lin,Hsiao-Chiang[9050-75]SPWedLin,Peter[9050-67]SPWed

Lin,Qinghuang9051ProgramCommittee,9051S10SessionChair,9054ConferenceCoChair,SC992

Lin, Shy-Jay9049ProgramCommittee,9049S14SessionChair,[9049-24]S6,[9049-42]S10,[9049-66]SPS4

Lin,Yi-Shih[9052-83]SPS7Ling,Li[9050-62]SPWedLingkuan,Meng[9054-12]S3Lippincott,George[9052-22]S5Litt, Lloyd C.[9052-75]SPS6Liu,Anwei[9052-38]S5,[9052-38]S9Liu,Chen-Yu[9051-73]SPS3,[9051-88]SPS4Liu, Chi-Chun[9049-8]S2,[9052-46]S11,

[9052-46]S13,[9052-46]S7Liu,Chien-Hung[9050-75]SPWedLiu,Chun-Hung[9049-85]SPS5,[9050-113]

SPWedLiu,Fei[9048-86]SPTueLiu,H.H.[9050-117]SPWedLiu,Hua-Yu[9048-25]S7Liu,Juan[9051-80]SPS3Liu,Lihui[9052-28]S6Liu,Pei-Yi[9049-24]S6Liu,Ru-Gun[9052-33]S8Liu, Shiyuan[9050-89]SPWed,[9052-86]

SPS9Liu,Weijun[9049-35]S9Liu,Xiaofeng[9048-25]S7,[9053-16]S3Liu,Xiaolei[9048-123]SPTueLiu,Yadong[9049-95]SPS5Liu,Yang[9048-58]S12Liu,Zhi[9051-45]S13Liu,Zhuan[9050-100]SPWed,[9050-50]S12Llanos,PaulA.[9050-5]S2Lo,Fred[9052-71]SPS4Lo,KuanHsin[9049-96]SPS5Lobert,JürgenM.[9050-81]SPWed,[9050-82]

SPWed,[9050-83]SPWedLocorotondo,Sabrina[9052-14]S4Loeschner, Hans9049ProgramCommittee,

9049S10SessionChairLofano,ElizabethM.[9049-45]S11,[9051-85]

SPS3Lok,Sjoerd[9048-54]S12Longsine,Whitney[9049-35]S9Lopez, Andrew S.[9050-70]SPWedLorusso,GianFrancesco[9048-28]S7Louis, Eric[9048-15]S4Lowisch,Martin[9048-54]S12Lu,C.W.[9049-66]SPS4Lu,Cheng-Kuan[9050-14]S3Lu,Chih-Yuan[9052-71]SPS4Lu,Xiaoming[9049-35]S9Luan,Lan[9052-76]SPS6Lucas,Kevin[9052-11]S3,[9052-87]SPS9Lucatorto,ThomasB.[9048-120]SPTue,

[9048-43]S10,[9048-53]S11Ludovice,PeterJ.[9049-28]S7,[9049-28]S8,

[9049-47]S11,[9049-87]SPS5,[9049-93]SPS5

Lugani,Gurpreet[9049-29]S7,[9049-29]S8,[9054-21]S6

Lukas,Kevin[9053-9]S2Luo,Kang[9049-35]S9Luo,Tony[9048-128]SPTueLutich,Andrey[9052-79]SPS6Lv,Wen[9052-86]SPS9

MMa,Ko-wei[9053-6]S1Ma,Lantao[9050-62]SPWedMa,WonKwang[9050-118]SPWedMa, Xu[9052-28]S6Ma,Yuangsheng[9053-27]S11,[9053-27]S13,

[9053-27]S7Maas,DiederikJ.[9048-107]SPTue,[9050-

106]SPWedMacFarlane,JosephJ.[9048-63]SPTueMack, Chris A.[9050-15]S4,SC116Madhavan,Sriram[9053-32]SPWedMadkour,Kareem[9053-14]S3,[9053-38]

SPWedMaeda,Shimon[9049-55]S11,[9049-55]S13,

[9049-55]S7,[9052-41]S10Maehashi,Takaya[9049-32]S8,[9049-32]S9Magruder,Adam[9048-120]SPTueMaher,MichaelJ.[9051-38]S12Mailfert, Julien[9048-8]S3,[9050-30]S8,

[9052-11]S3,[9052-25]S6,[9053-9]S2Maillot, Philippe[9050-68]SPWedMakino,Katsushi[9052-9]S3Makinoshima,Takashi[9051-77]SPS3Malik,Shobhit[9053-32]SPWedMallik, Arindam[9048-62]S13Maloney,Chris[9048-30]S7Maloney,Chris[9048-28]S7,[9052-60]SPS1Maltabes,JohnG.9049ProgramCommittee,

9049S4SessionChairMan,Naoki[9051-64]SPS2Man,XingKun[9049-73]SPS5Mangat, Pawitter J.[9048-121]SPTue,[9048-

61]S13Mani,Antonio[9050-109]SPWedMann,Klaus[9048-39]S9Mansfield,Scott[9052-42]S10Mao,Ming[9054-14]S4Marchetti,LouisA.[9048-55]S12,[9048-57]

S12Marinescu,Virgil[9049-63]SPS3Markle, Dave[9052-6]S2Marnell,Miles[9051-4]S2,[9051-48]S14MartínezRodrigo,Javier[9049-50]S12Martinez,Eugenie[9054-15]S4Marun,MaryanaEscalante[9050-118]SPWedMarusic,Jean-Christophe[9049-100]SPS5,

[9049-39]S10Maruyama,Ken[9048-48]S11Masaki,Kazuo[9052-9]S3Mashita,Hiromitsu[9053-15]S3Masnavi,Majid[9048-77]SPTue,[9048-79]

SPTue,[9048-82]SPTue,[9050-80]SPWedMason, Mark E.9053ProgramCommittee,

9053S4SessionChairMas-Torrent,Marta[9049-50]S12Masuda,Hiroyuki[9052-88]SPS10Matsui,Miyako[9054-18]S5Matsuki,Kazuto[9049-17]S4,[9050-55]S13Matsumoto,Jun[9050-12]S3Matsunaga,Koichi[9051-7]S3Matsunaga,Takashi[9052-50]S12,[9052-88]

SPS10Matsushita,Shohei[9052-68]SPS4Matsuyama,Tomoyuki[9052-10]S3,[9052-9]

S3Matsuzaki,Kazuyoshi[9049-72]SPS5Matsuzawa,Kensuke[9048-108]SPTueMatsuzawa,NobuyukiN.9051Program

CommitteeMatthias,Ruhm[9053-39]SPWed

Page 66: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

64 SPIEAdvancedLithography2014 · www.spie.org/al

Matyi,RichardJ.[9050-35]S9Maurer, Wilhelm9052ProgramCommittee,

9052S10SessionChairMauricio,Juan[9053-36]S5,[9053-36]S9McClelland,Andrew[9049-23]S6McCord,MarkA.[9049-41]S10,[9049-42]S10,

[9049-66]SPS4McGarvey,SteveA.[9050-120]SPWedMcGowan,Sarah[9052-59]SPS1,[9053-12]

S3,[9053-35]SPWedMcIntyre,GregoryR.[9048-116]SPTue,[9048-

59]S12McKenzie,DouglasS.[9051-72]SPS3McLaren,MatthewG.[9052-53]S12Mebiene-Engohang,Armel-Petit[9049-100]

SPS5,[9049-39]S10Medvedev,ViacheslavV.[9048-90]SPTueMehta,SohanSingh[9050-61]SPWedMeijer,Henk[9048-58]S12Meiling,Hans[9048-11]S4,[9048-113]SPTue,

[9048-54]S12,[9048-58]S12Meinig,Marco[9049-52]S12Meir,Roi[9050-11]S3Menezes,Marlon[9049-15]S4Mengel,Markus[9048-57]S12Menon,Rajesh[9049-64]SPS3Menon,VinayanC.[9052-29]S10,[9052-29]S7Mercha,Abdelkarim[9048-62]S13Mesch,RyanA.[9048-108]SPTueMeseck,Atoosa[9048-10]S4Metz,AndrewW.[9048-70]SPTueMeunier,Stéfanie[9049-40]S10Mey,Tobias[9048-39]S9Meyers,Sheldon[9050-61]SPWedMeyers,StephenT.[9051-3]S2Michallon,Philippe[9049-100]SPS5Michel,Jean-Christophe[9052-12]S3,[9052-

77]SPS6Midoh,Yoshihiro[9049-68]SPS4Mignot,Sylvie[9049-8]S2Mikami,Koji[9052-39]S5,[9052-39]S9Milenin,AlexeyP.[9052-14]S4Milléquant,Matthieu[9049-40]S10Miller,CharlesM.[9050-82]SPWed,[9050-83]

SPWedMiller,MarshalA.[9052-31]S8Miller,MichaelL.[9049-15]S4Milligan,Eric[9050-70]SPWedMillward,DanB.[9049-29]S7,[9049-29]S8,

[9054-21]S6Mimotogi,Shoji[9049-36]S9,[9049-55]S11,

[9049-55]S13,[9049-55]S7,[9052-41]S10Minegishi,Shinya[9049-31]S8,[9049-31]S9,

[9049-78]SPS5,[9049-80]SPS5Minegishi,Yuji[9052-94]SPS10Ming,Bin[9050-9]S3Minns,Allan[9050-5]S2Mirai,Anazawa[9050-93]SPWedMisaka,Akio[9052-17]S4Misumi,Motoki[9051-44]S13Mitsuhashi,Hisashi[9049-61]SPS1Mitsuishi,Mamoru[9050-63]SPWedMiura,Seiya[9052-16]S4Miyagi,Ken[9049-31]S8,[9049-31]S9,[9049-

78]SPS5,[9049-80]SPS5Miyagi,Tadashi[9048-80]SPTue,[9051-24]

S10Miyagi,Takemasa[9050-73]SPWedMiyaguchi,Hiroshi[9049-43]S10Miyai,Hiroki[9048-71]SPTueMiyakawa,RyanH.[9048-117]SPTue,[9048-

118]SPTue,[9048-125]SPTue,[9048-35]S8

Miyake,Akira[9049-100]SPS5Miyamoto,Yoshihiro[9051-79]SPS3Miyazaki,Shinji[9049-26]S7,[9049-26]S8Miyazaki,Shinobu[9050-73]SPWedMizoguchi,Hakaru[9048-12]S4,[9052-50]

S12,[9052-88]SPS10Mizzi,Aurélie[9050-68]SPWedMochi,Iacopo[9048-33]S8Mochida,Kenji[9051-64]SPS2Mohanty,Nihar[9049-8]S2,[9051-35]S12,

[9054-27]SPTuesMohler,Carol[9051-26]S10Mojarad,NassireddinMohammad[9048-3]S2MollEcheto,Francesc[9053-36]S5,[9053-36]

S9Montgomery,Cecilia[9048-70]SPTueMoon,Se-Gun[9051-31]S11Moon,YoungJoon[9051-34]S11Morgan, Paul[9048-110]SPTue,[9050-47]

S11Morimoto, Hiroaki9048ProgramCommitteeMorimoto,Michikazu[9054-18]S5Morita,Akihiko[9051-53]SPS1Morita,Hiroshi[9049-97]SPS5Morita,Masamichi[9049-61]SPS1Morita,Seiji[9049-53]S12,[9050-44]S11Morita,Seiji[9049-55]S11,[9049-55]S13,

[9049-55]S7Moriya,Masato[9052-88]SPS10Morris,MichaelA.[9050-77]SPWed,[9051-18]

S7,[9051-36]S12,[9051-66]SPS2Morse,Lauren[9048-24]S6Moulton,TylerM.[9050-81]SPWed,[9050-82]

SPWedMouraille,Orion[9052-7]S3Mowll,Tyler[9048-19]S5Moyroud,Clement[9053-18]S4Mraz,Aleister[9050-67]SPWedMueller,MichaelM.M.[9048-79]SPTue,

[9048-82]SPTueMueller,Ulrich[9048-120]SPTueMulckhuyse,Wouter[9050-114]SPWedMulkens,Jan[9048-56]S12,[9050-105]

SPWedMullen,Salem[9051-87]SPS4Müller,Marcus[9052-48]S11,[9052-48]S13,

[9052-48]S7Müller,Matthias[9048-39]S9Müller,Renate[9048-85]SPTueMurachi,Tetsunori[9048-71]SPTueMurakami,Takeshi[9048-34]S8Murakawa,Tsutomu[9050-12]S3Muramatsu,Makoto[9049-56]S14,[9049-72]

SPS5,[9050-76]SPWed,[9050-79]SPWed,[9051-22]S7,[9051-22]S8,[9053-27]S11,[9053-27]S13,[9053-27]S7

Murayama,Masayuki[9052-9]S3Murdoch,Gayle[9052-14]S4Murnane,MargaretM.[9050-46]S11Muroyama,Masanori[9049-43]S10Murray,Kirk[9049-42]S10Murray,Thomas[9048-23]S6Muthee,Martin[9049-13]S4Myers,Alan[9054-3]S1Myers,DavidW.[9048-11]S4,[9048-113]

SPTue

NNafus,Kathleen[9048-119]SPTue,[9049-19]

S5,[9049-19]S6,[9049-56]S14,[9051-22]S7,[9051-22]S8,[9051-7]S3

Nagahara, Seiji[9050-76]SPWed,[9050-79]SPWed,[9051-22]S7,[9051-22]S8,[9053-27]S11,[9053-27]S13,[9053-27]S7

Nagahara,Tatsuro[9051-79]SPS3Nagai,Tomoki[9051-41]S13Nagano,Akihisa[9048-38]S9Nagashima,Kuniharu[9050-115]SPWedNagaswami,VenkatR.[9049-19]S5,[9049-19]

S6,[9049-4]S2,[9049-86]SPS5,[9050-101]SPWed,[9050-76]SPWed

Naka,Yoshihiro[9049-55]S11,[9049-55]S13,[9049-55]S7

Nakajima,Fumiharu[9053-11]S2Nakamae, Koji[9049-68]SPS4Nakamura,Hirotaka[9051-6]S2Nakamura,Kiyotada[9048-38]S9Nakamura,Shinichi[9051-64]SPS2Nakamura,Takayuki[9050-12]S3Nakano,Takeo[9051-22]S7,[9051-22]S8Nakarai,Hiroaki[9048-12]S4Nakashima,Toshiharu[9052-9]S3Nakasugi,Shigemasa[9051-44]S13Nakasugi,Tetsuro[9049-17]S4Nakayama,Koichi[9053-11]S2Nakayama,Ryo[9052-21]S5Nakayama,Takahiro[9049-100]SPS5Nakayama,Takahiro[9048-72]SPTueNakono,Takeo[9049-72]SPS5Nalven,Alison[9050-111]SPWedNam,Jaewoo[9049-60]S14Nam,Suk-woo[9049-89]SPS5Namgung,Kee[9050-99]SPWedNarayanaSamy,Aravind[9051-9]S5Nation,Benjamin[9049-28]S7,[9049-28]S8,

[9049-47]S11,[9049-93]SPS5Natori,Sakurako[9051-30]S11,[9051-33]S11,

[9051-52]SPS1,[9052-26]S6Naulleau, Patrick P.9048Program

Committee,9048S7SessionChair,[9048-104]SPTue,[9048-114]SPTue,[9048-115]SPTue,[9048-117]SPTue,[9048-125]SPTue,[9048-35]S8,[9048-52]S11,[9048-55]S12,[9048-57]S12,SC888

Navarro,Christophe[9049-25]S7,[9049-25]S8,[9049-33]S8,[9049-33]S9,[9049-58]S14,[9049-77]SPS5,[9049-81]SPS5,[9054-15]S4

Nealey,PaulF.[9049-19]S5,[9049-19]S6,[9049-30]S8,[9049-30]S9,[9049-46]S11,[9049-5]S2,[9049-95]SPS5,[9049-98]SPS5,[9050-34]S9,[9051-1]S1,[9051-19]S7,SC1067

Nees,Dieter[9049-16]S4Neisser,Mark[9048-70]SPTue,[9051-48]S14,

[9051-61]SPS2,[9051-86]SPS3,[9052-5]S2

Neuber,Christian[9049-65]SPS3,[9051-15]S6

Neubert,Eric[9054-24]SPTuesNeureuther, Andrew R.[9048-104]SPTue,

[9048-114]SPTue,[9048-115]SPTue,[9048-35]S8,[9048-52]S11,[9052-49]S12,9053ProgramCommittee,9053S8SessionChair

Newbury,JosephS.[9054-10]S3Ng, Edward[9051-87]SPS4Ngai,ChristopherS.9048ProgramCommittee,

9048S11SessionChair,[9050-111]SPWedNguyen,David[9052-15]S4Nicolet,Célia[9049-25]S7,[9049-25]S8,

[9049-33]S8,[9049-33]S9,[9049-58]S14,[9049-77]SPS5,[9049-81]SPS5

Nieder,Johannes[9052-92]SPS10Nieradka,Konrad[9049-11]S3,[9049-65]

SPS3Niesing,Henk[9050-107]S12,[9050-51]S12Niimi,Gota[9048-38]S9Nikolsky,Peter[9050-118]SPWedNing,GuoXiang[9052-75]SPS6Niroomand,Ardavan[9048-28]S7,[9054-21]

S6Nishi,Takanori[9051-22]S7,[9051-22]S8Nishibe,Senichi[9050-101]SPWedNishihara,Katsunobu[9048-74]SPTueNishikawa,Takeshi[9048-74]SPTueNishimae,Jun-ichi[9048-13]S4Nishinaga,Hisashi[9052-10]S3Nishino,Hitoshi[9049-43]S10Nishita,Tokio[9048-88]SPTueNoh,JooHyon[9048-21]S6Nojima,Shigeki[9053-11]S2,[9053-29]S8,

[9053-31]S8Nouri,Keyvan[9048-55]S12Nowak,KrzysztofM.[9048-12]S4

OOber,ChristopherK.[9048-47]S11,[9049-84]

SPS5,[9051-15]S6,[9051-16]S6,[9051-61]SPS2

Oberschmidt,James[9052-18]S5O’Brien,Kevin[9052-93]SPS10Ochiai,Hideyuki[9052-88]SPS10Ochiai,Yumi[9051-77]SPS3Ocola,LeonidasE.[9048-51]S11,[9048-95]

SPTueOehrlein,GottliebS.9054ConferenceChairOgata,Taro[9052-10]S3,[9052-9]S3Oh,Chang-Il[9048-7]S3Oh,Hye-Keun[9048-64]SPTue,[9048-81]

SPTue,[9048-84]SPTue,[9048-99]SPTueOh,SeungHwa[9050-57]S14Oh,Sunghyun[9048-97]SPTueOhashi,Takeyoshi[9050-18]S4Ohba,Masanori[9052-52]S12Ohmori,Katsumi[9048-50]S11,[9049-32]S8,

[9049-32]S9Ohnishi,Ryuji[9048-88]SPTue,[9051-42]S13Ohta,Takeshi[9048-12]S4,[9052-50]S12,

[9052-88]SPS10Ohyi,Hideyuki[9049-43]S10Ojima,Tomoko[9049-17]S4Oka,Toshitaka[9051-6]S2Okada,Soichiro[9051-22]S7,[9051-22]S8Okada,Yu[9051-77]SPS3Okai,Nobuhiro[9050-64]SPWed,[9052-31]S8Okamoto,Kazumasa[9051-62]SPS2,[9051-

68]SPS2Okazaki,Masahiro[9048-108]SPTueOkazaki, Shinji9048ProgramCommittee,

9048S1SessionChair,[9048-12]S4Okitou,Haruki[9050-53]S13Okoroanyanwu, Uzodinma9048Program

Committee,9048S3SessionChair,9051S3SessionChair,SC1099

Olah,Mike[9048-108]SPTueOleksak,Richard[9048-126]SPTue,[9051-47]

S14Olivera,Dax[9048-110]SPTueOllier,Emmanuel[9050-87]SPWedOlynick,DeidreL.[9049-38]S9Omura,Mitsuhiro[9054-8]S2Ong,Patrick[9052-14]S4Ongayi,Owendi[9048-6]S3

Ono,Kazunori[9051-29]S11,[9051-76]SPS3O’Rourke,VrianE.[9051-6]S2Osaki,Atsushi[9049-68]SPS4Osborne,Jason[9050-70]SPWedOshima,Akihiro[9048-106]S13,[9051-6]S2Oshima,Nagayasu[9051-6]S2Osorio,Carmen[9050-11]S3,[9050-55]S13,

[9050-8]S2Ota,Shigeru[9050-73]SPWedOtón,Francisco[9049-50]S12Otter,Marian[9050-118]SPWedOuyang,ChristineY.[9051-61]SPS2Owa, Soichi9052ProgramCommittee,9052

S12SessionChair,[9052-23]S6Owe-Yang,Dah-Chung9051Program

Committee,9051S10SessionChairOyama,Kenichi[9051-30]S11,[9051-33]S11,

[9051-52]SPS1,[9052-21]S5,[9052-23]S6,[9052-26]S6

Ozawa,Mariko[9051-22]S7,[9051-22]S8Ozlem,Melih[9052-46]S11,[9052-46]S13,

[9052-46]S7

PPadmanaban, Munirathna[9051-44]S13,

[9051-72]SPS3,[9051-87]SPS4Paik,WooHyun[9053-17]S4,[9053-20]S4,

[9053-25]S6Pain,Laurent9049ProgramCommittee,9049

S4SessionChair,[9049-100]SPS5,[9049-39]S10,[9050-85]SPWed,[9054-26]S2

Pal,Shyam[9050-61]SPWedPalmer,RichardE.[9051-46]S14,[9051-50]

S14,[9054-25]SPTuesPan, David Z.9053ProgramCommittee,9053

S6SessionChair,[9053-13]S3,[9053-7]S2Pan,Lihong[9050-62]SPWedPandey,Taksh[9052-59]SPS1,[9053-35]

SPWedPanning,EricM.9048ConferenceCoChairParacha,Shazad[9050-112]SPWedParameswaran,Pradeep[9051-71]SPS3Paraschiv,Vasile[9054-6]S2Parchamy,Homaira[9048-77]SPTue,[9048-

79]SPTue,[9048-82]SPTue,[9050-80]SPWed

Pargon,Erwine9054ProgramCommittee,9054S3SessionChair,[9054-23]S6,[9054-26]S2,[9054-5]S2

Park,Chang-Min[9048-27]S7Park,Chan-Ha[9050-58]S14,[9053-19]S4Park,Cheolhong[9048-27]S7Park,Chul-Hong9053ProgramCommitteePark,Jong-Keun[9049-29]S7,[9049-29]S8,

[9051-37]S12Park,Joon-Soo[9049-89]SPS5Park,Jun-Taek[9048-9]S3Park,Ki-Yeop[9050-108]SPWedPark,KyeongDong[9050-26]S7,[9050-86]

SPWedPark,Seongkyeong[9053-17]S4Park,Sung-Ki[9048-26]S7,[9050-118]

SPWed,[9050-38]S10,[9050-38]S7Park,Sung-Woon[9053-16]S3Park,Tony[9050-26]S7,[9050-86]SPWedParnell,Doni[9049-19]S5,[9049-19]S6,

[9049-56]S14,[9049-88]SPS5,[9051-21]S7,[9051-22]S7,[9051-22]S8,[9051-7]S3

Partlow,MatthewJ.[9048-127]SPTuePassarelli,James[9051-86]SPS3

Index of Authors, Chairs, and Committee MembersBold=SPIEMember

Page 67: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 65

Patel,KanaiyalalC.[9049-18]S5,[9049-18]S6,[9049-44]S11,[9049-5]S2

Patel,KanayalalC.[9054-22]S6Pathak,Piyush[9053-21]S5,[9053-21]S9Pathak,Shibnath[9052-14]S4Patil,Abhijit[9051-60]SPS2Patra,Michael[9048-10]S4Paul,Abhijeet[9050-10]S3,[9050-35]S9Paul,PhilipC.[9049-9]S3Paunescu,Margareta[9051-85]SPS3Pavel,Eugen[9049-63]SPS3Pawlowski, Georg[9051-44]S13,[9051-79]

SPS3Peak,Jonathan[9050-14]S3Peeters,Rudy[9048-11]S4,[9048-113]SPTue,

[9048-54]S12Peng, Danping[9050-47]S11Peng,Jui-Chun[9050-117]SPWed,[9052-54]

SPS1Perçin,Gökhan[9052-38]S5,[9052-38]S9Pérez-Murano,FrancescX.[9049-90]SPS5Perlitz,Sascha[9048-32]S8Peroz,Christophe[9049-38]S9Peters,AndrewJ.[9049-28]S7,[9049-28]S8,

[9049-47]S11,[9049-87]SPS5,[9049-93]SPS5

Peters, Jan Hendrik9048ProgramCommittee,9048S8SessionChair,[9048-32]S8

Petersen, John S.9052ProgramCommittee,9052S10SessionChair,[9052-6]S2

Peterson,BrennanL.[9050-22]S5,[9050-22]S6

Petric, Paul[9049-41]S10,[9049-42]S10Petrillo,KarenE.[9048-70]SPTue,[9051-27]

S10Peyre,Jean-Luc[9049-33]S8,[9049-33]S9,

[9051-22]S7,[9051-22]S8Pfeiffer,BrianD.[9054-17]S5Philipsen,Vicky[9048-30]S7Phillips,MarkC.[9048-2]S1Phillips,Scott[9048-108]SPTuePic,Nicolas[9050-68]SPWedPieczulewski, Charles[9048-80]SPTue,

[9049-81]SPS5,[9050-85]SPWed,[9051-24]S10

Pierce,DanielJ.[9048-55]S12Pierson, Bill[9050-59]S14Pieternella,RemiC.[9052-53]S12Piggott,JohnB.[9050-50]S12Pike,MichaelB.[9052-29]S10,[9052-29]S7Pikus,FedorG.[9053-38]SPWedPillet,Maurice[9050-87]SPWedPimentaBarros,Patricia[9049-33]S8,[9049-

33]S9,[9049-58]S14,[9049-81]SPS5,[9054-13]S4,[9054-15]S4

Ping,YangY.[9052-59]SPS1Pirati,Alberto[9048-54]S12Pitard,Gilles[9050-87]SPWedPitera,JedW.[9049-45]S11,[9052-46]S11,

[9052-46]S13,[9052-46]S7Piwczyk,BernhardP.[9048-17]S5Planchot,Jonathan[9052-7]S3Platonov, yuriy ya[9048-120]SPTue,[9048-

53]S11Pohlmann,Renée[9048-107]SPTuePolishchuk,Orest[9051-85]SPS3Pollentier,Ivan[9048-46]S10Pomplun,Jan[9052-40]S10,[9052-44]S10Ponomarenko,Mariya[9050-107]S12

Popescu, Gabriel[9050-41]S11Posseme,Nicolas[9054-13]S4Poulet,Irène[9050-68]SPWedPourteau,Marie-Line[9049-100]SPS5,[9049-

39]S10Pradelles,Jonathan[9049-33]S8,[9049-33]

S9,[9049-39]S10,[9049-58]S14,[9050-85]SPWed,[9054-13]S4

Preil,MosheE.9048S4SessionChair,[9049-7]S2,[9050-22]S5,[9050-22]S6,[9051-35]S12,[9054-27]SPTues

Pret,AlessandroVaglio[9052-60]SPS1Price,Daniel[9048-110]SPTue,[9050-47]S11Puffky,Oliver[9051-12]S6Putna,SteveErnisse[9048-108]SPTue,

[9048-4]S2Pypen,Wouter[9050-51]S12

QQing,Yang[9053-39]SPWedQiu,Jianhong[9052-59]SPS1,[9053-33]

SPWed,[9053-35]SPWedQuach,Dung[9049-29]S7,[9049-29]S8,

[9054-21]S6

RRabie,Asmaa[9053-14]S3Race,Joseph[9050-14]S3,[9050-22]S5,

[9050-22]S6Rack,PhilpD.[9048-21]S6Rahman,M.Dalil[9051-72]SPS3Ramamurthi,Saroja[9050-109]SPWedRamanathan,Vidya[9050-97]SPWedRamírez-Hernández,Abelardo[9049-98]SPS5Ramnath,Yudesh[9050-14]S3Rana,Narender[9050-4]S2,[9050-5]S2Rangelow,IvoW.9049ProgramCommittee,

9049S3SessionChair,[9049-11]S3,[9049-65]SPS3,[9050-31]S8

Ranica,Rossella[9054-7]S2Rastegar,Abbas[9048-20]S6,[9048-24]S6Rastogi,Vinayak[9049-8]S2,[9054-27]

SPTuesRathsack,BenjamenM.9049Program

Committee,9049S7SessionChair,9051S8SessionChair,[9051-22]S7,[9051-22]S8,[9053-27]S11,[9053-27]S13,[9053-27]S7

Rawlings,Colin[9049-9]S3Raymond,ChristopherJ.9050Program

CommitteeReboul,Chrystilla[9049-77]SPS5Rechtsteiner, Gregory[9052-82]SPS7Reijnen,Marco[9048-58]S12Renner,Michael[9054-24]SPTuesRenwick,StephenP.[9049-91]SPS5,[9052-

44]S10Resnick,DouglasJ.9049ConferenceChair,

9049S1SessionChair,[9049-15]S4,[9049-3]S1,[9049-35]S9

Rettner,CharlesT.[9049-45]S11Reum,Alexander[9049-11]S3Richardson, Martin C.[9048-77]SPTue,

[9048-79]SPTue,[9048-82]SPTue,[9050-80]SPWed

Rieger,MichaelL.9053ProgramCommittee,9053S4SessionChair

Riggs,Brent[9050-59]S14RinconDelgadillo,PaulinaA.[9049-19]S5,

[9049-19]S6,[9049-4]S2,[9050-34]S9

Page 68: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

66 SPIEAdvancedLithography2014 · www.spie.org/al

Ringk,Andreas[9049-65]SPS3,[9051-15]S6Robbins,Jessica[9048-108]SPTueRobert,Frederic[9052-12]S3Robertson, Stewart A.[9051-8]S4,[9052-34]

S8,[9052-5]S2Robinson,AlexP[9051-46]S14,[9051-50]

S14,[9054-25]SPTuesRobinson, John C.9050ProgramCommittee,

9050S14SessionChair,9050S2SessionChair,[9050-109]SPWed,[9050-59]S14

Rocca,JorgeJ.9048ProgramCommitteeRodriguez,JimR.[9048-120]SPTue,[9048-53]

S11Rodriguez,NormaP.[9053-2]S1Roeth, Klaus-Dieter[9050-60]S14Rollinger,Bob[9048-89]SPTue,[9048-91]

SPTue,[9048-92]SPTueRomo-Negreira,Ainhoa[9049-4]S2,[9049-56]

S14,[9051-17]S7,[9051-22]S7,[9051-22]S8

Ronse, Kurt G.9048ProgramCommittee,9048S10SessionChair,[9048-62]S13

Ros,Onintza[9054-5]S2Rost,DanielL.[9050-47]S11Rovira,Concepció[9049-50]S12Rudolph,Matthias[9051-28]S10Ruiz,Ricardo9049ProgramCommittee,9049

S14SessionChair,[9049-18]S5,[9049-18]S6,[9049-30]S8,[9049-30]S9,[9049-44]S11,[9049-5]S2,[9049-98]SPS5,9054ProgramCommittee,9054S6SessionChair,[9054-22]S6,SC1067

Ruple,ChristopherJ.[9050-82]SPWedRussell,NoelM.[9054-17]S5Russin,Alicia[9052-93]SPS10Ruther,Rose[9048-126]SPTue,[9051-47]S14Ruttloff,Stephan[9049-16]S4Ruzic, David N.[9048-14]S4Ryckaert,Julien[9048-62]S13Ryu,Chang-Hoon[9050-108]SPWedRyu,Jonghyoek[9051-63]SPS2

SSabik,Sami[9049-14]S4Sadeghian, Hamed[9050-45]S11Sagan,John[9051-79]SPS3Saito,Takashi[9048-70]SPTueSaitou,Takashi[9048-12]S4Sakai,Itsuko[9054-8]S2Sakajiri, Kyohei[9053-27]S11,[9053-27]S13,

[9053-27]S7Sakakibara,Yasuaki[9054-16]S5Sakamoto,Rikimaru[9048-88]SPTue,[9051-

20]S7,[9051-42]S13Sakanushi,Keishi[9053-31]S8Sakhare,Sushil[9053-26]S6Sakillaris,Mike[9051-26]S10Salaun,Mathieu[9054-23]S6Saldana,Tiffany[9050-120]SPWedSamayoa,Martin[9048-24]S6Samukawa,Seiji9054ProgramCommittee,

9054S5SessionChair,[9054-16]S5Sanapala,Ravikumar[9050-67]SPWedSanche,Marc[9049-81]SPS5Sanchez, Martha I.9049S5SessionChair,

9050ConferenceCoChair,9050S1SessionChair,9050S6SessionChair,[9051-27]S10

Sanders,DanielP.[9049-45]S11,9051ProgramCommittee,9051S12SessionChair,[9051-41]S13,[9051-85]SPS3

Sankaranarayanan,Ananthakrishnan[9051-41]S13

Sano,Hirotaka[9052-16]S4Santillan,JuliusJosephS.[9048-5]S3,[9051-

23]S10Santo,Izumi[9050-93]SPWedSantoro,Gaetano[9050-111]SPWedSantos,Andreia[9048-48]S11Santos,Bárbara[9048-38]S9Sarig,Niv[9050-55]S13Sarma,Chandrasekhar[9048-47]S11,[9050-

23]S5,[9050-23]S6,[9051-48]S14,[9051-61]SPS2,[9051-86]SPS3,[9052-5]S2

Sasago,Masaru[9052-17]S4Sasaki,Akira[9048-74]SPTueSasaki,Ryo[9052-16]S4Sasaki,Youichi[9052-88]SPS10Sato,Hironobu[9049-31]S8,[9049-31]S9,

[9049-78]SPS5,[9049-80]SPS5Sato,Hiroto[9048-38]S9Sato,Takashi[9051-77]SPS3Sato,Takashi[9049-99]SPS1Satyanarayana,V.S.V.[9048-67]SPTue,

[9048-69]SPTue,[9051-5]S2,[9051-71]SPS3

Saulnier,Nicole[9052-31]S8Sawicki, Joseph D.MeetingVIPSayan,Safak[9051-17]S7,[9051-21]S7Scaccabarozzi,Luigi[9048-58]S12Schäfer,Bernd[9048-39]S9Scheffer,FrancineR.[9048-69]SPTueScheibel,Rainer[9054-24]SPTuesSchellenberg, Frank M.9049Program

Committee,9049S11SessionChairSchenker,Richard[9054-3]S1Schepis,AnthonyR.[9049-8]S2,[9052-46]

S11,[9052-46]S13,[9052-46]S7,[9052-58]SPS1

Schiffelers,Guido[9048-54]S12Schift, Helmut9049ProgramCommittee,

9049S3SessionChair,[9049-49]S12Schiwon,Roberto[9053-39]SPWedSchleunitz,Arne[9051-56]SPS1,[9051-70]

SPS3Schlief,RalphE.[9048-116]SPTueSchmid,GerardM.[9048-121]SPTue,[9049-7]

S2,[9051-35]S12,[9054-27]SPTuesSchmidt,Frank[9052-40]S10Schmidt, Hans-Werner[9049-65]SPS3,

[9051-15]S6Schmidt,Volker[9048-85]SPTueSchmitt-Weaver,Emil[9050-105]SPWedSchmoeller,Thomas[9052-11]S3,[9052-32]

S8,[9052-76]SPS6Schneider,Jens[9054-24]SPTuesScholze,Frank[9050-36]S9Schroder,Holger[9050-50]S12Schumann,Dirk[9051-28]S10Schumann,Oliver[9048-25]S7Schwartsband,Ishai[9051-10]S5Schweikert,EmileA.[9051-11]S6Sczyrba,Martin[9052-49]S12Seaberg,MatthewD.[9050-46]S11Seeger,Adam[9050-70]SPWedSeguin,Benoit[9050-24]S7Seidel,Robert[9051-19]S7Seidel,ThomasE.[9049-101]SPS1Seifert,Mario[9049-52]S12Seino,Yuriko[9049-31]S8,[9049-31]S9,

[9049-78]SPS5,[9049-80]SPS5Seki,Hirofumi[9051-64]SPS2Sekiguchi,AkihisaMeetingVIP

Sekiguchi,Atsushi[9051-67]SPS2Self,Andy[9051-39]S12Self,JeffreyL.[9051-38]S12Selvaraja,ShankarKumar[9052-14]S4Sendelbach,MatthewJ.9050Program

Committee,9050S12SessionChair,9050S2SessionChair,[9050-55]S13,[9050-8]S2

Senthamaraikannan,Ramsankar[9051-18]S7,[9051-66]SPS2

Seo,Hyunha[9053-17]S4Seo,Mingoo[9050-72]SPWedSeo,Takehito[9049-56]S14Seong,Shijin[9050-42]S11Servin,Isabelle[9049-39]S10,[9049-81]SPS5,

[9054-15]S4Seshimo,Takehiro[9049-32]S8,[9049-32]S9Settens,CharlesM.[9050-35]S9Shafran,Matt[9049-35]S9Shah, Lawrence[9048-77]SPTueShah,Pinkesh[9048-110]SPTueShamiryan,Denis9054ProgramCommittee,

9054S2SessionChairShan,Jianhui[9049-26]S7,[9049-26]S8Shanker,Aamod[9052-49]S12Sharma,SatinderK.[9048-67]SPTue,[9048-

69]SPTue,[9051-5]S2,[9051-71]SPS3Shaw,MatthewT.[9051-18]S7,[9051-36]S12Shen,Yu-Tian[9049-85]SPS5Shi,Xuelong9052ProgramCommittee,9052

S5SessionChair,[9052-83]SPS7Shibayama,Wataru[9051-42]S13Shibazaki,Yuichi[9052-52]S12Shichiri,Motoharu[9051-23]S10Shida,Soichi[9050-12]S3Shieh,JasonJ.[9050-113]SPWedShiely,JamesP.[9052-76]SPS6Shigaki,Syuhei[9051-42]S13Shigenobu,Atsushi[9052-16]S4Shim,Seong-Bo[9053-4]S1Shimizu,Hideki[9051-53]SPS1Shimizu,Mitsuko[9049-36]S9Shimoaoki,Takeshi[9051-7]S3Shimokawa,Tsutomu[9051-41]S13Shimura,Satoru[9050-73]SPWed,[9051-7]S3Shin,Chul-Ho[9049-60]S14Shin,Hosoon[9053-20]S4Shin,Inkyun[9051-31]S11,[9051-69]SPS3Shin,J.J.[9049-42]S10Shin,Jaw-Jung[9049-24]S6,[9049-66]SPS4Shin,Sangmin[9051-63]SPS2Shin,Young-soo[9053-4]S1Shindo,Hiroyuki[9050-102]SPWed,[9050-30]

S8Shiobara,Eishi[9048-103]SPTue,[9048-44]

S10Shirai,Takahiro[9048-38]S9Shiraishi,Yutaka[9048-12]S4Shirasaki, Hirokimi[9050-91]SPWedShirata,Yosuke[9052-52]S12Shiratani,Motohiro[9048-48]S11Shoki,Tsutomu9048ProgramCommitteeShoval,Lior[9048-110]SPTueShoval,Ori[9050-11]S3Shroff,YasheshA.[9049-12]S3Shu,Vincent[9052-59]SPS1Shy, Shyi-Long[9052-90]SPS10Shykind,David[9049-57]S14,[9051-39]S12Silver, Richard M.9050ProgramCommittee,

9050S9SessionChair,[9050-3]S2,[9050-40]S11

Sim,WooJ.[9052-87]SPS9Singh,Arjun[9049-88]SPS5Singh,Gurpreet[9049-45]S11Singh, Harmeet[9054-9]S3Singh,KanwalJit[9054-3]S1Singh,Vik[9049-15]S4Singh,Vikram[9048-67]SPTue,[9048-69]

SPTue,[9051-5]S2,[9051-71]SPS3Singh,VivekK.9053ProgramCommittee,

9053S2SessionChairSinha,JaydeepK.[9050-37]S10,[9050-37]S7,

[9052-29]S10,[9052-29]S7Sivakumar, Sam9052ProgramCommitteeSivaraman,Gangadharan[9050-42]S11Sizyuk, Tatyana[9048-41]S9,[9048-96]

SPTueSlezak,Mark[9051-45]S13Slingenbergh,Winand[9048-21]S6Slot,Erwin[9049-39]S10,[9050-85]SPWedSlotboom,Daan[9050-105]SPWedSmayling, Michael C.[9052-21]S5,[9052-39]

S5,[9052-39]S9Smith, Bruce W.[9048-28]S7,[9048-93]

SPTue,[9048-94]SPTue,9049S13SessionChair,9052ProgramCommittee,9052S11SessionChair,[9052-3]S2,[9052-58]SPS1,[9052-60]SPS1,9053S7SessionChair

Smith,Daniel[9050-14]S3Smith,DanielA.[9048-11]S4,[9048-113]

SPTue,[9048-58]S12Smith,DonaldK.[9048-127]SPTueSmith,JamesH.[9049-23]S6Smith, Jeffrey[9048-119]SPTueSmith,MarkD.[9048-112]SPTue,[9051-8]S4Smits,EdsgerC.P.[9049-14]S4Smits,Joost[9052-53]S12Smits,Marc[9049-100]SPS5,[9049-39]S10Socha,RobertJ.[9050-43]S11Sodekoda,Tatsuya[9048-75]SPTueSohda,Yasunari[9050-19]S4Sohmen,ErikM.[9048-10]S4,[9048-57]S12Sohn,Jaewoong[9048-45]S10Sohn,Martin[9050-40]S11Sohn,Younghoon[9050-72]SPWedSolak,HarunH.[9049-10]S3Solecky,Eric9050ProgramCommittee,9050

S12SessionChair,[9050-5]S2,SC1133Soltwisch,Victor[9050-36]S9Somervell,MarkH.[9049-19]S5,[9049-19]

S6,[9049-4]S2,[9049-56]S14,[9049-8]S2,9051ProgramCommittee,9051S12SessionChair,[9051-17]S7,[9051-22]S7,[9051-22]S8

Someya,Yasunobu[9051-20]S7Son,Dong-Hwan[9050-47]S11Song,Dion[9049-34]S9Song,Hua[9052-32]S8,[9052-76]SPS6Song,Qiang[9052-56]SPS1Song,Yi[9050-107]S12Sortland,Miriam[9051-86]SPS3SotomayorTorres,Clivia[9050-77]SPWedSoufli,Regina[9048-55]S12,[9048-57]S12Soumagne,Georg[9048-12]S4Sourd,Claire[9049-39]S10Spanos, Costas J.9050ProgramCommittee,

9050S7SessionChair,[9050-43]S11Sparka,Christian[9050-109]SPWedSpengler,Diana[9048-10]S4Spiller, Eberhard Adolf[9048-55]S12Sreenivasan,S.V.[9049-15]S4,[9049-35]S9

Stachowiak,TimothyB.[9049-35]S9Standiford,KeithP.[9048-31]S7Starikov, Alexander9050Program

Committee,9050S10SessionChair,9050S7SessionChair,9052S7SessionChair

Steegen,An[9048-62]S13Stegemann,Maik[9054-24]SPTuesStein,Gila[9051-60]SPS2Steiner,Philip[9049-51]S12Sterckx,Gunther[9052-14]S4Stock,Hans-Jürgen[9051-63]SPS2Stoeldraijer,Judon[9048-54]S12Stokes,Harold[9048-80]SPTueStolberg,InesA.9049ProgramCommittee,

9049S6SessionChairStorms,Greet[9050-118]SPWedStowers,JasonK.[9051-3]S2Strobl,MarleneG.[9050-107]S12Strohriegl,Peter[9049-65]SPS3Strzalka,Joseph[9050-34]S9Sturtevant, John[9052-42]S10,[9052-45]

S10,9053ConferenceChair,9053S1SessionChair

Subramany,Lokesh[9050-59]S14,[9050-61]SPWed

Subramany,Lokesh[9050-97]SPWedSugahara,Hitoshi[9050-30]S8Sugata,Masanori[9049-43]S10Sugawara, Minoru[9048-30]S7Sugie,Norihiko[9048-103]SPTue,[9048-44]

S10,[9048-87]SPTueSugita,Naohiko[9050-63]SPWedSugiyama,Akiyuki[9051-39]S12Suh,HyoSeon[9049-98]SPS5,[9050-34]S9Sukegawa,Ayako[9052-9]S3Sullivan, Daniel[9049-34]S9Sumiyoshi,Yuhei[9052-16]S4Sun,Guorong[9051-11]S6Sun,JeffFuge[9049-41]S10Sun,Kyu-Tae[9050-118]SPWedSun, Lei[9048-116]SPTue,[9050-29]S8,

[9050-95]SPWedSunahara, Atsushi[9048-74]SPTueSunday,DanielF.[9049-20]S5,[9049-20]S6,

[9050-3]S2,[9050-33]S9Sundberg,LindaK.[9051-27]S10Sungauer,Elodie[9052-12]S3Susa,Toshihiko[9051-62]SPS2Suzuki, Akiyoshi9048ProgramCommitteeSuzuki,Fumihiro[9051-44]S13Suzuki,Kouta[9049-99]SPS1Suzuki,Ryoichi[9051-6]S2Suzuki,Tomohiro[9048-71]SPTueSvrluga,Richard[9048-17]S5Sweis,Jason[9053-2]S1Szilagyi, john[9048-77]SPTue,[9048-79]

SPTue,[9048-82]SPTue,[9050-80]SPWedSzucs,Anna[9052-7]S3

TTada,Yasuhiko[9049-98]SPS5Tagawa, Seiichi[9048-106]S13,[9051-6]S2Taguchi,Yoshihiko[9051-64]SPS2Tahara,Shigeru[9051-7]S3Takagi,Isamu[9048-103]SPTue,[9048-44]

S10Takagi,Noriaki[9048-73]SPTueTakahashi,Atsushi[9053-29]S8,[9053-31]S8Takahashi,Kazuhiro9052ProgramCommittee,

9052S4SessionChairTakahashi,Masanori[9052-41]S10

Index of Authors, Chairs, and Committee MembersBold=SPIEMember

Page 69: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 67

Takahashi,Satoru[9050-53]S13Takahashi,Toshiya[9048-103]SPTue,[9048-

44]S10,[9048-83]SPTueTakamasu,Kiyoshi[9050-53]S13Takamizawa,Hideyoshi[9052-68]SPS4Takasuka,Masaaki[9051-77]SPS3Takeshita,Bunsuke[9052-16]S4Takimoto,Yoshio[9051-41]S13Takizawa,Hiroo[9048-49]S11Talbi,Mohamed[9050-4]S2Tam, Aviram[9053-34]SPWedTamada,Osamu[9048-80]SPTue,[9051-24]

S10Tamida,Taichiro[9048-13]S4Tamura,Tomoya[9050-110]SPWedTanagi,Hiroyuki[9051-81]SPS3,[9051-83]

SPS3Tanaka,Hiroshi[9048-12]S4Tanaka,Hiroyasu[9051-81]SPS3,[9051-83]

SPS3Tanaka,Hiroyuki[9048-44]S10Tanaka,Junichi[9050-18]S4,[9050-64]

SPWedTanaka,Satoshi[9049-36]S9,[9049-55]S11,

[9049-55]S13,[9049-55]S7,[9052-41]S10,[9053-29]S8,[9053-31]S8

Tanaka,Yusuke[9048-124]SPTueTang,Feng[9052-55]SPS1,[9052-57]SPS1Tang,Yu-Po[9052-33]S8Tani,Ayako[9052-68]SPS4Tanino,Yoichi[9048-13]S4Tarrio, Charles[9048-120]SPTue,[9048-43]

S10,[9048-53]S11Tarutani,Shinji[9048-49]S11Tate, Michael P.[9051-26]S10Tateishi, Masahiro[9052-68]SPS4Tavassoli,MalahatA.[9050-70]SPWedTaylor,JamesChristopher[9051-43]S13Tchikoulaeva,Anna9048ProgramCommittee,

9048S9SessionChairTear,Westley[9051-86]SPS3Tedesco,Serge[9049-39]S10Tejnil,Edita[9052-45]S10Teki,Ranganath[9048-114]SPTueTelecky,Alan[9051-3]S2Tellez,Gustavo[9053-8]S2Temime-Roussel,Brice[9050-68]SPWedtenBerge,Peter[9050-105]SPWedTeoh,Edward[9053-3]S1,[9053-5]S1Teramoto,Yusuke[9048-38]S9Terao,Kenji[9048-34]S8Terasawa,Tsuneo[9048-34]S8,[9048-73]

SPTue,[9048-76]SPTue,[9048-78]SPTue,[9048-97]SPTue

Thackeray, James W.9048S2SessionChair,[9048-6]S3,9051ConferenceChair,9051S2SessionChair,[9051-11]S6,[9051-26]S10

Thangaraju,Sarasvathi[9050-14]S3Thean,Aaron[9048-62]S13Theisen, Michael J.[9050-49]S12Thérèse,Romain[9050-6]S2,[9050-92]

SPWedThesen,ManuelW.[9049-16]S4Thiam,Arame[9049-39]S10Thiele,Verena[9048-85]SPTueThompson,Andrew[9051-59]SPS1Thompson,MichaelO.[9049-84]SPS5,[9051-

16]S6Thouroude,Yan[9048-80]SPTueThrones,Josh[9052-93]SPS10Thrun,Yaver[9051-28]S10

Thurecht,KristoferJ.[9049-27]S7,[9049-27]S8

Tian,Haitong[9049-71]SPS5,[9052-24]S6Tian,Ruahi[9048-20]S6Tien,DavidC.[9050-109]SPWed,[9050-84]

SPWedTimilsina,Rajendra[9048-21]S6Timoney,PadraigR.[9050-14]S3Tipple,Dave[9053-24]S6Tiron,Raluca[9049-25]S7,[9049-25]S8,

[9049-33]S8,[9049-33]S9,[9049-58]S14,[9049-77]SPS5,[9049-81]SPS5,[9054-13]S4,[9054-15]S4

TirumalaVenkata,Ananth[9048-46]S10Tishchenko,AlexandreV.[9052-77]SPS6Tjio,Melia[9049-45]S11,[9049-8]S2,[9051-

85]SPS3,[9052-46]S11,[9052-46]S13,[9052-46]S7

Tolani,VikramL.[9050-47]S11Tolbert,LarenM.[9051-13]S6,[9051-25]S10Tomita,Tadatoshi[9049-72]SPS5,[9050-76]

SPWed,[9051-22]S7,[9051-22]S8,[9053-27]S11,[9053-27]S13,[9053-27]S7

Tong,WilliamM.9049ProgramCommittee,9049S6SessionChair,[9049-41]S10,[9049-42]S10

Toriumi,Minoru[9051-49]S14Torok,Justin[9048-51]S11,[9048-95]SPTueTorres, Juan Andres[9053-27]S11,[9053-27]

S13,[9053-27]S7Torriani,Gianpaolo[9050-85]SPWedTosa,Nicoletta[9049-63]SPS3Toyoda,Mitsunori[9048-76]SPTue,[9048-78]

SPTueToyoda,Yasutaka[9050-102]SPWed,[9050-

30]S8Tranquillin,Céline[9049-40]S10Trefonas,Peter[9049-29]S7,[9049-29]S8,

[9049-82]SPS5,[9051-11]S6,[9051-37]S12,[9054-21]S6

Trigg,Steve[9048-16]S5Tristan,John[9050-59]S14Troost,Kars[9048-25]S7Truffert,Vincent[9054-14]S4Truong,Hoa[9049-45]S11,[9051-27]S10Trusca,Roxana[9049-63]SPS3Truskett,Van[9049-35]S9Tsai,Hsinyu[9049-45]S11,[9049-8]S2,

[9052-46]S11,[9052-46]S13,[9052-46]S7,[9054-10]S3

Tsai,Jamie[9050-8]S2Tsai, Kuen-yu[9049-85]SPS5,[9050-113]

SPWedTsai,Ming-Jinn[9052-64]SPS2Tsai,Wilhelm[9050-107]S12Tseng,Shih-Feng[9052-63]SPS2Tsubaki,Hideaki[9048-49]S11Tsujita,Koichiro[9052-21]S5,[9052-39]S5,

[9052-39]S9Tsukagoshi,Kenta[9051-29]S11,[9051-76]

SPS3Tsushima,Hiroaki[9052-50]S12Tsuzuki,Shuichi[9051-53]SPS1,[9051-54]

SPS1Tucker,Chris[9051-26]S10Tünnermann, Andreas[9051-12]S6Turkot,Robert[9054-3]S1Turner,KevinT.9049ProgramCommittee,

9049S12SessionChair,[9050-37]S10,[9050-37]S7

Turnidge,Martin[9049-23]S6Turovets,IgorSC1100

Super-fast Lithography Verification Through Process Window

anoORC is a production-proven super-fast lithography verification tool

capable of full-chip verification through process window. Its

GPU-based system achieves 10X speed up over traditional CPU

based system. Its model accuracy had been verified by its customer between

130nm and 28nm nodes. It checks bridging, broken, pinching, line-end

shortening, CD, EPE, sidelobe, image-missing, nils and meef etc.

NAssured Accuracy – Theoretical results match PROLITH 

– Calibrated model verified by customers   

– Verification  done  between  130nm  and 

28 nm nodes 

Super-fast Speed – Explores massive parallelism in GPU 

– Achieves 10x in speed vs CPU tools

– CPU cluster upgradable to GPU cluster 

Process-window Awareness – Verification at each of multiple process corners 

– Verification on Process‐Variation‐Band (PVB) 

– Computes common process window for many cutlines on product layout 

– Results grouped by process corner, by error type, filtered and sorted by error value 

– High performance viewer for probing hot‐spots for layout and for process corners 

Low Cost of Ownership – CPU version cost competitive on absolute term 

– GPU version cost competitive relative to performance 

– GPU hardware adds only 25% to total hardware cost 

©2013 Wuxi Nanotech, Inc. All rights reserved.

86 51081156962 www.wxnanotech.com [email protected]

Page 70: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

68 SPIEAdvancedLithography2014 · www.spie.org/al

Tyminski,JacekK.[9052-44]S10Tzai,Wei-Jhe[9050-104]S15Tzviatkov,Plamen9051ProgramCommittee,

9051S11SessionChair

UUeda, Kazuhiro[9050-79]SPWed,[9051-39]

S12Ueda,Naoki[9052-17]S4Ueda,Takeshi[9050-52]S12Uehara,Yusaku[9052-52]S12Ukraintsev,VladimirA.9050Program

Committee,9050S13SessionChairUmeda,Toru[9051-53]SPS1,[9051-54]SPS1Umegaki,Kikuo[9051-62]SPS2,[9051-68]

SPS2Ünal,Nezih[9052-15]S4Upadhyaya,Mihir[9048-130]SPTueUshiku,Kentaro[9052-16]S4Usui,Youichi[9048-124]SPTueUtsumi,Yoshiyuki[9048-50]S11,[9049-32]S8,

[9049-32]S9Uzawa,Masashi[9051-84]SPS3Uzzel, Douglas[9050-112]SPWed

VVacca, Anthony D.[9050-112]SPWedVachkov,Richard[9051-3]S2Vaduri,Naveen[9051-43]S13VaglioPret,Alessandro[9048-112]SPTue,

[9048-8]S3,[9051-8]S4,[9052-34]S8Vaid,Alok9050ProgramCommittee,9050S3

SessionChair,[9050-11]S3,[9050-14]S3,[9050-55]S13,[9050-8]S2

Valerio,Perez[9053-39]SPWedvanAdrichem,Paul[9048-25]S7VanCampenhout,Joris[9052-14]S4VandeKerkhove,Jeroen[9048-8]S3,[9052-

25]S6vandeKruijs,RobbertW.[9048-15]S4vandeMast,Frank[9050-105]SPWedvandenBoogaard,Toine[9048-15]S4vandenDool,TeunC.[9050-45]S11VandenHeuvel,Dieter[9049-4]S2vanderDonck,JacquesC.J.[9048-109]

SPTue,[9050-114]SPWedvanderWalle,Peter[9050-114]SPWedVanDorn,Carolyn[9049-34]S9vanEijk,Daan[9050-114]SPWedvanHeteren,Janneke[9052-53]S12vanIngenSchenau,Koen[9048-25]S7VanKan,JeroenA.[9049-22]S6vanLangen–Suurling,Anja[9048-107]SPTuevanMil,Ijen[9048-58]S12vanNoordenburg,Martijn[9048-54]S12vanOven,JulesC.[9049-21]S6VanSpaandonk,Rick[9049-33]S8,[9049-33]

S9VanThourhout,Dries[9052-14]S4vanVeldhoven,Emile[9048-107]SPTuevanWest,Ewould[9050-118]SPWedvanZwet,ErwinJ.[9050-106]SPWedVandenberghe,Geert[9048-62]S13,9052

ProgramCommittee,9052S6SessionChairVandenbroeck,Nadia[9049-56]S14,[9051-17]

S7Vandewalle,Boris[9053-26]S6Vandeweyer,Tom[9054-6]S2Vartanian,VictorH.[9050-13]S3Vasile,BogdanS.[9049-63]SPS3

Veeraraghavan,Sathish[9050-37]S10,[9050-37]S7,[9052-29]S10,[9052-29]S7

Vella,Anthony[9050-49]S12Ventola,Stefano[9050-11]S3Ventrice,Carl[9048-19]S5,[9048-51]S11Ver,Avraham[9050-100]SPWed,[9050-50]

S12Verbrugge,Beatrijs[9048-58]S12Verduijn,ErikA.[9048-61]S13Verduin,Thomas[9050-20]S5Vergeer,Niels[9050-85]SPWedVerkest,Diederik[9048-62]S13Verkhoturov,StanislavV.[9051-11]S6Verma,Alok[9050-51]S12Versluijs,Janko[9054-14]S4Verspaget,Coen[9048-107]SPTueVerstappen,Leon[9048-56]S12Vijayaraghavan,Bharath[9050-111]SPWedVikram,Abhishek[9050-67]SPWedVillaret,Alexandre[9052-35]S8Villarrubia,JohnS.[9050-3]S2,[9050-9]S3Viswanathan,Ramya[9052-18]S5Viswanathan,Sriram[9049-34]S9Vitrani,Thomas[9050-68]SPWedVizioz,Christian[9054-13]S4Vladár,AndrásE.[9050-3]S2,[9050-40]S11,

[9050-9]S3Vockenhuber,Michaela[9048-3]S2,[9051-4]

S2,[9051-48]S14Voelkel, Reinhard9052ProgramCommittee,

9052S12SessionChair,[9052-15]S4,[9052-67]SPS3

Vogler,Marko[9049-16]S4,[9051-70]SPS3Vogler,Uwe[9052-15]S4Voigt,Anja[9051-56]SPS1,[9051-59]SPS1,

[9051-70]SPS3Volger,Marko[9049-38]S9Vora,Ankit[9051-85]SPS3Vukkadala,Pradeep[9050-37]S10,[9050-37]

S7,[9052-29]S10,[9052-29]S7

WWagner,Michael[9048-6]S3Wago,Koichi[9049-51]S12Wakamatsu,Goji[9051-41]S13Wakamoto,Shinji[9052-23]S6Wakayama,Hiroyuki[9051-20]S7Waller, Laura[9052-49]S12Wallow,ThomasI.9048ProgramCommittee,

9048S11SessionChair,[9048-121]SPTue,[9048-31]S7,9050S4SessionChair,9051ConferenceChair,9051S1SessionChair,9051S4SessionChair

Wallraff,GregoryM.[9051-27]S10Walsh,Michael[9048-17]S5Wan,Jingxiu[9049-26]S7,[9049-26]S8Wan,Lei[9049-18]S5,[9049-18]S6,[9049-30]

S8,[9049-30]S9,[9049-44]S11,[9049-5]S2,[9049-95]SPS5,[9049-98]SPS5,[9054-22]S6

Wan,Lingshu[9050-34]S9Wandell,JeromeF.[9048-119]SPTueWang,Alan[9050-107]S12Wang,ChangAn[9052-42]S10Wang,Cheng[9048-129]SPTueWang,Dexin[9049-34]S9Wang,Fei[9048-37]S8Wang,Guande[9048-129]SPTueWang,Hongying[9049-51]S12Wang,Jin[9050-34]S9Wang,Jinchun[9052-89]SPS10

Wang, Li[9049-10]S3Wang,Liyuan[9051-80]SPS3Wang, Lynn T.[9053-32]SPWedWang,Shiuan-Chuan[9050-75]SPWedWang,Shuangqing[9048-101]SPTueWang,T.P.[9049-42]S10Wang,Wade[9048-108]SPTueWang,Wei-Long[9049-54]S11,[9049-54]S13,

[9049-54]S7Wang,Wen-Chuan[9049-24]S6,[9051-58]

SPS1Wang,Wen-Chuan[9049-42]S10Wang,Wenhui[9050-95]SPWedWang,Xiangzhao[9048-123]SPTue,[9052-55]

SPS1,[9052-57]SPS1Wang,Xingbing[9048-66]SPTueWang,Ying[9052-91]SPS10Wang,Yow-Gwo[9048-35]S8Wang,Yubao[9049-83]SPS5Wang,Zih-Song[9050-75]SPWedWarner,Jeff[9053-24]S6Washio,Masakazu[9051-6]S2Watabe,Yoshinobu[9052-88]SPS10Watanabe,Hidehiro[9048-34]S8,[9048-76]

SPTue,[9048-78]SPTue,[9048-97]SPTueWatanabe,Takeo[9048-103]SPTue,[9048-

124]SPTue,[9048-44]S10,[9048-76]SPTue,[9048-78]SPTue

Watanabe,Tsuyoshi[9049-99]SPS1Watanabe,Yukio[9048-12]S4Weaver,Alfred[9048-16]S5Wegener,Martin[9052-1]S1Wei,Haiqing[9052-86]SPS9Wei, yayi[9049-67]SPS4,[9050-61]SPWedWei,Zhiyang[9049-41]S10Weibel,DanielE.[9048-69]SPTueWeinheimer,CoreyJ.[9049-57]S14Weinhold,JeffreyD.[9049-29]S7,[9049-29]

S8,[9049-82]SPS5,[9051-37]S12Weisbuch,Francois[9051-9]S5,[9052-78]

SPS6Weiss,MarkusR.[9048-32]S8Welker,Joachim[9048-57]S12Welling,Ulrich[9052-48]S11,[9052-48]S13,

[9052-48]S7Wernecke,Jan[9050-36]S9Wertsman, Nadav[9050-39]S10,[9050-39]

S7Westerhoff, Thomas[9052-92]SPS10Wieberger,Florian[9049-65]SPS3,[9051-15]

S6Wiedmann,Pablo[9049-39]S10Wieland,MarcoJ.9049ProgramCommittee,

[9049-39]S10,[9049-40]S10Wiemer,Maik[9049-52]S12Wilkens,PeterJ.[9050-100]SPWed,[9050-50]

S12Williamson,LanceD.[9049-46]S11,[9051-21]

S7Willson, C. Grant[9048-108]SPTue,[9051-38]

S12,SC101Wilson,Chris[9054-14]S4Wilson,JeffS.[9053-28]S8Windover,Donald[9050-33]S9Winroth,Gustaf[9052-14]S4,[9052-34]S8Winters,Jasper[9050-45]S11Wise,Rich9054ProgramCommittee,9054S1

SessionChair,[9054-17]S5,[9054-20]S6Wisse,Baukje[9050-107]S12,[9050-51]S12Wolfer,Elizabeth[9051-87]SPS4Wolff,Yulian[9048-110]SPTue

Wolfing, Shay[9050-8]S2Wong,CheukWun[9052-29]S10,[9052-29]S7Wong,H.S.Philip9049SPANELPanel

Moderator,[9049-92]SPS5Wong,MartinD.F.[9049-71]SPS5,[9049-92]

SPS5,[9052-24]S6Woo,Jihun[9050-99]SPWedWood, Obert R.9048ConferenceChair,

[9048-10]S4,[9048-59]S12Wooley,KarenL.[9051-11]S6Word, James[9048-28]S7,[9052-22]S5Wortham,Henri[9050-68]SPWedWright,Noelle[9050-107]S12Wu, Cheng-En R.[9052-32]S8Wu,Clare[9053-34]SPWedWu,Hengpeng[9049-26]S7,[9049-26]S8Wu,Janet[9051-37]S12Wu,Jui-Ching[9048-42]S10Wu,Qiang[9052-83]SPS7Wu,Tsai-Wei[9054-22]S6Wu,Tung-Li[9052-54]SPS1Wu, Wei9049ProgramCommitteeWu,Wen-li[9049-20]S5,[9049-20]S6,[9050-

33]S9Wuister,Sander[9048-107]SPTue,[9049-59]

S14Wurm,Stefan[9048-57]S12,[9048-59]S12Wyman,John[9052-93]SPS10

XXiao,Guangming[9052-87]SPS9Xiao, HongSC1009Xiao,Shuaigang[9049-51]S12,[9049-6]S2Xiao,Wei[9053-10]S2Xiao,Zigang[9049-71]SPS5,[9049-92]SPS5Xie, Peng[9050-111]SPWed,[9051-34]S11Xie,WeiQiang[9052-14]S4Xie,Xiaobo[9052-12]S3Xing,Bin[9052-83]SPS7Xiong,Shisheng[9049-30]S8,[9049-30]S9,

[9049-5]S2Xiong,Xugang[9048-58]S12Xu,FrankY.[9049-15]S4,[9049-35]S9Xu,JeffJ.9054ProgramCommitteeXu,Ji[9049-7]S2,[9050-29]S8,[9051-35]S12,

[9054-27]SPTuesXu,Jian[9048-101]SPTueXu,Kaidong[9054-6]S2Xu,Kui[9049-83]SPS5Xue,Jun[9050-111]SPWedXue,Xiang[9051-46]S14

YYabuta,Hironobu[9048-38]S9Yaegashi,Hidetami[9051-30]S11,[9051-

33]S11,[9051-52]SPS1,[9052-21]S5,[9052-23]S6,[9052-26]S6,[9052-39]S5,[9052-39]S9

Yaguchi,Hiroaki[9048-88]SPTue,[9051-42]S13

Yakimets,Iryna[9049-14]S4Yamada,Tsuyoshi[9048-12]S4Yamagata,Masayuki[9050-12]S3yamaguchi, Atsuko[9050-18]S4,[9050-64]

SPWed,[9052-31]S8Yamaguchi,Satoru[9050-79]SPWedYamaguchi,Yoshikazu[9051-41]S13Yamakawa,Masako[9051-77]SPS3Yamamoto,Hajime[9052-10]S3

Yamamoto,Hiroki[9048-50]S11,[9051-58]SPS1,[9051-62]SPS2,[9051-68]SPS2,[9051-74]SPS3,[9051-81]SPS3,[9051-83]SPS3

Yamamoto,Hiroshi[9054-8]S2Yamamoto,Kazuma[9051-44]S13Yamamoto,Tatsuya[9048-13]S4Yamane,Takeshi[9048-76]SPTueYamashita,Tsuneo[9049-61]SPS1Yamato,Masatoshi[9051-30]S11,[9051-33]

S11,[9051-52]SPS1,[9052-26]S6Yamauchi,Shohei[9051-30]S11,[9051-33]

S11,[9051-52]SPS1,[9052-26]S6Yamauchi,Takashi[9049-56]S14,[9050-76]

SPWed,[9050-79]SPWed,[9051-22]S7,[9051-22]S8

Yamauchi,Takashi[9053-27]S11,[9053-27]S13,[9053-27]S7

Yamazaki,Taku[9048-12]S4Yamazaki,Tomoharu[9051-58]SPS1Yamazaki,Yuuichiro[9050-115]SPWed,

[9050-54]S13Yan,Bo[9052-59]SPS1,[9053-35]SPWedYan,Guanyong[9048-123]SPTue,[9052-55]

SPS1,[9052-57]SPS1Yan,Jiang[9054-12]S3Yanagida,Tatsuya[9048-12]S4Yanagita,Hiroshi[9051-44]S13Yang,Baoxi[9052-56]SPS1Yang,DongXu[9051-46]S14,[9051-50]S14Yang,Dongok[9053-35]SPWedYang,Elvis[9052-71]SPS4Yang,Fan[9051-11]S6Yang,Guoqiang[9048-101]SPTueYang,Hyun-Jo[9050-58]S14Yang,Jason[9049-41]S10Yang,Jeong-Su[9048-81]SPTueYang,Jishuo[9052-55]SPS1,[9052-57]SPS1Yang,Kaiyu[9048-25]S7Yang,Ricky[9053-28]S8Yang,Seung-Hune[9052-8]S3Yang,Shuqiang[9049-15]S4Yang,Ta-Hung[9052-71]SPS4yang, XiaoMin[9049-51]S12,[9049-6]S2Yang,Xiaosong[9050-88]SPWed,[9050-96]

SPWedYang,Yusin[9050-72]SPWed,[9050-74]

SPWedYao,Huirong[9051-44]S13,[9051-87]SPS4Yao,Xin[9052-83]SPS7Yao,Yong[9049-22]S6Yapici,MuratKaya[9052-66]SPS3Yasui,Naoki[9054-18]S5Yatsuda,Koichi[9049-31]S8,[9049-31]S9,

[9049-78]SPS5,[9049-80]SPS5Ye,Jun[9052-59]SPS1,[9053-35]SPWedYe,Zhengmao[9049-35]S9yeap, Geoffrey[9053-23]S6Yedur,SanjayK.[9050-100]SPWed,[9050-50]

S12Yeh,Chun-Chen[9050-10]S3Yeh,Jer-LiangAndrew[9052-63]SPS2Yeh,Shin-Shing[9052-72]SPS4Yelverton,MarkE.[9050-25]S7,[9050-59]S14yen, Anthony9048S7SessionChair,

[9048-1]S1,[9048-42]S10,9054ProgramCommittee

Yenikaya,Bayram[9052-43]S10yeo, Jeong-Ho9048ProgramCommittee,

[9048-27]S7

Index of Authors, Chairs, and Committee MembersBold=SPIEMember

Page 71: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 69

www.Solid-State.com

The leader in covering semiconductor manufacturing and packaging technology, materials, products and news for over 50 years provides the same level of expertise and insights to decision makers for MEMS, displays and LEDS manufacturing–in our magazine, six e-Newsletters, comprehensive website, and at The ConFab.

SEMICONDUCTORS

PACKAGING

MEMS

LEDS

DISPLAYS

Request your free subscription today, and see why electronics manufacturing professionals worldwide trust Solid State Technology.

www.solid-state.comwww.solid-state.com

FinFET Options for 7nm and 5nm p. 18

Backside Via Etching of SiC p. 20

Defect Inspection in a 200mm Fab p. 24

Atomic Layer Etch p. 14

SemiconductorS • Packaging • memS • LedS • diSPLayS DECEMBER 2013

POWER

Page 72: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

70 SPIEAdvancedLithography2014 · www.spie.org/al

Index of Authors, Chairs, and Committee MembersBold=SPIEMember

Yeo,Sky[9053-39]SPWedYesilada,Emek[9052-12]S3,[9052-7]S3Yeung,MichaelS.[9048-64]SPTue,[9048-81]

SPTue,[9048-84]SPTue,[9048-99]SPTueYi,He[9049-59]S14,[9049-92]SPS5Yi,Shiyong[9049-60]S14Yim,DongGyu[9050-58]S14,[9053-19]S4Yim,HyunJo[9053-19]S4Yin,Jian[9050-23]S5,[9050-23]S6Ying,Changsheng[9052-38]S5,[9052-38]S9Yngvesson,SigfridK.[9049-13]S4Yokoyama,Takuma[9048-38]S9Yokoyama,Yoko[9053-29]S8,[9053-31]S8Yonenaga,DeanK.[9050-47]S11Yoo,Gyun[9050-58]S14Yoo,HuiJae[9054-3]S1Yoo,WooSik[9050-52]S12Yoo,YoungSun[9052-94]SPS10Yoon,SeungHoon[9050-109]SPWedYoshida,Hiroshi[9049-98]SPS5,[9051-39]

S12Yoshida,Shinya[9049-43]S10Yoshida,Takashi[9049-43]S10Yoshikawa,Makoto[9050-12]S3Yoshikawa,Ryoji[9050-44]S11Yoshimoto,Kenji[9049-55]S11,[9049-55]S13,

[9049-55]S7,[9049-94]SPS5Yoshioka,Masaki9048ProgramCommittee,

[9048-38]S9You,Jun[9052-61]SPS2,[9053-10]S2,[9053-

30]S8,[9053-37]SPWedYoung,JimM.[9050-70]SPWedyounkin, Todd R.[9048-4]S2,[9049-56]S14,

9051ProgramCommittee,[9051-39]S12Youtt,LilyH.[9049-34]S9YuChung,Su[9051-78]SPS3yu, Bei[9053-13]S3Yu,HaoYun[9049-85]SPS5Yu,Ji[9052-61]SPS2,[9053-37]SPWedYu,Jue-Chin[9052-19]S5Yu,Paul[9050-107]S12Yu,Tianjun[9048-100]SPTueYu,Tsung-Hsin[9049-42]S10Yu,Zhaoning[9049-51]S12,[9049-6]S2Yuan,Chi-Min9053ProgramCommittee,9053

S6SessionChair,[9053-24]S6,SC1030Yuan,Qiao[9052-91]SPS10Yuan,Sylvia[9050-107]S12Yun,Hyo-jin[9051-82]SPS3

ZZakharov,S.V.[9048-105]SPTueZakhor,Avideh[9052-20]S5Zaleski,Mark[9048-61]S13Zaloga,EmilyC.[9050-81]SPWed,[9050-83]

SPWedZangooie,Shahin[9050-50]S12Zangooie,Shahin[9050-100]SPWedZavyalova,LenaV.[9052-76]SPS6Zelsmann,Marc[9054-23]S6Zeng,Aijun[9052-91]SPS10Zeng,Yi[9048-100]SPTue

Zhang,Bosheng[9050-46]S11Zhang,Chuanwei[9050-89]SPWedZhang,Dingyou[9050-14]S3Zhang,Guojing9048S6SessionChairZhang,Jiang[9050-34]S9Zhang,Jieqian[9051-37]S12Zhang,Mike[9053-8]S2Zhang,Nien-Fan[9050-3]S2Zhang,Pan[9052-62]SPS2Zhang,Qiang[9052-83]SPS7Zhang,Shanhua[9052-91]SPS10Zhang,Xiaosa[9049-95]SPS5Zhang,Xiaoxiao[9050-11]S3Zhang,Ying9054ProgramCommittee,9054

S6SessionChair,[9054-2]S1Zhang,YingSC992Zhang,Yunbo[9052-91]SPS10Zhang,Yunlin[9050-4]S2Zhang, Zongxin[9048-129]SPTueZhao,Chao[9054-12]S3Zhao,Quanzhong[9048-129]SPTueZhou,Hui[9050-3]S2,[9050-40]S11Zhou,Jessica[9050-11]S3Zhou, Renjie[9050-41]S11Zhou,Xibin[9048-125]SPTueZhou,Xinjiang[9052-86]SPS9Zhu,Haihong[9048-66]SPTueZhu,Jing[9052-56]SPS1Zhu,Jun[9050-62]SPWedZiemann,Marcel[9048-85]SPTueZientek,Michal[9049-9]S3Zimmerman,JohnD.[9048-58]S12Zimmermann,Jörg[9048-25]S7Zoberbier,Ralph[9052-15]S4Zoethout,Erwin[9048-15]S4Zoldesi,Carmen[9048-58]S12Zou,Yi[9048-61]S13Zou,Yi[9048-31]S7,[9049-54]S11,[9049-54]

S13,[9049-54]S7,[9049-7]S2Zschiedrich,Lin[9052-40]S10Zuniga,ChristianD.[9052-81]SPS6Zurita,Omar[9052-54]SPS1,[9052-82]SPS7

www.spie.org/JournalsOA

Fund your Research, Not your PublishingGet “gold” open access at less than half the price of other open access options.

Comparative Open Access Publishing Costs:

SPIE Journals Other Journals (equivalent length)10-pageone-columnarticle$6006-pagetwo-columnarticle$600

$1350PLOSOne

$1350AIPAdvances

$1590IEEEPhotonicsJournal

$1750IEEEAccess

$1849OpticsExpress

$1920NewJournalofPhysics

SPIE Open Access Article Publication ChargeOne-columnjournals:$60perpublishedpageTwo-columnjournals:$100perpublishedpageThe SPIE voluntary open access program provides immediate open access with a Creative Commons license .

New!

SPIE Journals Open Access

¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬

Medical Imaging ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬ ¬

January 2014Vol. 1 · No. 1I S S N 0 0 9 1 - 3 2 8 6

MedicalImaging.SPIEDigitalLibrary.org

‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡

Astronomical Telescopes, Instruments, and Systems

‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡ ‡

January 2014Vol. 1 · No. 1I S S N 2 3 2 9 - 4 1 2 4

AstronomicalTelescopes.SPIEDigitalLibrary.org

New Journals in 2014

Page 73: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

+13606763290 · [email protected] · twitter(#SPIELitho) 71

The results you hear will live far beyond the conference roomAllproceedingsfromthiseventwillbepublishedintheSPIEDigitalLibrary,promotingbreakthroughresults,ideas,andorganizationstomillionsofkeyresearchersfromaroundtheworld.

Proceedings of SPIE

Order Proceedings volumes now and receive low prepublication prices.

Searchable CD with Multiple ConferencesCDsarenowavailablewithin8weeksofthemeeting.Full-textpapersfromall7Proceedingsvolumes.

2014 Advanced Lithography(IncludesVols.9048-9054)

OrderNo.CDS541

Est.pub.April2014Meetingattendee:$155Nonattendeememberprice:$510Nonattendeenonmemberprice:$675

2014Advanced Lithography

Vol#Title(Editor) PrepublicationPrice

9048 Extreme Ultraviolet (EUV) Lithography V(Wood,Panning) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . $135

9049Alternative Lithographic Technologies VI(Resnick,Bencher) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . $120

9050Metrology, Inspection, and Process Control for Microlithography XXVIII(Cain,Sanchez) . . . . . . . . . . . .$130

9051Advances in Patterning Materials and Processes XXXI (Wallow,Hohle) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . $105

9052Optical Microlithography XXVII(Lai,Erdmann). . . . . . . $120

9053 Design-Process-Technology Co-optimization for Manufacturability VIII(Sturtevant,Capodieci) . . . . . . . . .$60

9054Advanced Etch Technology for Nanopatterning III(Oehrlein,Lin) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .$53

Page 74: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

72 SPIEAdvancedLithography2014 · www.spie.org/al

Helping engineers and scientists stay current and competitive

SPIEDigitalLibrary.orgFind the answer

Defense& SecurityEnergy

BiomedicalOptics

Optics & Astronomy

TechnologiesOptoelectronics & Communications

LasersNano/

Micro Sensors

Page 75: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

Readthe industry’s

LEADING magazines

Photonics news from your industry and your part of the world.

To subscribe, visit photonics.com/subscribe.

Available in print and digital formats.

To contribute to Photonics Media publications, submit a 100-word abstract to Managing Editor Laura Marshall at [email protected] for consideration.

NEW for

2014!

PhoMedia_214AdvLithography_8.375x10.75.indd 1 12/26/13 4:28 PM

Page 76: 2014 - SPIE Homepagespie.org/Documents/ConferencesExhibitions/AL14-final-L.pdf · an extensive array of backgrounds to share and learn about state-of-the-art lithographic tools, resists,

JSRMicro.com

fromDisorder.

Creating Order

Whatever the horizon brings – double patterning, EUV or DSA, JSR Micro has the solution that is ready to go right now.

On the Order of 10nm.