8
Approximate Computing for ML: State-of-the-art, Challenges and Visions Georgios Zervakis Karlsruhe Institute of Technology Karlsruhe, Gergmany [email protected] Hassaan Saadat University of New South Wales Sydney, Australia [email protected] Hussam Amrouch University of Stuttgart Stuttgart, Gergmany [email protected] Andreas Gerstlauer University of Texas Austin, U.S.A [email protected] Sri Parameswaran University of New South Wales Sydney, Australia [email protected] Jörg Henkel Karlsruhe Institute of Technology Karlsruhe, Gergmany [email protected] ABSTRACT We present a survey of approximate techniques that cover the main pillars of approximate computing research. Our analysis considers both static and reconfigurable approximation techniques as well as operation-specific approximate components (e.g., multipliers) and generalized approximate high-level synthesis approaches. As our main application target, we discuss the improvements that such techniques bring on machine learning and neural networks. In addi- tion to the conventionally analyzed performance and energy gains, we also evaluate the improvements that approximate computing brings in the operating temperature. CCS CONCEPTS Hardware Logic circuits; High-level and register-transfer level synthesis; Hardware accelerators. KEYWORDS Approximate Computing, Architecture, Accelerator, High-Level Synthesis, Inference, Logic, Low-power, Multiplier, Neural Network, Renconfigurable Accuracy, Temperature ACM Reference Format: Georgios Zervakis, Hassaan Saadat, Hussam Amrouch, Andreas Gerstlauer, Sri Parameswaran, and Jörg Henkel. 2021. Approximate Computing for ML: State-of-the-art, Challenges and Visions. In ASP-DAC 2021: ACM Asia South Pacific Design Automation Conference, June 18–21, 2021, Tokyo, Japan. ACM, New York, NY, USA, 8 pages. https://doi.org/10.1145/1122445.1122456 1 INTRODUCTION Leveraging the intrinsic error resilience of a vast number of applica- tion domains, approximate computing has emerged as a promising design alternative to boost the efficiency of computing systems. Approximate computing introduces error or quality as a new de- sign metric to be traded off for energy/power reduction and/or better performance. Typical paradigms of approximate computing Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]. ASP-DAC 2021, June 18–21, 2021, Tokyo, Japan © 2021 Association for Computing Machinery. ACM ISBN 978-1-4503-XXXX-X/18/06. . . $15.00 https://doi.org/10.1145/1122445.1122456 applications are image processing and machine learning domains. Specifically, recent breakthroughs in Neural Networks (NNs) have brought significant advancements in machine learning [1]. These rapid advancements, however, came at the cost of an immense demand for computational power. Hence, to bring the inference speed to an acceptable level, custom ASIC Neural Processing Units (NPUs) are becoming ubiquitous in both embedded and general purpose computing. NPUs perform several tera operations per sec- ond in a confined area. Therefore, they become subject to elevated on-chip power densities that rapidly result in excessive on-chip temperatures during operation [2]. As a result, radical changes to conventional computing approaches are required in order to sustain and/or improve performance while satisfying mandatory energy and temperature constraints. Hence, approximate comput- ing transforms from a design alternative to an obligation, especially when considering emerging domains such as machine learning and specifically neural networks. Driven by this high potential for power reduction, designing approximate circuits has attracted significant research interest. At the custom hardware level, approximate computing targets mainly arithmetic units [3–6] (e.g., adders and multipliers) since they form the core components of all computations and a vast number of error-tolerant applications. Specifically, in NN inference the ma- jority of the energy is consumed in the multiplication operations. Recent research showed that employing approximate multipliers in NN inference can deliver significant energy savings for a minimal loss in accuracy [6–8]. However, designing approximate circuits under quality constraints heavily increases the design time cycle since the designer has to verify both functionality and optimality as well as operating within error bounds [9]. This task becomes even more challenging as the circuit’s complexity increases. To this end, several research activities, such as approximate high-level synthesis (AHLS) [10], focus on automating the generation of ap- proximate circuits. Approximate HLS estimates error propagations and distributes the available error budget to the different approxi- mate sub-components of a larger accelerator, such as convolution operators and generic matrix multiply units. As a result, AHLS enables generating complex approximate micro-architectures that satisfy given quality requirements. Moreover, approximate computing is further subdivided into static and dynamically reconfigurable approximation techniques. The latter, leveraging that error-tolerance and the induced errors

Approximate Computing for ML: State-of-the-art, Challenges

  • Upload
    others

  • View
    3

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Approximate Computing for ML: State-of-the-art, Challenges

Approximate Computing for ML:State-of-the-art, Challenges and Visions

Georgios ZervakisKarlsruhe Institute of Technology

Karlsruhe, [email protected]

Hassaan SaadatUniversity of New South Wales

Sydney, [email protected]

Hussam AmrouchUniversity of StuttgartStuttgart, Gergmany

[email protected]

Andreas GerstlauerUniversity of Texas

Austin, [email protected]

Sri ParameswaranUniversity of New South Wales

Sydney, [email protected]

Jörg HenkelKarlsruhe Institute of Technology

Karlsruhe, [email protected]

ABSTRACTWe present a survey of approximate techniques that cover the mainpillars of approximate computing research. Our analysis considersboth static and reconfigurable approximation techniques as well asoperation-specific approximate components (e.g., multipliers) andgeneralized approximate high-level synthesis approaches. As ourmain application target, we discuss the improvements that suchtechniques bring on machine learning and neural networks. In addi-tion to the conventionally analyzed performance and energy gains,we also evaluate the improvements that approximate computingbrings in the operating temperature.

CCS CONCEPTS•Hardware→Logic circuits;High-level and register-transferlevel synthesis; Hardware accelerators.

KEYWORDSApproximate Computing, Architecture, Accelerator, High-LevelSynthesis, Inference, Logic, Low-power, Multiplier, Neural Network,Renconfigurable Accuracy, TemperatureACM Reference Format:Georgios Zervakis, Hassaan Saadat, Hussam Amrouch, Andreas Gerstlauer,Sri Parameswaran, and Jörg Henkel. 2021. Approximate Computing for ML:State-of-the-art, Challenges and Visions. In ASP-DAC 2021: ACM Asia SouthPacific Design Automation Conference, June 18–21, 2021, Tokyo, Japan. ACM,New York, NY, USA, 8 pages. https://doi.org/10.1145/1122445.1122456

1 INTRODUCTIONLeveraging the intrinsic error resilience of a vast number of applica-tion domains, approximate computing has emerged as a promisingdesign alternative to boost the efficiency of computing systems.Approximate computing introduces error or quality as a new de-sign metric to be traded off for energy/power reduction and/orbetter performance. Typical paradigms of approximate computing

Permission to make digital or hard copies of all or part of this work for personal orclassroom use is granted without fee provided that copies are not made or distributedfor profit or commercial advantage and that copies bear this notice and the full citationon the first page. Copyrights for components of this work owned by others than ACMmust be honored. Abstracting with credit is permitted. To copy otherwise, or republish,to post on servers or to redistribute to lists, requires prior specific permission and/or afee. Request permissions from [email protected] 2021, June 18–21, 2021, Tokyo, Japan© 2021 Association for Computing Machinery.ACM ISBN 978-1-4503-XXXX-X/18/06. . . $15.00https://doi.org/10.1145/1122445.1122456

applications are image processing and machine learning domains.Specifically, recent breakthroughs in Neural Networks (NNs) havebrought significant advancements in machine learning [1]. Theserapid advancements, however, came at the cost of an immensedemand for computational power. Hence, to bring the inferencespeed to an acceptable level, custom ASIC Neural Processing Units(NPUs) are becoming ubiquitous in both embedded and generalpurpose computing. NPUs perform several tera operations per sec-ond in a confined area. Therefore, they become subject to elevatedon-chip power densities that rapidly result in excessive on-chiptemperatures during operation [2]. As a result, radical changesto conventional computing approaches are required in order tosustain and/or improve performance while satisfying mandatoryenergy and temperature constraints. Hence, approximate comput-ing transforms from a design alternative to an obligation, especiallywhen considering emerging domains such as machine learning andspecifically neural networks.

Driven by this high potential for power reduction, designingapproximate circuits has attracted significant research interest. Atthe custom hardware level, approximate computing targets mainlyarithmetic units [3–6] (e.g., adders and multipliers) since they formthe core components of all computations and a vast number oferror-tolerant applications. Specifically, in NN inference the ma-jority of the energy is consumed in the multiplication operations.Recent research showed that employing approximate multipliers inNN inference can deliver significant energy savings for a minimalloss in accuracy [6–8]. However, designing approximate circuitsunder quality constraints heavily increases the design time cyclesince the designer has to verify both functionality and optimalityas well as operating within error bounds [9]. This task becomeseven more challenging as the circuit’s complexity increases. Tothis end, several research activities, such as approximate high-levelsynthesis (AHLS) [10], focus on automating the generation of ap-proximate circuits. Approximate HLS estimates error propagationsand distributes the available error budget to the different approxi-mate sub-components of a larger accelerator, such as convolutionoperators and generic matrix multiply units. As a result, AHLSenables generating complex approximate micro-architectures thatsatisfy given quality requirements.

Moreover, approximate computing is further subdivided intostatic and dynamically reconfigurable approximation techniques.The latter, leveraging that error-tolerance and the induced errors

Page 2: Approximate Computing for ML: State-of-the-art, Challenges

ASP-DAC 2021, June 18–21, 2021, Tokyo, Japan Georgios Zervakis, Hassaan Saadat, Hussam Amrouch, Andreas Gerstlauer, Sri Parameswaran, and Jörg Henkel

are context- and input-dependent, aim to improve accuracy by pro-viding a fine grain quality control and/or to further boost (power,energy, and/or delay) gains by applying more aggressive approxima-tion on less-sensitive inputs. Finally, reconfigurable approximationwas also recently applied to address thermal constraints [2]. Insteadof addressing thermal emergencies by reducing performance, by re-ducing the accuracy and hence dynamic power in the same area, thecircuit’s power density decreases, resulting in lower temperatures.

In this paper, we study state-of-the art approaches in each ofthe aforementioned categories and analyze their application in ma-chine learning and neural network domains. In Section 2, we firstevaluate approximate multipliers [6] at the component level andin Section 3, we then focus on AHLS [10] approaches targetingapproximate design automation at the complete processor or ac-celerator level. Section 4 further examines neural network specificruntime reconfigurable approximation techniques that target en-ergy and/or temperature optimization. Finally, in Section 5, wediscuss the challenges, limitations, and open issues of approximatecomputing applications in the machine learning domain.

2 APPROXIMATE COMPONENTSMultiply-and-accumulate (MAC) operations are the fundamentaland dominant computations in neural network algorithms. It hasbeen reported that the MAC operations consume nearly 99% energyin DNNs [11]. Within a MAC unit, a multiplier is more complex andresource-hungry than the adder. Therefore, approximating the hard-ware multiplier units is one of the promising avenues for achievingoverall system efficiency. In approximation of hardware arithmeticunits, the logic circuit of the arithmetic unit is simplified suchthat it becomes faster, smaller, and/or less power/energy-hungrywhile producing erroneous outputs. In other words, the accuracyof the arithmetic unit is considered as another dimension of thedesign space, which is compromised for gains in all other designdimensions. This type of approximation is specifically referred toas the functional approximation of hardware units. Since the neuralnetwork algorithms are error-resilient, these erroneous outputs atthe component-level have negligible effect on the application levelaccuracy [12].

2.1 Design Goals for Approximate MultipliersWhen designing an approximate arithmetic unit, the primary goalis to achieve most resource-efficiency with least error (inaccuracy)1.In addition to this primary goal, it is desirable to follow a few otherdesign considerations, as explained below.• Error-configurability: Different applications and their use-casesmay have differing degrees of error resilience and acceptablelevels of output degradation [14]. These two factors demand thatthe approximate multiplier design should be error-configurable,which allows the system designer to tradeoff the degree of ap-proximation with resource-efficiency gains for the desired outputquality in a given application. The error-configurability can bedesign-time or run-time. This section focuses on the approxi-mate multiplier designs with design-time reconfigurability. Therun-time reconfigurability is discussed in Section 4.

• Low Error Bias: An approximate arithmetic unit produces outputswhich may not be correct for several input combinations. The

1The error is typically characterized using various error metrics [6, 13].

outputs can be greater (positive error), or smaller (negative error)than the correct value. Preferably, the approximate arithmeticunit should produce both negative and positive errors in a bal-anced manner for the various input combinations . This leadsto cancellation of errors in successive computations instead ofaccumulation. This capability of an arithmetic unit is referred toas low error bias.

• Systematic Approaches for the Introduced Approximations: In re-cent years there is a demand for systematic approaches in ap-proximate computing [15]. Specifically, the approximation inarithmetic units should be based on mathematical formulationinstead of ad-hoc based modification/simplification of logic de-sign [16].

2.2 Design-time Functionally ApproximateMultipliers

As discussed earlier, the error-configurability in the approximatemultipliers can be design-time or run-time. In general, one keyadvantage of the functional approximation (both design-time andrun-time) is that it can be incorporated in the system at RTL levelwhile utilizing the traditional synthesis and HLS tools, standard-cellCMOS libraries, and/or semiconductor fabrication technologies. Inother words, it can be deployed in computing industry straight-away to meet the growing computing demands without significantinvestment in technology development.

While the design-time approximation implies that the approx-imate level is fixed once the system is synthesized/fabricated, itsmost prominent advantage is that it can offer improvements inarea, latency, and energy/power consumption, i.e., improvementin all design parameters at the same time. This means that, for agiven area and power budget, more compute power can be packedresulting in greater throughput when compared to using accuratemultipliers. Alternately, to improve the total power consumption aswell as the power density, the approximate multipliers (with lowerarea and delay) can be operated at lower frequency and voltage foriso-performance with slightly reduced accuracy. Another possibleapproach to improve the power density is to use slightly largertechnology nodes, such as 45nm. Because the approximate compo-nents require fewer logic real-estate, the overall silicon footprintcan be same with lower power density than when compared tousing accurate multipliers on a smaller technology node.

A neural network generally has two phases: training, and infer-ence. Recent research has shown that the inference phase can beimplemented using low-precision fixed-point data format, whichrequires integer arithmetic units at the hardware level. On the otherhand, the training phase demands high dynamic range to representthe intermediate data (e.g., the gradients), and thus floating-pointformat is needed. Therefore, design of resource-efficient approxi-mate integer as well as approximate floating-point multipliers isrequired to boost the resource-efficiency of ML systems.

2.3 Approximate Integer MultipliersOver the last decade, several designs for functionally approximatemultipliers have been proposed. While a majority of them are errorconfigurable (design-time), most of them are either based on ad-hoc mechanisms or do not have low error bias. A summary ofsome popular works depicting their features are shown in Table 1.The table depicts that the Mitchell’s multiplier, MBM, ImpLM, and

Page 3: Approximate Computing for ML: State-of-the-art, Challenges

Approximate Computing for ML: State-of-the-art, Challenges and Visions ASP-DAC 2021, June 18–21, 2021, Tokyo, Japan

Table 1: Approximate integer multipliers and their features.

ApproximateMultiplierDesign

ErrorConfigurable

LowError Bias

Design involvesMathematicalFormulation

ETM [17] ✓ ✗ ✗AWTM [18] ✓ ✗ ✗UDM [19] ✓ ✗ ✗DRUM [20] ✓ ✓ ✗SSM [21] ✓ ✗ ✗Mitchell [22] ✗ ✗ ✓MBM [6] ✓ ✓ ✓ImpLM [23] ✓ ✓ ✓REALM [16] ✓ ✓ ✓

REALM are based on mathematical formulation. These multipliersare the approximate log-based multipliers, which are based on thelog multiplication property.

The Mitchell’s multiplier is the most basic approximate log basedmultiplier. It approximates log of the input operands by linear ap-proximation between each power-of-two-interval of the operands,and then adds and scales them to get the approximate product. How-ever, it is non-configurable and has high error bias. The ImpLMdesign improves the error in Mitchell’s multipliers by modifying theapproximation of the log curve. The MBM and REALM multiplierswere designed by incorporating error reduction mechanisms in theMitchell’s approximate log-based multiplier while addressing theissue of non- configurability and high error bias.

These approximate-log multipliers along with a few other popu-lar approximate multipliers are compared in Figure 1, where meanerror (also referred to as MRED [13]) is plotted against area andpower reduction percentages (calculated with respect to an accu-rate integer multiplier). The Figure shows that the REALM andMBMmultipliers, while supporting the desirable features discussedabove, also provide Pareto Optimal points in the design space.

2.4 Approximate Floating-point MultipliersA floating-point (FP) multiplier is typically more complex and hencemore resource-hungry than its integer counterpart. The FP multi-plier consists of various sub-operations: mantissa multiplication,exponent addition, rounding, normalization, and other logic such asexception handling. Among these, the mantissa multiplier (whichis an unsigned integer multiplier) is the most resource-hungrycomponent, as it contributes to nearly 90% of the total area andpower consumption in an IEEE single-precision FPmultiplier [6, 24].

50 60 70 80 90

Power Reduction (%)

0

1

2

3

4

Mean E

rror

(%)

50 60 70 80

Area Reduction (%)

0

1

2

3

4

Mean E

rror

(%)

(a) Power-reduction vs. Mean Error (b) Area-reduction vs. Mean Error

Figure 1: Comparison of several approx. integermultipliers.

Table 2: Selected approx. FPmultipliers comparedwith IEEESingle Precision multiplier and AlexNet errors.

FPMultiplier

Improvement perMultiplication Error Rate

Power Area Top-1 Top-5IEEE Sing. Prec. ref. ref. 42.8% 19.6%

AFDM-0 1.3× 1.4× 42.8% 19.6%AFDM-10 4.1× 4.1× 42.8% 19.6%AFMB-10 33.4× 18.6× 42.2% 19.8%AFMB-20 123.3× 32.1× 41.8% 20.2%

Hence, several researchers have targeted approximation of mantissamultiplier for improving the overall resource-consumption of theFP multiplier. The traditional method of reducing the complexityof mantissa multiplication is to truncate the LSBs of the mantissa(also referred to as precision scaling). In recent year, some researchworks have used approximate integer multipliers as mantissa mul-tipliers and demonstrated that it can yield better trade-offs thantraditional precision scaling method [6, 25].

To this end, the approximate log-based integer multipliers arepreferable than the other approximate multiplier schemes [6]. Sincethe mantissa in FP multiplication is normalized, the leading-one isalways fixed at the MSB position. Therefore the leading-one detec-tion and barrel shifting logic in the approximate log-based multi-pliers can be removed without any accuracy degradation resultingin significant efficiency gains. An example of such approximatemultipliers are the AFMB proposed in [6]. A few of the error config-urations of these approximate FP designs synthesized at 1GHz usingTSMC 45nm library are compared with the IEEE single-precisionFP multiplier in Table 2.

2.5 Example: CNN InferenceConsider a software implementation of the inference phase ofAlexNet CNN, pre-trained using the ILSVRC-2012 dataset. First, weperformed classification using IEEE single-precision FP arithmetic.Next, we replaced each FP multiplication in the implemented CNNwith the software-based functional models of a few approximate FPmultipliers (AFMB-𝑡 and AFDR-𝑡 [6]) and performed classificationover the same set of images.

The Top-1 and Top-5 error rate results using each of the selectedFP multipliers are depicted in Table 2, along with the power andarea improvements per FP multiplier. The table shows that theclassification errors, when using the approximate FPmultipliers, arevery close to the classification errors obtained when using the IEEEsingle-precision FP arithmetic. Thus, the approximate FPmultiplierscan reduce the power and area contributed by the FP multipliers tothe whole system up to 123× and 32×, respectively, with negligibledegradation in the classification accuracy of AlexNet.

3 APPROXIMATE HIGH-LEVEL SYNTHESISApproximate adders and multipliers as described in the previoussection provide the combinational building blocks for approximatedatapath, processor and accelerator designs. Approximations canin general be achieved by simply reducing the bit precision, bymore intelligent approximating, or by even completely eliminat-ing individual datapath operations at the expense of accuracy infinal outputs. As described previously, in case of machine learningand neural network applications specifically, such approaches havebeen widely applied to trade off prediction accuracy for energy and

Page 4: Approximate Computing for ML: State-of-the-art, Challenges

ASP-DAC 2021, June 18–21, 2021, Tokyo, Japan Georgios Zervakis, Hassaan Saadat, Hussam Amrouch, Andreas Gerstlauer, Sri Parameswaran, and Jörg Henkel

complexity savings. Traditionally, however, corresponding accel-erators have been hand-crafted where approximations are applieduniformly across all operations and functional units in an applica-tion or design, e.g. as shown in the example in Section 2.5.

In a sequential design, the impact of approximations appliedto individual operations depends on their interaction with otherapproximations in a larger sequence of computations. Errors cancancel and average each other out, e.g. when using approximationswith a zero-centered and unbiased error distributions in case oflarger accumulations or dot-product operations that are common inML applications [3]. Similarly, depending on the application and itsdata flow pattern, errors in earlier operations can be either ampli-fied or dampened by downstream computations. Error-configurablefunctional units as described in Section 2 allow designers to selectthe amount of approximations applied to each operation. The chal-lenge is, however, in determining the approximation configurationof each operation in a larger data and control flow graph (CDFG) inorder to achieve the best overall application-level accuracy-energytradeoff while accounting for such interactions. With large designspaces and non-obvious tradeoffs, systematic approaches and effec-tive tools are required to automatically derive such quality-energyoptimal implementations.

Selection of optimal precision and approximations for each op-erator in a larger accelerator design can be naturally folded intoexisting high-level synthesis (HLS) tools. Such tools are concernedwith automatically generating a register-transfer level (RTL) designfrom a given high-level description written in, e.g. C or SystemC.Scheduling and binding tasks in HLS when and where, i.e. in whichclock cycle and on which resource to execute each operation ina CDFG. Within this context, approximate HLS (AHLS) tools ad-ditionally select approximate units to determine what type andthe amount of approximations to apply in each operation whileaccounting for strong interactions with traditional scheduling andbinding tasks [10, 26]. In general, approximations and associatedlogic simplifications affect not only switching activity and energybut also critical path delay of operators. This can be considered dur-ing scheduling and binding, e.g. to pack more operations into oneclock cycle and reduce total latency or to balance slack and thusmax-imize clock frequency. Associated performance gains can in turn betranslated into additional energy savings through voltage scalingunder iso-performance assumptions. Compared to switching activ-ity reductions alone, voltage scaling is particularly attractive andimpactful due to the quadratic relationship between voltage andpower or power density. Such opportunities can only be consideredto a very limited extend by approaches that apply approximationsto an already pre-designed, fixed RTL.

3.1 AHLS OverviewFigure 2 shows an overview of a typical AHLS flow [10, 26]. Theinput to the flow is a C description of the accurate design behaviorincluding testbench and optimization parameters, as well as anaccuracy constraint on the primary design outputs. The output is anapproximate RTL description that maximized energy savings whilemeeting accuracy constraints. Approximations are performed asadditional quality-energy optimization passes that tightly integratewith a traditional HLS flow (on the left).

Synthesis

Code gen.

Front-end parser

C source codes for design and testbench

Verilog RTL code for approximate design and testbench

Optimization setup(approximation points, output requirements, clustering options)

IR

op

timal

so

lutio

n

Scheduling

Optimization solver

Binding

Loop Clustering

Dist. metric com.Cluster number decision

IR updateSens. & disp.

index measure

reordering information

IR, data stat.

Data profiling Scheduling

clus

terin

g re

sult

Reorder. & hier. clustering

Optimization

IR

IR

Models Quality

Perfomance Energy

qual./perf./ener. estimates

initial sched.

Preprocessing

Figure 2: Approximate high-level synthesis (AHLS) [26].

Quality effects of approximations strongly depend on input dataapplied to operators. In a first pre-processing step, one-time profil-ing and scheduling of the design is performed to collect operation-level data statistic and mobility information. At the core of the opti-mization flow are then error/quality, energy and performance mod-els that can predict the impact of different approximations appliedto each operation on the final design. These models are in turn usedby an optimization solver to perform a heuristics-based search in thedesign space and find Pareto-optimal solutions. The approximation-optimized solution together with updated delay information is thenfed to the existing HLS flow to perform traditional scheduling andbinding optimizations in the area-performance space.

Supported approximation techniques can be general in termsof approximated integer or floating-point arithmetic operators, in-cluding traditional fixed-point truncation or rounding. To this end,AHLS tools utilize an approximate component library with pre-characterized error, delay and energy models for different units. Inaddition, automatic pruning of operations as is widely employed inneural network literature can be folded into the AHLS flow as anextreme form of rounding that removes all data bits and completelyeliminates operations [10]. In contrast to existing approaches thatonly consider the accuracy impact or that employ overly simplifiedcost models, incorporating pruning optimizations into AHLS toolsagain allows for consideration of their complex interactions withscheduling and binding during synthesis.

Finally, as a special form of signal processing, ML applications areoften dominated by loop structures in the source code. Loops havetraditionally been a key optimization target in HLS, but withoutconsideration of approximations or quality tradeoffs. Data statisticsand thus the impact of approximations can vary across loop itera-tions. Synthesizing loop bodies to apply the same approximationsto all iterations ignores such variations. By contrast, loops can beunrolled such that each iteration can be approximated individually,but this can result in large resource overhead. Instead, dedicatedapproximation-aware loop optimizations have been proposed ap-plied within an AHLS context [27]. In such approaches, loops areclustered and reordered according to their data statistics and henceapproximation impact such that different approximations can beapplied for each cluster.

3.2 Error and Quality ModelsA crucial component to automated approximation optimizationsare fast and accurate quality models that can predict the overall

Page 5: Approximate Computing for ML: State-of-the-art, Challenges

Approximate Computing for ML: State-of-the-art, Challenges and Visions ASP-DAC 2021, June 18–21, 2021, Tokyo, Japan

branch

join

. . .

pb

y2= x5 + x6 + ER2 ER2 = P(ER0, ER1) + G(D5, D6, s2)

ER0=G(D1,D2,s0) ER1 =G(D3,D4,s1)

s0 s1

s2

Generation Generation

Propagation

Generation

x5 = x1x2 x6 = x3x4

D1 D2 D3 D4x1 x2 x3 x4

D5 D6

Figure 3: Quality/error modeling [26].

accuracy impact of errors in individual operations of a larger appli-cation. Traditionally, simulation-based or analytical error modelingapproaches have been used dating all the way back to traditionalfixed-point analysis and optimization [28]. However, simulation ap-proaches are flexible but often too time-consuming for design spaceexploration. By contrast, analytical models are fast but have limita-tions in supported approximation types. To address this challenge,semi-analytical and statistical quality models have been proposedinstead [29]. In general, as Figure 3 shows, errors (𝐸𝑅) can be mod-eled as independent and additive to data (𝐷), where the error at theoutput of an operation is a superposition of the error generated bythe operation itself (𝐺) and errors at the operand inputs propagatedby through the operation (𝑃 ). Data statistics 𝐷 can be obtainedfrom one-time profiling of the accurate code. By contrast, errors𝐺𝑖

generated by an operation 𝑖 as a function of approximation level 𝑠𝑖depend on the specific approximation technique, where correspond-ing models for different approximate adders and multipliers havebeen proposed. Finally, depending on the desired quality metric,error propagation 𝑃 requires traversing the CDFG in a breadth-firstmanner while accounting for branching and other control flow in aprobabilistic manner (𝑝𝑏 ). For example, to estimate SNR, a meanand variance propagation method can be employed [10].

3.3 SynthesisQuality models are further combined with performance and energymodels that account for both scheduling impact and voltage scaling.Given such models, quality-energy optimization becomes a gen-erally non-linear and non-convex problem of minimizing energyor power density under quality constraints given integer decisionvariables for the optimization type and level of each operation. Thisoptimization problem can be solved using standard meta-heuristicsor specialized heuristic solvers that greedily evaluate solutions bytraversing the graph in a breadth-first, branch-and-bound man-ner [10]. In the process, the aforementioned loop clustering opti-mizations can be applied as a pre-processing step [27]. StandardHLS scheduling and binding passes are then executed for the bestset of solutions obtained from quality-energy optimization, wherea post-synthesis slack balancing optimization selects the final solu-tion for which synthesized RTL code is generated.

Figure 4 shows results of synthesizing a general matrix-matrixmultiplication (GEMM) design with image input data as the coreoperation in image recognition neural network applications us-ing fixed-point rounding as approximation technique. The figureon the left shows the quality-energy tradeoff enabled by AHLS

15 20 25 30

0.5

0.6

0.7

0.8

0.9

1

SNR (dB)

Nor

mal

ized

Ene

rgy

2.3%

24.6%

25%

PS

PS+OP

PS+OP+TR

x

w/o VS

w/ VS

0.5 1 1.5 2 2.5 3 3.5

0.2

0.4

0.6

0.8

1

Norm. area

Nor

m. la

tenc

y

Rolled Unroll by 2 Unroll by 4 ClustSNR=10 dB

SNR=20 dB

SNR=30 dB

Figure 4: AHLS results for matrix multiplication example:quality-energy tradeoff (left) and loop optimizations (right).

for different SNR constraints, where energy values are normalizedagainst the accurate baseline. Results are shown with and with-out voltage scaling (VS) using precision-scaling alone (PS) or incombination with operation pruning (OE) and/or scheduling opti-mizations (TR). Results show that without VS and correspondingopportunities to exploit processing time reductions, savings arelimited. By contrast, VS can unlock significant additional gains,where pruning and scheduling optimizations can contribute up to25% additional savings at more aggressive quality goals. Overall,up to 50% energy savings are achievable while maintaining highaccuracy through joint precision scaling, pruning and schedulingoptimizations. Finally, the graph on the right shows additional ben-efits of optimizing the main GEMM loop. Loop clustering primarilytargets performance, but latency gains can be translated into energysavings through voltage scaling. Results show that compared to theoriginal rolled design, up to 12% additional latency improvementscan be obtained at the expense of larger area, where latency gainsare the same or better than unrolling the loop by a factor of 2 or 4,which comes with an even larger area overhead.

4 RECONFIGURABLE APPROXIMATION FORNEURAL NETWORK INFERENCE

Although fixed approximation circuits, as presented in prior sec-tions, may deliver significant area, power, and/or delay gains, therequirement for numerical accuracy is not constant at runtime andthe output quality is highly input dependent [30]. Therefore, fixedapproximation circuits are designed under worst case scenarios,limiting the potential benefits [31]. The need for runtime adaptabil-ity becomes even more evident in the case of Neural Network (NN)accelerators, where the delivered accuracy highly depends on theNN size and architecture. Given an approximate multiplier, as theNN becomes deeper, the accuracy loss increases significantly [8].Similar results are obtained for NNs with similar depth but differentarchitectures. This issue can be addressed by applying approxima-tion aware re-training [7]. However, for DNNs, the latter becomesa very time consuming procedure, if not even infeasible.

4.1 Layer-Wise ApproximationA heuristic framework is proposed in [31] that automates the gen-eration of approximate reconfigurable combinational circuits. Wire-by-switch replacement is used as approximation technique and [31]employs high-level error, power, and delay estimation to avoid anyhardware related evaluations and speedup the optimization proce-dure. During accurate operation, each switch outputs the wire’svalue, while during approximate operation, the switch output is

Page 6: Approximate Computing for ML: State-of-the-art, Challenges

ASP-DAC 2021, June 18–21, 2021, Tokyo, Japan Georgios Zervakis, Hassaan Saadat, Hussam Amrouch, Andreas Gerstlauer, Sri Parameswaran, and Jörg Henkel

Figure 5: The accuracy-energy tradeoff of ResNet8 when us-ing layer-wise approximation and the approximate reconfig-urable LRERMmultiplier [31].

a constant ‘0’ or ‘1’ limiting the circuit’s switching activity. Theheuristic optimization identifies the wires that will be replacedby switches so that a given quality function/constraint is satis-fied and the dynamic power is minimized. The circuits generatedby [31] support several accuracy levels, feature significant powerreduction, and a slightly increased area. The accuracy level is dy-namically selected at runtime by just setting a control signal. An8-bit low relative error reconfigurable multiplier, namely LRERM,was generated in [31]. LRERM supports three accuracy levels, i.e.,accurate and 0.5%, 1.5% mean relative error. Using LRERM in NN in-ference enables layer-wise approximation, i.e., dynamically set thedesired accuracy level per convolution layer at runtime. The mostsensitive layers can select more accurate execution while the lesssensitive ones can switch to higher approximation and increase theenergy/power gains. Figure 5 presents the energy-accuracy Paretospace for ResNet8 when using LRERM in the inference phase. Eachpoint in Figure 5 corresponds to a different runtime configuration,i.e., LRERM accuracy level per layer. As shown in Figure 5, layer-wise approximation with LRERM delivers 15% energy reduction foronly 0.5% accuracy loss. Therefore, using dynamically reconfigurableapproximate multipliers in NN inference, efficiently eliminates theneed for retraining and enables achieving significant energy/powersavings, while still satisfying tight accuracy loss thresholds.

4.2 Weight-Oriented ApproximationHowever, even for the very small ResNet8, the size of the designspace is significant (i.e., more that 2000 configurations in Figure 5)and for deeper NNs it will increase exponentially. As a result, al-though adopting approximate reconfigurable multipliers in NNinference offers high flexibility in controlling the power-accuracytradeoff, a systematic approach is mandatory to enable efficientmapping of NNs to the supported accuracy levels of the approxi-mate hardware and thus maximize the gains while still satisfyingtight accuracy thresholds. Unlike the coarse-grain layer-wise ap-proximation approaches, [8] proposed a fine-grain weight-orientedNN approximation, using approximate reconfigurable multipliers.First, [8] proposed an error correction to mitigate the error inducedby the approximate multiplications in the convolution operation.The proposed error correction is implemented by just modifyingaccordingly the filters’ biases. Given the convolution operation:

𝐹 = 𝐵 +𝑛∑𝑗=1

𝑊𝑗 ×𝐴𝑗 , (1)

the bias of each filter is modified as follows:

L1 L2 L3 L4

1

8-bit quantized NN

output

Input

images

L1 L2 L4output

Input

images

L3

Determine layer

significance

L1 L2 L3 L42Map entire convolution

layers to LVRM2

L1 L2 L4Input

images

L3

3Map ranges of weights per

convolution layer to LVRM2

L2 L4Input

images

L3

4Map ranges of weights per

convolution layer to LVRM1

L1

LVRM1 LVRM2LVRM0

output

output

Layer significance

Figure 6: Weight-oriented approximate inference using low-variance approximate reconfigurable multipliers [8].

𝐵′ = 𝐵 +𝑛∑𝑗=1

` (𝜖𝑊𝑗), (2)

where ` (𝜖𝑊𝑗) is the mean error of the approximate multiplication

𝑊𝑗 ×𝐴, ∀𝐴. As a result, the mean error and variance of the approx-imate convolution are given by:

` (𝜖𝑌 ) = 0

and𝑉𝑎𝑟 (𝜖𝑌 ) =𝑘∑𝑗=1

𝑉𝑎𝑟 (𝜖𝑊𝑗),

(3)

where 𝑉𝑎𝑟 (𝜖𝑊𝑗) is the variance of the approximate multiplication

𝑊𝑗 ×𝐴, ∀𝐴. Hence, the proposed bias correction effectively nullifiesthe mean convolution error and the accuracy of the convolutionoperation is defined only by the error variance of the approximatemultiplier. Based on (1)-(3), low-variance is a more important errormetric when designing approximate multipliers for NN inference.To this end, a low-variance 8-bit approximate reconfigurable mul-tiplier (namely LVRM) with three accuracy levels (i.e., LVRM0,LVRM1, LVRM2) was generated using [31]. To map the weightsof each layer to the accuracy levels of LVRM, a greedy approachwas used (Figure 6). For each layer, three ranges are extracted (i.e.,one for each accuracy level LVRM0, LVRM1, and LVRM2) anddepending on the range that weight belongs to, the respective accu-racy level is selected at runtime. In addition, the mapping algorithmleverages the significance of each layer and assigns more aggressiveapproximation to the less significant layers. This range approachis followed since it requires a very simple control circuitry with aminimal area overhead. Assuming a 64 × 64 systolic MAC array,only 256 8-bit comparators are required to implement the proposedmapping. The total area overhead is only 3% [8]. Table 3 presents theenergy reduction with respect to the multiplication operations andthe corresponding accuracy loss, when applying weight orientedapproximation. Seven NNs trained on Cifar100 are considered. As

Page 7: Approximate Computing for ML: State-of-the-art, Challenges

Approximate Computing for ML: State-of-the-art, Challenges and Visions ASP-DAC 2021, June 18–21, 2021, Tokyo, Japan

Table 3: Accuracy Loss and Energy Reduction of Multiplica-tions for Varying NNs Trained on Cifar100 [8]

Neural Network Accuracy loss (%) Energy Reduction (%)ResNet32 0.5 17.44ResNet56 0.2 16.26VGG11 0.5 18.62VGG13 0.5 18.56MobilNetv2 0.4 18.72

shown, the delivered energy reduction is 17.9% on average, whilethe accuracy loss is up to 0.5%.

Runtime reconfigurable approximation approaches deliver highpower reduction without decreasing the area. Hence, a significantreduction in power density is achieved that translates to a temper-ature decrease. For example, considering a 64 × 64 systolic MACarray, the approximate architecture of [8] features the same operat-ing frequency, 1.03x higher area, 11% lower power, and thus 14%lower power density. Therefore, reconfigurable approximation canbe employed to efficiently address thermal constraints.

4.3 Temperature-Aware ApproximationLeveraging the impact of runtime reconfigurable approximationon the temperature, [2] proposed PFS-TE, the first hybrid thermalmanagement for Neural Processing Units (NPUs). PFS-TE uses threeknobs to control the temperature at runtime: frequency scaling,advanced on-chip cooling (superlattice thin-film Thermoelectric(TE) [32]), and dynamic approximation through precision scaling.Frequency scaling trades-off throughput to decrease temperature.Decreasing the operating frequency results to lower dynamic powerand thus lower power density. Nevertheless, since the throughputof the NPU is linearly correlated to frequency, frequency scalingdecreases significantly the NPU performance. Active Cooling trades-off power with temperature. As the input current of superlattice TEincreases, the on-chip temperature decreases significantly. How-ever, increasing the TE input current, increases the chip’s powerconsumption. Finally, Precision Scaling trades accuracy for temper-ature decrease. Dynamically decreasing the precision of weightsand activations, results in reduced switching activity and thus lowerpower and power density. On the other hand, using lower precisionleads to an accuracy loss. In [2], precision scaling is applied thoughpost-trainning min/max quantization to compensate some of theaccuracy loss. Dynamic approximation through precision scaling isa vital component of PFS-TE since its role is twofold. For the samecooling cost (current in TE), precision scaling can be used to reducethe power and thus the temperature. In addition, for the same totalchip power consumption, precision scaling can used to increaseboth the frequency as well as the input current of TE and boostthe performance of the NPU under the same temperature thresh-old. As a result, for given total power and temperature constraints,approximation becomes inevitable in order to boost performance.

Figure 7 presents the efficiency improvement achieved by PFS-TE compared with the baseline. To reduce the temperature, thebaseline applies frequency scaling and maximum forced convec-tion air-cooling. Efficiency is defined as performance per Joule(TOPS/Joule). As shown in Figure 7, when no precision scalingis applied, PFE-TE can deliver 1.6x higher efficiency for the sameaccuracy (i.e., 8bit in Figure 7). However, when precision scaling isapplied, PFS-TE can deliver 2.4x higher efficiency than the baseline.Hence, as Figure 7 shows, dynamic approximation significantly

some accuracy loss is acceptable by the executed NN.

B. NN Accuracy and Efficiency Evaluation

In Fig. 6, we demonstrated that for the same throughput asin the baseline, PFS-TE significantly reduces the temperature.Similarly, Fig. 7 showed that for the same temperature, PFS-TE delivers a much higher throughput. However, in bothscenarios, these improvements typically come with high poweroverheads. For this reason and to have fair comparisons, weinvestigate the efficiency of the MAC array and summarizethe results in Fig. 8. The efficiency is defined as:

Efficiency (TOPS/Joule) = Frequency× ThroughputWatt

(4)

In addition to PFS-TE, we also consider PFS, i.e., withouton-chip TE cooling, in order to elucidate the impact ofsuperlattice TE (cooling cost contributor) within the efficiency.The efficiency in Fig. 8 is reported as a normalized valuew.r.t the efficiency of the baseline. On average, compared tothe baseline, PFS-TE provides 1.40x, 1.99x, and 2.26x higherefficiency when considering Tcrit = 105°C, 85°C, and 70°C,respectively. The corresponding efficiency gains due to PFSare 1.23x, 1.27x, and 1.24x, respectively. The efficiency gainof PFS increases for 105°C to 85°C but then drops again for70°C. The constraint of 70°C is very low and thus, unlike PFS-TE, PFS has to significantly decrease the frequency, impactingthe delivered efficiency, in order to satisfy it. As a result,Fig. 8 demonstrates that PFS-TE delivers very high efficiencyimprovement and shows that the induced cooling cost doesnot affect the efficiency of the MAC array. Compared toonly PFS (i.e., no TE cooling) PFS-TE achieves on average1.15x, 1.58x, and 1.82x higher efficiency. As discussed inFig. 7, as Tcrit becomes tighter, the cooling cost of PFS-TE becomes more noticeable and highly impacts the totalpower of the MAC array. On the other hand, as shown inFig. 8, for lower Tcrit, the efficiency provided by PFS-TE(compared to both baseline and PFS) is considerably higher.Therefore, not only the cooling cost due to on-chip TE doesnot have a negative impact on the efficiency of the MACarray, but TE is a primary contributor to the overall efficiencygain. For example, considering the 8-bit PFS-TE (i.e., withoutscaling the precision), compared to the baseline, the efficiencyincreases from 1.26x at Tcrit = 105°C to 1.78x at 70°C.

As shown in Fig. (6-8), the 8-bit PFS-TE delivers high im-provements in temperature, throughput, and efficiency. Theseimprovements become even higher when lowering the pre-cision (7-bit to 5-bit PFS-TE). Therefore, in Fig. 8 weadditionally include NN inference accuracy analysis to putthe presented results into perspective. To achieve this, weuse PyTorch and we train 20 state-of-the-art NNs listed inTable I for the ImageNet dataset [11]. Then, we employ thequantization procedure described in Section II-B and quantizethe NNs at different precision. Table I summarizes the Top-5 accuracy of the examined NNs for 8-bit to 5-bit precisionas well as for the reference 32-bit float point. The last rowof Table I presents the average accuracy (w.r.t the examinedNNs) attained at each precision level. As shown in Table I,different NNs exhibit different tolerance to PS. For example,

0.8

1.2

1.6

2

2.4

2.8

8-bit 7-bit 6-bit 5-bit0

20

40

60

80

100

Nor

m.E

ffici

ency

NN

Acc

urac

y[%

]

Inference Precision

PFS-TEResNet-34

VGG-11Wide ResNet-101-2

MobileNet v2

Tcrit = 85°C

Fig. 8: Efficiency (TOPS/Joule) analysis of our hybrid thermalmanagement of NPUs, at three different thermal constraints.The lower the precision level, the higher the efficiency.

ResNet-34 and ResNet-18 still feature > 80% accuracy evenwhen performing inference at 5-bit. MobileNet-v2, on theother hand, is very sensitive to compression and its accuracydrops from 83.87% at 8-bit to merely 66.67% at 7-bit.

In Fig. 8, we consider four representative NNs from Table Iw.r.t how their accuracy is affected by precision scaling.ResNet-34 achieves 82.87% accuracy at 5-bit precision whileat 6-bit its accuracy loss is less than 1%. Hence, 6-bit PFS-TE can be used out-of-the-box for ResNet-34 and 5-bit PFS-TE can be used when 82.87% accuracy is satisfactory atrun-time. Compared to the baseline and considering 105°Ctemperature constraint, this translates to an efficiency im-provement of 1.43x for almost no accuracy loss and 1.57xfor 82.87% accuracy. These improvements go up to 2.33xand 2.92x, respectively, when the temperature constraint is70°C. Similarly, for a small accuracy loss (4%) VGG-11 canbe executed with 6-bit PFS-TE and benefit of 1.43x up to2.33x efficiency improvement. On the other hand, only 7-bitand 8-bit PFS-TE can be selected for the WideResNet-101-2and MobileNet v2, respectively. This results to an efficiencyimprovement of WideResNet-101-2 that ranges from 1.33x for

Figure 7: Efficiency (TOPS/Joule) improvement delivered byPFS-TE[2]. An 85°C temperature constraint is considered.

boosts the efficiency (from 1.6x to 2.4x, i.e., 1.5x). In addition, Fig-ure 7 presents the accuracy variation w.r.t. precision scaling for fourNNs trained on the ImageNet dataset. The latter highlights the needfor dynamic approximation, since different NNs feature significantlydifferent accuracy loss under the same approximation.

5 CHALLENGES, VISION, AND PERSPECTIVEApproximate units such as multipliers form the basic buildingblocks for approximate hardware processors and accelerators. Ap-proximate design of higher-level building blocks such as MAC anddot-product units, considered as a whole, further promises gainsin resource-efficiency. Synergizing the state-of-the-art research inapproximate multipliers and adders is important in this regard.

AHLS tools utilize libraries of such components to explore energy-accuracy tradeoffs at the whole RTL design level. Novel types ofcomponents can thereby open up whole new regions of the designspace. Utilizing such components in AHLS and automated designspace exploration tools will, however, require appropriate analyticalor semi-analytical error (as well as delay and energy) models to bedeveloped. Components that inherently provide predictable behav-ior based on well-defined mathematical foundations, e.g., log-basedmultipliers, may provide specific advantages in that regard.

At the same time, reconfigurability has to be supported by AHLStools that allow for automatic synthesis of sequential, multi-stagecircuits that can provide a set of configurable accuracy levels attheir primary outputs while minimizing average energy includingreconfiguration overhead. Given that a finer reconfiguration gran-ularity at the individual stage level will come with larger overhead,the challenge is in finding the optimal combination of configura-tions in each stage to achieve the desired accuracy levels at primaryoutputs [33]. This further expands the design space and createsadditional interactions with scheduling and binding tasks.

In addition to input variations, reconfigurability can also beused to compensate for other dynamic effects within the hardwareitself. Specifically, several degradation mechanisms such as temper-ature and/or aging effects manifest themselves as delay increasesin the critical paths of circuits that vary over time. Such delay in-creases result in timing violations and hence timing errors, due tounsustainable clock frequencies. To avoid that, circuits’ designerstraditionally need to include sufficient timing guardbands to keepdegradation-induced timing errors at bay. However, timing guard-bands directly lead to large efficiency losses. It has been recently

Page 8: Approximate Computing for ML: State-of-the-art, Challenges

ASP-DAC 2021, June 18–21, 2021, Tokyo, Japan Georgios Zervakis, Hassaan Saadat, Hussam Amrouch, Andreas Gerstlauer, Sri Parameswaran, and Jörg Henkel

shown that employing principles from approximate computing en-ables designers to translate stochastic degradation-induced errors(which typically result in catastrophic failures and unacceptable lossin quality of circuits unless carefully controlled [34]) into determin-istic and well-controlled approximations. This enables designersto trade-off efficiency with approximation while still overcomingdegradation effects such as temperature [35] and/or aging [36, 37].

As discussed in Sections 2-4, there is a vast number of worksthat focus on improving the efficiency of neural network inferenceaccelerators through approximate computing. However, researchactivities on approximate circuits for neural network training arestill very limited. Using approximate accelerators in training mightraise several issues/challenges that have to be addressed. For ex-ample, training might not converge or the trained model couldbe tightly coupled to this specific accelerator. Hence, running theobtained model on another platform (even an accurate one) mightresult in high accuracy loss.

In the ML application space, we are also seeing increasing trendstowards customization and specialization of ML models and neuralnetwork architectures. This has led to the emergence of irregularML architectures and automated machine learning (auto-ML) toolsthat explore corresponding design spaces to synthesize application-specific ML models for a given deployment. However, existingauto-ML approaches tend to either focus purely on accuracy op-timization or use overly simplified complexity and cost models.In practice, auto-ML tools should be implementation-aware andclosely coupled with ML-specific approaches and tools for hard-ware/software synthesis. This conversely necessitates the develop-ment of domain-specific, ML-aware AHLS and other approximatehardware design tools. Existing techniques for approximate com-puting have in general been largely applied in isolation at differentlevels of the abstraction stack, and there is a broader need for end-to-end cross-layer and co-design approaches that can jointly consideralgorithm, system architecture and circuit optimizations [38].

REFERENCES[1] N. P. Jouppi et al. 2017. In-datacenter performance analysis of a tensor process-

ing unit. In International Symposium on Computer Architecture, 1–12.[2] H. Amrouch, G. Zervakis, S. Salamin, H. Kattan, I. Anagnostopoulos, and J.

Henkel. 2020. Npu thermal management. IEEE Transactions on Computer-AidedDesign of Integrated Circuits and Systems.

[3] J. Miao, K. He, A. Gerstlauer, and M. Orshansky. 2012. Modeling and synthe-sis of quality-energy optimal approximate adders. In IEEE/ACM InternationalConference on Computer-Aided Design (ICCAD).

[4] M. Shafique, W. Ahmad, R. Hafiz, and J. Henkel. 2015. A low latency genericaccuracy configurable adder. In Design Automation Conference.

[5] G. Zervakis, K. Koliogeorgi, D. Anagnostos, N. Zompakis, and K. Siozios. 2019.Vader: voltage-driven netlist pruning for cross-layer approximate arithmeticcircuits. IEEE Trans. on Very Large Scale Integration Systems, 27, 6, 1460–1464.

[6] H. Saadat, H. Bokhari, and S. Parameswaran. 2018. Minimally biased multipliersfor approximate integer and floating-point multiplication. IEEE Trans. Comput.Aided Des. Integr. Circuits Syst., 37, 11, 2623–2635.

[7] S. S. Sarwar, S. Venkataramani, A. Ankit, A. Raghunathan, and K. Roy. 2018.Energy-efficient neural computing with approximate multipliers. ACM Journalon Emerging Technologies in Computing Systems (JETC), 14, 2, 1–23.

[8] Z. Tasoulas, G. Zervakis, I. Anagnostopoulos, H. Amrouch, and J. Henkel. 2020.Weight-oriented approximation for energy-efficient neural network inferenceaccelerators. IEEE Trans. Circuits Syst. I: Regular Papers, 1–14.

[9] G. Zervakis, S. Xydis, D. Soudris, and K. Pekmestzi. 2019. Multi-level approxi-mate accelerator synthesis under voltage island constraints. IEEE Transactionson Circuits and Systems II: Express Briefs, 66, 4, 607–611.

[10] S. Lee, L. K. John, and A. Gerstlauer. 2017. High-level synthesis of approximatehardware under joint precision and voltage scaling. In Design, Automation andTest in Europe (DATE) Conference.

[11] S. Jain, S. Venkataramani, V. Srinivasan, J. Choi, P. Chuang, and L. Chang.2018. Compensated-dnn: energy efficient low-precision deep neural networks

by compensating quantization errors. In 2018 55th ACM/ESDA/IEEE DesignAutomation Conference (DAC).

[12] E. Wang, J. J. Davis, R. Zhao, H.-C. Ng, X. Niu, W. Luk, P. Y. Cheung, and G. A.Constantinides. 2019. Deep neural network approximation for custom hard-ware: where we’ve been, where we’re going. arXiv preprint arXiv:1901.06955.

[13] H. Jiang, C. Liu, L. Liu, F. Lombardi, and J. Han. 2017. A review, classification,and comparative evaluation of approximate arithmetic circuits. 13, 4.

[14] S. Venkataramani, S. T. Chakradhar, K. Roy, and A. Raghunathan. 2015. Approx-imate computing and the quest for computing efficiency. In Design AutomationConference (DAC), 1–6.

[15] S. Rehman,W. El-Harouni, M. Shafique, A. Kumar, J. Henkel, and J. Henkel. 2016.Architectural-space exploration of approximate multipliers. In InternationalConference on Computer-Aided Design (ICCAD).

[16] H. Saadat, H. Javaid, A. Ignjatovic, and S. Parameswaran. 2020. Realm: reduced-error approximate log-based integer multiplier. In Proceedings of the 23rd Con-ference on Design, Automation and Test in Europe (DATE ’20). EDA Consortium,Grenoble, France, 1366–1371.

[17] K. Y. Kyaw, W. L. Goh, and K. S. Yeo. 2010. Low-power high-speed multiplierfor error-tolerant application. In Proc. EDSSC, 1–4.

[18] K. Bhardwaj, P. S. Mane, and J. Henkel. 2014. Power- and area-efficient approx-imate wallace tree multiplier for error-resilient systems. In Fifteenth Interna-tional Symposium on Quality Electronic Design, 263–269.

[19] P. Kulkarni, P. Gupta, and M. Ercegovac. 2011. Trading accuracy for powerwith an underdesigned multiplier architecture. In Internatioal Conference onVLSI Design, 346–351.

[20] S. Hashemi, R. I. Bahar, and S. Reda. 2015. DRUM: a dynamic range unbi-ased multiplier for approximate applications. In International Conference onComputer-Aided Design.

[21] S. Narayanamoorthy, H. A. Moghaddam, Z. Liu, T. Park, and N. S. Kim. 2015.Energy-efficient approximate multiplication for digital signal processing andclassification applications. IEEE Transactions on Very Large Scale Integration(VLSI) Systems, 23, 6, 1180–1184.

[22] J. N. Mitchell. 1962. Computer multiplication and division using binary loga-rithms. IRE Trans. on Electronic Computers, EC-11, 4, 512–517.

[23] M. S. Ansari, B. F. Cockburn, and J. Han. 2019. A hardware-efficient logarith-mic multiplier with improved accuracy. In Design, Automation Test in EuropeConference Exhibition.

[24] J. Y. F. Tong et al. 2000. Reducing power by optimizing the necessary preci-sion/range of floating-point arithmetic. IEEE Trans. on Very Large Scale Integra-tion (VLSI) Systems, 8, 3, 273–286.

[25] H. Zhang, W. Zhang, and J. Lach. 2014. A low-power accuracy-configurablefloating point multiplier. In International Conference on Computer Design).

[26] S. Lee and A. Gerstlauer. 2019. Approximate high-level synthesis of customhardware. In Approximate Circuits: Methodologies and CAD. S. Reda and M.Shafique, editors. Springer.

[27] S. Lee and A. Gerstlauer. 2018. Data-dependent loop approximations for perfor-mance-quality driven high-level synthesis. IEEE Embedded Systems Letters(ESL), 10, 1, 18–21.

[28] S. Lee and A. Gerstlauer. 2013. Fine grain word length optimization for dynamicprecision scaling in DSP systems. In IFIP/IEEE International Conference on VeryLarge Scale Integration (VLSI-SoC).

[29] S. Lee, D. Lee, K. Han, T. Kim, E. Shriver, L. K. John, and A. Gerstlauer. 2016.Statistical quality modeling of approximate hardware. In IEEE InternationalSymposium on Quality Electronic Design (ISQED).

[30] A. Raha and V. Raghunathan. 2017. Towards full-system energy-accuracytradeoffs: a case study of an approximate smart camera system. In DesignAutomation Conference, 1–6.

[31] G. Zervakis, H. Amrouch, and J. Henkel. 2020. Design automation of approx-imate circuits with runtime reconfigurable accuracy. IEEE Access, 8, 53522–53538.

[32] G. Bulman, P. Barletta, J. Lewis, N. Baldasaro, M. Manno, A. Bar-Cohen, andB. Yang. 2016. Superlattice-based thin-film thermoelectric modules with highcooling fluxes. Nature Communications, 7, (2016), 10302.

[33] T. Alan, A. Gerstlauer, and J. Henkel. 2020. Runtime accuracy-configurableapproximate hardware synthesis using logic gating and relaxation. In Design,Automation & Test in Europe Conference & Exhibition (DATE).

[34] K. He, A. Gerstlauer, and M. Orshansky. 2011. Controlled timing-error accep-tance for low energy IDCT design. In Design, Automation and Test in Europe(DATE) Conference.

[35] B. Boroujerdian, H. Amrouch, J. Henkel, and A. Gerstlauer. 2018. Trading offtemperature guardbands via adaptive approximations. In IEEE InternationalConference on Computer Design (ICCD).

[36] H. Kim, J. Kim, H. Amrouch, J. Henkel, A. Gerstlauer, K. Choi, and H. Park.2020. Aging compensation with dynamic computation approximation. IEEETransactions on Circuits and Systems I: Regular Papers, 67, 4, 1319–1332.

[37] H. Amrouch, B. Khaleghi, A. Gerstlauer, and J. Henkel. 2017. Towards aging-induced approximations. In ACM/IEEE Design Automation Conference (DAC).

[38] P. Stanley-Marbell et al. 2020. Exploiting errors for efficiency: a survey fromcircuits to applications. ACM Computing Surveys (CSUR), 53, 3, 51:1–51:39.