79
Atomic Layer Deposition of Manganese Silicate, Molecular Layer Deposition of Polyimide and Their Applications Citation Sun, Lu. 2018. Atomic Layer Deposition of Manganese Silicate, Molecular Layer Deposition of Polyimide and Their Applications. Doctoral dissertation, Harvard University, Graduate School of Arts & Sciences. Permanent link http://nrs.harvard.edu/urn-3:HUL.InstRepos:41121204 Terms of Use This article was downloaded from Harvard University’s DASH repository, and is made available under the terms and conditions applicable to Other Posted Material, as set forth at http:// nrs.harvard.edu/urn-3:HUL.InstRepos:dash.current.terms-of-use#LAA Share Your Story The Harvard community has made this article openly available. Please share how this access benefits you. Submit a story . Accessibility

Atomic Layer Deposition of Manganese ... - Harvard University

  • Upload
    others

  • View
    2

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Atomic Layer Deposition of Manganese ... - Harvard University

Atomic Layer Deposition of Manganese Silicate, Molecular Layer Deposition of Polyimide and Their Applications

CitationSun, Lu. 2018. Atomic Layer Deposition of Manganese Silicate, Molecular Layer Deposition of Polyimide and Their Applications. Doctoral dissertation, Harvard University, Graduate School of Arts & Sciences.

Permanent linkhttp://nrs.harvard.edu/urn-3:HUL.InstRepos:41121204

Terms of UseThis article was downloaded from Harvard University’s DASH repository, and is made available under the terms and conditions applicable to Other Posted Material, as set forth at http://nrs.harvard.edu/urn-3:HUL.InstRepos:dash.current.terms-of-use#LAA

Share Your StoryThe Harvard community has made this article openly available.Please share how this access benefits you. Submit a story .

Accessibility

Page 2: Atomic Layer Deposition of Manganese ... - Harvard University

Atomic Layer Deposition of Manganese Silicate,

Molecular Layer Deposition of Polyimide and

Their Applications

A dissertation presented

by

Lu Sun

to

The John A. Paulson School of Engineering and Applied Sciences

in partial fulfillment of the requirements

for the degree of

Doctor of Philosophy

in the subject of

Applied Physics

Harvard University

Cambridge, Massachusetts

September, 2018

Page 3: Atomic Layer Deposition of Manganese ... - Harvard University

© 2018 Lu Sun

All rights reserved

Page 4: Atomic Layer Deposition of Manganese ... - Harvard University

iii

Advisor: Professor Roy G. Gordon Author: Lu Sun

Atomic Layer Deposition of Manganese Silicate,

Molecular Layer Deposition of Polyimide and

Their Applications

Abstract

A convenient and highly controllable atomic layer deposition (ALD) of manganese silicate

(MnSixOy) thin film method is presented in this thesis. This method can achieve high conformal

films on any three-dimensional structures. ALD MnSixOy thin film can serve as a diffusion barrier

for Cu without subsequent annealing. The elemental distribution is uniform. Its efficiency as the

oxygen and water diffusion barrier for copper is confirmed with experiments. These properties are

promising for applications in the semiconductor industry.

A polyimide thin film deposited by molecular layer deposition (MLD) with pyromellitic

dianhydride (PMDA) and ethylenediamine (EDA) precursors is also demonstrated in this work.

This is an exceptionally flexible and electrical insulating organic film with high thickness

controllability. This method can also achieve highly uniform coverage on complex structures,

such as nanowires.

Page 5: Atomic Layer Deposition of Manganese ... - Harvard University

iv

To make hybridized polyimide, we used PMDA-EDA cycles to create linear polyimide layers,

along with one PMDA-DETA (ethylenediamine) cycle to create a branched polyimide layer. In

this MLD process, each MLD super-cycle consists of several cycles of forming linear polyimide

(PMDA-EDA process) and one cycle of forming branched polyimide (PMDA-DETA process).

This branched and cross-linked polymer films potentially have some improved properties

compared to normal linear polymer films. Higher thermal stability, larger film density, better

mechanical strength and elasticity may be achieved because of more branches and cross-linkers.

Page 6: Atomic Layer Deposition of Manganese ... - Harvard University

v

Table of Contents

Chapter 1 Introduction..................................................................................................... 1

Chapter 2 Atomic Layer Deposition of Manganese Silicate ......................................... 7

2.1 Introduction ............................................................................................................... 7

2.2 Reaction Mechanism ................................................................................................. 9

2.3 Experiments ............................................................................................................. 14

2.4 Results and Discussion ............................................................................................ 15

2.5 Conclusion ............................................................................................................... 20

Chapter 3 Manganese Silicate Diffusion Barrier for Cu Interconnections in

Microelectronics .............................................................................................................. 25

3.1 Introduction ............................................................................................................. 25

3.2 Experiments, Results and Discussion...................................................................... 25

3.3 Conclusion ............................................................................................................... 32

Chapter 4 Molecular Layer Deposition of Polyimide and Polyimide Insulation for

Nanowires ........................................................................................................................ 34

4.1 Introduction ............................................................................................................. 34

Page 7: Atomic Layer Deposition of Manganese ... - Harvard University

vi

4.2 Experiments, Results and Discussion...................................................................... 35

4.3 Conclusion ............................................................................................................... 47

Chapter 5 Molecular Layer Deposition (MLD) of Branched and Cross-linked Polyimide

with Controllable Ratios................................................................................................. 50

5.1 Introduction ............................................................................................................. 50

5.2 Experiments, Results and Discussion...................................................................... 54

4.3 Conclusion ............................................................................................................... 63

Chapter 6 Conclusions and Future Work .................................................................... 68

6.1 Conclusions ............................................................................................................. 68

6.2 Future Work ............................................................................................................ 69

Page 8: Atomic Layer Deposition of Manganese ... - Harvard University

vii

Acknowledgement

First, and most important, I would like to thank my advisor, Prof. Roy Gordon, not only

for his advice and support in research, but also for showing me how to be a good mentor

to students, and a supportive colleague in the work. It is difficult to find a boss better than

Roy.

Besides, I would also like to thank Prof. Eric Mazur, Prof. Michael Aziz, Prof. Frans

Spaepen, and Prof. Joost Vlassak for being my committee members, and for their advice

and help throughout my graduate studies. I thank my Gordon Lab colleagues for their

dedicated support of my graduate work, especially Dawei, Aykut, Harbing, Jun,

Liuchuan, Xian, Kecheng, Billy, Robert, Qiang, Teri and all the other members. It is my

great honor to work with such a splendid team and I really learned a lot from them.

I would also like to thank staff members of the Center for Nanoscale Systems (CNS) for

their instruction, training and supports during sample fabrication and characterization. I

thank our collaborators - Draper, IBM, DOW Chemical, and Applied Materials, for

providing samples and scientific insights.

Page 9: Atomic Layer Deposition of Manganese ... - Harvard University

viii

I thank my friends for their support and the happy life that we experienced together.

These individuals really make my PhD life more colorful; That fantastic experience will

be unforgettable for many years.

I would like to thank my parents for their consistent and unconditional love; I have the

courage and determination to explore the unknown scientific territories because of them.

I thank my husband Jing Zhang, for his understanding, respect, love and support. All the

best things in the world are coming to me only because he is by my side. Our deep mutual

love and support for each other has not only inspired our potential, but also helped us to

be our better selves.

Page 10: Atomic Layer Deposition of Manganese ... - Harvard University

1

Chapter 1 Introduction

Thin film deposition is one of the most critical manufacturing processes for microelectronic

devices. There are two major approaches: physical vapor deposition (PVD),1,2 and chemical

vapor deposition (CVD).

Physical Vapor Deposition (PVD)

The major difference between PVD and CVD is that there is chemical reaction in the latter.

Typically, there are two methods for PVD, namely evaporation and sputtering. The physical

vapor is generated either by heating or ion bombardment.

In the early days of thin film deposition, evaporation deposition dominated in the industrial

applications. The material from a thermal source reaches the substrates without bombardment

with any gas molecules in a high vacuum chamber. In general, the precursor of the film is placed

in a container, such as a crucible. An energy source is used to evaporate the material to be

deposited. The energy sources include thermal, electron beam, resistive heating and etc. As the

vapor goes straightly until they touch the surface of a sample, the step coverage is poor.

Page 11: Atomic Layer Deposition of Manganese ... - Harvard University

2

Sputtering deposition can achieve a better step coverage. Sputtering deposition generally

includes ion-beam sputtering, impulse magnetron sputtering, gas flow sputtering, etc. During the

sputtering process, an ion beam bombards into the target material. The vapor then shoots in the

controlled directions. During the flight path of the vapor, it collides with the gas molecules, such

as argon. The gas molecule serves as a moderator, and the vapor moves more diffusively before

condensing on the substrate. Such method can deposit a wide range of available materials.

Despite PVD is widely used in deposition of thin films on ICs, its applications are more and

more limited as the feature is getting smaller and more accurate due to the limited step coverage

in the application of high aspect ratio structures. Such drawbacks of PVD can be overcome with

chemical vapor deposition.

Chemical Vapor Deposition (CVD)

Chemical vapor deposition is a method for thin film deposition involving chemical reaction.

During the deposition process, the substrate is exposed to vapor of volatile precursors, which

react and/or decompose on the substrate surface to form thin films. By-products may also be

generated during the reaction and shall be removed by a gas purge. Therefore, a typical CVD

process includes the following steps:

1. Prepare the precursors;

2. Transport the precursors to the area of reaction zone with gas flow;

3. Diffuse of the products on the substrate;

Page 12: Atomic Layer Deposition of Manganese ... - Harvard University

3

4. Remove the byproducts.

The sub-branches of CVD include plasma-enhanced CVD (PECVD), atmospheric pressure CVD

(APCVD), low pressure CVD (LPCVD) at sub-atmospheric pressure, and ultrahigh vacuum

CVD (UHVCVD), typically below 10-6 Pa. Most modern CVDs are either LPCVD or UHVCVD.

These approaches could be used to deal with precursors of different properties.

Unlike the above mentioned three approaches, metalorganic CVD (MOCVD) is specifically

referred to the CVD using metalorganic precursors. MOCVD has been used to grow epitaxial

semiconductors, insulators, and metals.

CVD methods could be used in the production of a variety of different films such as

semiconductors, insulators and metals with controlled electrical, optical and mechanical

properties. The advantages of CVD methods include low cost in the equipment and operation

expenses, compatibility in batch and semi-continuous production. Due to the high conformality,

CVD is also used to coat high aspect ratio 3D structures.

Atomic Layer Deposition (ALD)

In most of the CVD techniques, all source gases flow simultaneously and some energy source is

provided to aid the reaction (high-temperature or plasma), an ultra-fine thickness control can

Page 13: Atomic Layer Deposition of Manganese ... - Harvard University

4

hardly be achieved. While if the growth progresses layer by layer by alternatively pulsing the

source gases, an ultra-fine thickness control is obtained, and the process is called atomic layer

deposition (ALD).

A gas reactant (precursor A), on impact with a solid-state reactant (the substrate) as result of a

surface reaction, produces a deposit on the substrate. The surface reaction between the substrate

and the precursor A requires clean substrate surface, since it proceeds through the active sites on

the surface. As the reaction proceeds, all the surface of the substrate is covered with the

deposited material, then the reaction stops, since no more active sites remain for the precursor A.

Such reaction is called self-limited reaction.

Despite the deposition terminates the surface reaction of the precursor A, it may serve as the

active sites for a reaction with other precursors, such as a precursor B. The process of ALD

typically include the following steps:

1. Apply pulses of precursor A to allow the complete reaction with the substrate;

2. Purge with inert gas to remove excessive precursor A and the by-products in step 1;

3. Apply pulses of precursor B to allow the complete reaction with the surface after step 1;

4. Purge with inert gas to remove excessive precursor B and the byproducts in step 3.

In the ideal case, the reaction in step 1 and step 3 shall be completely self-limited and cover the

substrate surface with a mono layer. The deposited layer thickness can be calculated by

Page 14: Atomic Layer Deposition of Manganese ... - Harvard University

5

multiplying of the growth rate by the number of cycles. However, some ALD processes do not

satisfy this ideal case. The causes may include self-decomposition of the precursors, the etching

of the film and insufficient reactivity of the precursors. If the precursor is unstable and

decomposes under the reaction condition, the self-limited reaction effect will be disrupted. The

decomposed material may react with the substrate before covering the substrate and the reaction

may not be terminated. Besides, the decomposed byproducts may contaminate the film. While if

the precursor etches the film either physically or chemically, a self-limited termination of the

reaction cannot be achieved. In the case that the precursor is not active enough, the reaction time

may be too long to complete one layer, which results in a very low growth rate.

As above discussed, in ALD process, the basic requirement for the precursors shall be

sufficiently volatile to generate a stable flow rate, non-reactive with the film and with a high

enough reaction rate with the substrate. In consideration of the production, other preferred

qualities may include low production cost, environment friendly, safe to operate, and etc.

Molecular Layer Deposition (MLD)

MLD is similar to ALD, but refers to the deposition of organic materials using organic

precursors.

In this thesis, we will focus on Atomic Layer Deposition (ALD) and Molecular Layer Deposition

(MLD) of insulating materials.

Page 15: Atomic Layer Deposition of Manganese ... - Harvard University

6

Reference

1 M. Ohring, Materials Science of Thin Films, Second ed. (Academic Press, 2002).

2 S. Campbell, The Science and Engineering of Microelectronic Fabrication, Second ed.

(Oxford University Press, 2001).

Page 16: Atomic Layer Deposition of Manganese ... - Harvard University

7

Chapter 2 Atomic Layer Deposition of Manganese Silicate

2.1 Introduction

As Moore’s law predicted in 1965, electronic devices will increase the integration density

geometrically in the next few years. To accomplish this, metal wires, known as interconnects,

have to become narrower. And specifically, an abundant metal - copper (Cu) - is used now due to

its low resistivity (1.67 µΩ˖cm) and reliability against electromigration.1 For microelectronic

devices, the highest performance is achieved when copper and low-k dielectrics are combined.

However, Cu will ionize and diffuse into attached dielectrics readily,2 resulting in higher

resistance and larger capacitance (RC delay), which lowers the speed of microelectronic circuits

and dominates the overall delay time.3 On the other hand, organic-doped silica glass (OSG) is

commercially used as a low-k dielectric (k ~ 2.8). To further lower the k constant, dielectrics

should be highly porous (k ~ 1 for the air in pores),4 and this high porosity property easily

absorbs moisture. Copper diffusion and moisture leaking into pores greatly increase both

dielectric constant and leakage current, resulting in electrical breakdown. These problems

dramatically limit the development of microelectronic devices. Thus, thin film barriers are

expected to coat the dielectrics uniformly - even deeply inside their pores - to prevent undesired

diffusion, adsorption or damage.5

Until now, sputtered tantalum nitride (TaN) diffusion barriers combined with Ta adhering and Cu

seed layers are commercially used in the industry.6,7 However, such sputtered barriers are non-

conformal and have rough structures, which can hardly be functional for future microelectronic

Page 17: Atomic Layer Deposition of Manganese ... - Harvard University

8

circuits. Fortunately, atomic layer deposition (ALD) technology can conformally coat the rough

material 8 with its layer by layer process. So we can deposit TaN uniformly by plasma enhanced

ALD 9 or indirectly deposit barriers on ALD silica,10 though Ta is a unsustainable rare element

and its precursor is expensive. MnNx 11 and WNx

10,12 can also be used as a diffusion barrier but

with weak adhesion to both OSG and Cu. However, none of the above metal nitrides can act as an

efficient barrier when the coating is thinner than 5nm,13 which is required in the 32nm (or smaller)

micro interconnects. Another proven efficient diffusion barrier is MnSixOy, which can be

synthesized by Physical Vapor Deposition (PVD) Mn and SiO2 simultaneously.14 Much work

carried out by others so far has been focused on sputtering Mn-Cu alloy,15,16 Chemical Vapor

Deposition (CVD) MnO 17,18 or Mn 19 on SiO2 coating, followed by hours of annealing to make

Mn diffuse into SiO2 to form MnSixOy. Researchers have also pushed the boundary of CVD to

form ultra-uniform Mn-Cu alloy 20 or Solution Layer Deposition (SLD) - similar to ALD - to

deposit MnO on SiO2 21 and anneal the mixture into MnSixOy afterwards. Meanwhile, the ALD

approach already allows deposition of MnOx film controllably.22,23 It should be noted that the ALD

process is multi-steps, time consuming, energy wasting, difficult to control thickness or

composition and the film is an inhomogeneous layer in which the Mn content varies strongly with

depth - based on their thermal-diffusion strategy. During the diffusion process, it may also

introduce impurity (such as Cu in Mn-Cu alloy or Mn itself) into attached material,16 resulting

higher RC delay and low quality barrier. Also, annealing itself can contribute to undesired structure

and damage.24 Therefore, a straightforward, easy-to-process anneal-free, highly controllable

method needs to be developed to form MnSixOy thin films.

Page 18: Atomic Layer Deposition of Manganese ... - Harvard University

9

Indeed, alternating mixed ALD process can be used to form mixed oxides with a precise ratio

and thickness,25-27 and ALD metal silicate (MSixOy, M=Al, Hf, Zr) can also directly grow by

exposing the substrate to tris(tert-butoxy)silanol ((ButO)3SiOH) and another desired metal

precursor 28,29 alternately. In this thesis, we synthesize the MnSixOy ternary barrier film directly,

using tris(tert-pentoxy)silanol (TPS) and maganese(Ⅱ) bis(N,N’-di-tert-butylacetamidinate)

(Mn(AMD)2) (Figure 2.1). The resulting film has low impurity and can cover the porous surface

uniformly with a controllable thickness. It has also survived sticky tape test, indicating its strong

adhesion to both low-k dielectrics and copper. Most importantly, it functions as an effective

barrier to copper and moisture at a high temperature, high voltage, since Mn blocks Cu diffusion

pathways in tetrahedral networks of amorphous SiO2.

Figure 2.1. Precursors for ALD of MnSixOy

2.2 Reaction Mechanism

We used tris(tert-pentoxy)silanol (TPS) and maganese(Ⅱ) bis(N,N’-di-tert-butylacetamidinate)

(Mn(AMD)2) as the only precursors to deposit manganese silicate. One typical ALD cycle

Page 19: Atomic Layer Deposition of Manganese ... - Harvard University

10

includes one pulse of Mn(AMD)2 and one pulse of TPS. A perfect liner fitting of thickness vs.

the amount of pulses indicates that the resulting growth rate is 0.5 nm per cycle. According to the

results from RBS, we can calculate that each MnSi2.7O7.6 unit occupies a space around 1.7*10-28

m3. And due to its totally uniform structure showed by EDX pattern and STEM, we can assume

that each unit is similar to one cube with a height of 5.6 A, which is rather close to the

experimental growth rate. This indicates that in each cycle, Si atoms deposit more than twice as

much as Mn atoms do, resulting from the multi-layer deposition of SiOx on MnOx in each cycle.

The multi-layer deposition is mainly caused by strong electric dipole from Mn-O electrovalent

bond. As Hausmann et al. reported in 2002,28 our alternating ALD manganese silicate growth

behavior is similar to this multi atomic layer deposition process. The growth mechanism we

proposed is illustrated in Figure 2.2.

Figure 2.2. Reaction mechanism for ALD of MnSixOy

Page 20: Atomic Layer Deposition of Manganese ... - Harvard University

11

Figure 2.2. Reaction mechanism for ALD of MnSixOy (Continued)

Page 21: Atomic Layer Deposition of Manganese ... - Harvard University

12

O

Mn

O

Si

O

Sit-Pentoxy

O

t-Pentoxy

O

Mn

O

Si

O

SiO

O

O t-Pentoxyt-Pentoxy

Mn

AMD

Mn

AMD

Figure 2.2. Reaction mechanism for ALD of MnSixOy (Continued)

Page 22: Atomic Layer Deposition of Manganese ... - Harvard University

13

At the very beginning, the first dose of Mn(AMD)2 reacts with the OH group on the Si surface

following the common ALD mechanism. The hydroxyl group on the silanol then reacts with the

remaining ligands linked to Mn surface. The comparison experiment conducted by using only

Mn(AMD)2 or TPS as the precursor showed no deposition, meaning that silanol cannot be

chemisorpted by surface ligand saturated Si. Also, considering the rapid decrease of induction

force through covalent bonds of Si-O, the new silanol inserted is unlikely to react with surface Si

driven by Mn ions inside. In that case, extra silanol molecules diffuse in to react with active Mn-

O site to make this multi-layers deposition possible. Inserted silanol forms a stable siloxane. And

since the ligand bonded to Si-O that is next to the Mn ion became more reactive caused by

surrounding strong electric dipole (electronic field), they are ready to β-eliminate to become a

hydroxyl. Those hydroxyls cross-link to form a solid amorphous silica network. The amount of

inserted silanol is highly self-limiting because the gradually thicker silica network prevents

silanol from diffusing to nearby Mn ions. This cycle finally forms a MnSixOy (x>1) deposited

film with ligand-saturated Si on the surface. Then, a new cycle begins. That dose of Mn(AMD)2

will react with the surface of film following a six-membered ring mechanism and starts a new

cycle (Figure 2.2). Because TPS chosen as the precursor has a larger size than normally used

tris(tert-butoxy)silanol ((ButO)3SiOH, TBS), the resulting multi-layers are much thinner than

reported.28,29 That means the ratio of Mn inside formed barrier is relatively high, which makes

the barrier with stronger adhesion and higher blocking efficiency.30-34 What is more, due to the

high ratio of relatively movable Mn inside, the resulting film is highly uniform in all three

dimensions rather than forming nanolaminates 28 that are non-uniform with depth.

Page 23: Atomic Layer Deposition of Manganese ... - Harvard University

14

2.3 Experiments

The manganese precursor in a bubbler was heated at 110 °C, and the precursor vapor was

delivered with nitrogen carrier gas due to its low vapor pressure. The manganese precursor is

very sensitive to oxygen and water, so the nitrogen carrier gas was highly purified. TPS was

heated at 110 °C and the vapor was delivered without N2 because of its high vapor pressure.

These two precursor vapors were delivered alternatively to the reaction chamber (tube furnace)

which was heated at 350 °C (Figure 2.3).

Figure 2.3. Schematic diagram of the ALD system

Page 24: Atomic Layer Deposition of Manganese ... - Harvard University

15

2.4 Results and Discussion

Thicknesses of MnSixOy films were measured by Spectroscopic Ellipsometry, as a function of

the number of deposition cycles at 350 °C deposition temperature. The thickness of the films is

proportional to the number of cycles (Figure 2.4).

0 10 20 30 40 50

0

5

10

15

20

thic

kn

ess (

nm

)

cycles

Figure 2.4. Thickness of MnSixOy films as a function of the number of deposition cycles at

350 °C

The growth rate per cycle was measured as a function of the exposures of the precursors,

providing values of the exposures necessary to saturate the surface reactions. Growth rate per

cycle was around 0.5 nm, depending on the composition of this ternary material (Figure 2.5).

Page 25: Atomic Layer Deposition of Manganese ... - Harvard University

16

Figure 2.5. MnSixOy film growth rate at 350 °C as a function of TPS pulse times

The cross section of the MnSixOy thin film was imaged by a high-resolution transmission

electron microscopy (HRTEM). The structure was found to be amorphous (Figure 2.6).

Page 26: Atomic Layer Deposition of Manganese ... - Harvard University

17

Figure 2.6. High-resolution TEM image of the cross-section of the MnSixOy films

The distribution of the elements was characterized by Energy-dispersive X-ray spectroscopy

(EDX) in a Scanning Transmission Electron Microscope (STEM) (Figure 2.7).

Figure 2.7. STEM EDX Mapping of Elements in MnSixOy film

Page 27: Atomic Layer Deposition of Manganese ... - Harvard University

18

The amount of the elements deposited was measured by Rutherford Backscattering Spectrometry

(RBS) (Figure 2.8).

Figure 2.8. MnSixOy film composition by Rutherford Backscattering Spectrometry

X-ray photoelectron spectroscopy (XPS) was used to characterize the composition and oxidation

state of Mn in the MnSixOy film (Figure 2.9). The sample was etched by argon ion gun to clean

the surface and to confirm the uniformity of the sample in the z-axis. XPS depth survey shows

that the films consist of Si, Mn, and O. The Mn 2p1 and 2p3 peaks are observed 654.4 eV and

642.2 eV respectively. The O 1s (532.8 eV), Si 2s (154.3 eV) and Si 2p (103.3 eV) peaks are

also seen. High-energy-resolution XPS scan of the C 1s region shows obvious elimination after

the first ion gun etching, indicating that no carbon contamination remains in the film. The first

scanned C 1s peak is mainly caused by surface adsorption of CO2. Also, no N peak is found

inside film, showing the purity of ALD MnSixOy film. High-energy-resolution scan of Mn 2s and

Page 28: Atomic Layer Deposition of Manganese ... - Harvard University

19

Mn 2p regions are used to analyze the oxidation state of Mn present in the films. O 1s and Si 2p

regions are used to show different binding environment of Si and O compared to pure SiO2

(Figure 2.9). The measured Mn 2p shows a Mn2+ satellite feature at around 647.5 eV. And the

binding energy gap between two Mn 3s peaks is equal or greater than 6.0 eV, indicating the

oxidation state of Mn is 2+, same as the Mn precursor we used. For the peaks of Si 2p and O 1s,

each of which have two different chemical environments and can be fitted by two peaks. Taking

the ratio of Si:Mn tested by RBS into account, the structure of our MnSixOy can be considered

like this: in the amorphous SiO2 network, Mn2+ ions tear the Si-O-Si bonds apart, with another

oxygen ion bonded to the Si. Thus, two different chemical environments of Si and O are created.

One is almost the same as Si and O in amorphous SiO2 network, and another one is the structure

of Si-O-Mn, where the bond of O and Mn is more likely to be an electrovalent bond. In the

second structure, its Si and O has a different electron density, which contributes to the new peak

showed in the XPS.

Figure 2.9. XPS analysis of the MnSixOy film

Page 29: Atomic Layer Deposition of Manganese ... - Harvard University

20

Figure 2.9. XPS analysis of the MnSixOy film (Continued)

2.5 Conclusion

A simple ALD method was presented to make manganese silicate thin films with controllable

thickness. The amorphous film was uniform in all three dimensions. We will discuss its potential

applications in the next chapter.

Page 30: Atomic Layer Deposition of Manganese ... - Harvard University

21

Reference

1 Merchant, S. M., Kang, S. H., Sanganeria, M., Schravendijk, B. v. & Mountsier, T.

Copper interconnects for semiconductor devices, 53, 43-48 (2001).

2 McBrayer, J. D., Swanson, R. M. & Sigmon, T. W. Diffusion of Metals in Silicon

Dioxide. Journal of The Electrochemical Society, 133, 1242-1246 (1986).

3 Havemann, R. H. & Hutchby, J. A. High-performance interconnects: An integration

overview. Proceedings of the IEEE, 85, 586-601 (2001).

4 Grill, A. Plasma enhanced chemical vapor deposited SiCOH dielectrics: from low-kto

extreme low-kinterconnect materials. Journal of Applied Physics, 93, 1785-1790 (2003).

5 Whelan, C. M. et al. Sealing of porous low-k dielectrics: Ellipsometric porosimetry study

of UV-O-3 oxidized SiOxCy films. Electrochemical and Solid State Letters, 7, F8-F10

(2004).

6 Oku, T. et al. Diffusion barrier property of TaN between Si and Cu. Applied Surface

Science, 99, 265-272 (1996).

7 INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS, MORE MOORE. 14

(2017).

8 Kim, H. Atomic layer deposition of metal and nitride thin films: Current research efforts

and applications for semiconductor device processing. Journal of Vacuum Science &

Technology B: Microelectronics and Nanometer Structures, 21, 2231-2261 (2003).

9 Rossnagel, S. M., Sherman, A. & Turner, F. Plasma-enhanced atomic layer deposition of

Ta and Ti for interconnect diffusion barriers. Journal of Vacuum Science & Technology

B: Microelectronics and Nanometer Structures, 18, 2016-2020 (2000).

Page 31: Atomic Layer Deposition of Manganese ... - Harvard University

22

10 Rouffignac, P. d., Li, Z. & Gordon, R. G. Sealing Porous Low-k Dielectrics with Silica.

Electrochemical and Solid-State Letters, 7, 306-308 (2004).

11 Au, Y. et al. Vapor Deposition of Highly Conformal Copper Seed Layers for Plating

Through-Silicon Vias (TSVs). Journal of The Electrochemical Society, 159, 382-385

(2012).

12 Won, Y. S. et al. Homogeneous Decomposition of Aryl- and Alkylimido Precursors for

the Chemical Vapor Deposition of Tungsten Nitride:  A Combined Density Functional

Theory and Experimental Study. J. Am. Chem. Soc., 128, 13781-13788 (2006).

13 Kalutarage, L. C., Clendenning, S. B. & Winter, C. H. Manganese Precursor Selection

and the Thermal Atomic Layer Deposition of Copper/Manganese Alloy Films. ECS

transactions, 64, 147-157 (2014).

14 Zaidi, S. Z. A., Beynon, J., Steele, C. B. & Orton, B. R. Thermoelectric power and d.c.

conductivity of co-evaporated Mn/SiOx cermet thin films. Thin Solid Films, 156, 120-

123 (1995).

15 Koike, J. & Wada, M. Self-forming diffusion barrier layer in Cu–Mn alloy metallization.

Applied Physics Letters, 87, 041911 (2005).

16 Ablett, J. M., Woicik, J. C., Tőkei, Z., List, S. & Dimasi, E. Phase identification of self-

forming Cu-Mn based diffusion barriers on p-SiOC:H and SiO2 dielectrics using x-ray

absorption fine structure. Applied Physics Letters, 94, 042112 (2009).

17 Neishi, K. et al. Formation of a manganese oxide barrier layer with thermal chemical

vapor deposition for advanced large-scale integrated interconnect structure. Applied

Physics Letters, 93, 032106 (2008).

Page 32: Atomic Layer Deposition of Manganese ... - Harvard University

23

18 Nguyen, M. P., Sutou, Y. & Koike, J. Diffusion barrier property of MnSixOy layer

formed by chemical vapor deposition for Cu advanced interconnect application. Thin

Solid Films, 580, 56-60 (2015).

19 Au, Y. et al. Selective Chemical Vapor Deposition of Manganese Self-Aligned Capping

Layer for Cu Interconnections in Microelectronics. Journal of The Electrochemical

Society, 157, 341-345 (2010).

20 Au, Y., Lin, Y. & Gordon, R. G. Filling Narrow Trenches by Iodine-Catalyzed CVD of

Copper and Manganese on Manganese Nitride Barrier/Adhesion Layers. Journal of The

Electrochemical Society, 158, 248-253 (2011).

21 Cure, J. et al. Solution Layer Deposition: A Technique for the Growth of Ultra-Pure

Manganese Oxides on Silica at Room Temperature. Angew Chem Int Ed Engl, 55, 3027-

3030 (2016).

22 Lim, B. S., Rahtu, A. & Gordon, R. G. Atomic layer deposition of transition metals. Nat

Mater, 2, 749-754 (2003).

23 Lim, B. S., Rahtu, A., Park, J.-S. & Gordon, R. G. Synthesis and Characterization of

Volatile, Thermally Stable, Reactive Transition Metal Amidinates. Inorganic Chemistry,

42, 7951–7958 (2003).

24 Dornel, E., Barbé, J. C., de Crécy, F., Lacolle, G. & Eymery, J. Surface diffusion

dewetting of thin solid films: Numerical method and application to Si∕SiO2. Physical

Review B, 73, 115427 (2006).

25 Siddiqi, G. et al. Stable Water Oxidation in Acid Using Manganese-Modified TiO2

Protective Coatings. ACS Appl Mater Interfaces, 10, 18805-18815 (2018).

Page 33: Atomic Layer Deposition of Manganese ... - Harvard University

24

26 Pickrahn, K. L., Garg, A. & Bent, S. F. ALD of Ultrathin Ternary Oxide Electrocatalysts

for Water Splitting. ACS Catalysis, 5, 1609-1616 (2015).

27 Hendricks, O. L. et al. Isolating the Photovoltaic Junction: Atomic Layer Deposited

TiO2-RuO2 Alloy Schottky Contacts for Silicon Photoanodes. ACS Appl Mater

Interfaces, 8, 23763-23773 (2016).

28 Hausmann, D., Becker, J., Wang, S. & Gordon, R. G. Rapid Vapor Deposition of Highly

Conformal Silica Nanolaminates. Science, 298, 402-406 (2002).

29 He, W., Solanki, R., Conley, J. F. & Ono, Y. Pulsed deposition of silicate films. Journal

of Applied Physics, 94, 3657-3659 (2003).

30 Chung, S. M. & Koike, J. Analysis of dielectric constant of a self-forming barrier layer

with Cu–Mn alloy on TEOS-SiO2. Journal of Vacuum Science & Technology B:

Microelectronics and Nanometer Structures, 27, L28-L31 (2009).

31 Otsuka, Y. et al. Graded composition and valence states in self-forming barrier layers at

Cu–Mn/SiO2 interface. Applied Physics Letters, 96, 012101 (2010).

32 Zaidi, S. Z. A., Beynon, J. & Steele, C. B. Conduction mechanisms in co-evaporated

mixed Mn/SixO thin films. Journal of Materials Science, 32, 3349–3353 (1997).

33 Zaidi, S. Z. A., Beynon, J. & Steele, C. B. Effects of composition and substrate

temperature on the a.c. properties of co-evaporated Mn/SiOx thin films. Journal of

Materials Science, 32, 3921-3924 (1997).

34 Koike, J. et al. Growth kinetics and thermal stability of a self-formed barrier layer at Cu-

Mn∕SiO2 interface. Journal of Applied Physics, 102, 043527 (2007).

Page 34: Atomic Layer Deposition of Manganese ... - Harvard University

25

Chapter 3 Manganese Silicate Diffusion Barrier for Cu

Interconnections in Microelectronics

3.1 Introduction

Previously MnSixOy has been made by diffusion of manganese from copper-manganese alloy

into a silica-based insulator. Tests show good barrier property against Cu, H2O and O2 diffusion.1

However, this process forms an inhomogeneous layer in which the manganese content varies

strongly with depth. The end members of this ternary, MnO and SiO2, are not effective diffusion

barriers, so the optimum barrier properties must be achieved for some intermediate composition.

A barrier with this optimum composition will be the thinnest possible, which is a great advantage

in the tightly confined space of modern interconnects that will be less than 10 nm wide. ALD of

MnSixOy might be optimum way to provide the thinnest possible barrier.

3.2 Experiments, Results and Discussion

Copper Diffusion Barrier Tests

The effectiveness of the MnSixOy as a Cu diffusion barrier was tested by several different

methods. We used a sample structure of PVD Cu (200 nm)/ALD MnSixOy (3 nm)/SiO2 (Figure

3.1).

Page 35: Atomic Layer Deposition of Manganese ... - Harvard University

26

Figure 3.1. Copper diffusion barrier tests structure and tests by visible appearance

The sample with the MnSixOy layer, and the control sample without the MnSixOy layer were

annealed in N2 at 450 °C for one hour. The sample with the MnSixOy layer kept its shiny Cu

color and sheet resistance after annealing. While the control sample, turned dark after annealing

and its sheet resistance increased to 150 times larger as before the annealing process (Figure 3.1).

This is an evidence that a large amount of Cu ions diffused through the SiO2 layer into the

silicon.

Then we etched the Cu layers of the samples from the last test by nitric acid, and etched the

MnSixOy and SiO2 layers by HF. The surfaces after etching were characterized by a scanning

electron microscope (SEM) and an energy-dispersive X-ray spectrometer (EDX).

Page 36: Atomic Layer Deposition of Manganese ... - Harvard University

27

Figure 3.2. Copper diffusion barrier tests by copper silicide formation - EDX

There was no Cu peak in EDX scanning of the sample with MnSixOy layer (Figure 3.2). While a

large Cu peak was shown in EDX scanning of the control sample, indicating that Cu ions

diffused into Si layer and formed copper silicide which cannot be removed by HF.

This was also confirmed by XPS depth profile scan of Cu element. The samples with MnSixOy

did not show Cu peak by XPS, while the control sample showed obvious Cu signal in XPS scan

(Figure 3.3).

Page 37: Atomic Layer Deposition of Manganese ... - Harvard University

28

Figure 3.3. Copper diffusion barrier tests by copper silicide formation - XPS

Electrical test is much more sensitive to ion movement than other methods. The metal-oxide-

semiconductor (MOS) structures were made in the following steps: the Si wafer was etched by

HF to remove native SiO2 layer, then ALD of 60 nm SiO2 was carried out on the cleaned surface,

followed by ALD of 15 nm MnSixOy, in the end 200 nm thick Cu pad electrodes were fabricated

by a thermal evaporator using a physical shadow mask. There was no MnSixOy layer in the

control sample (Figure 3.4). CV characterization of these capacitors were carried out by a 4-point

probe station.

Page 38: Atomic Layer Deposition of Manganese ... - Harvard University

29

Figure 3.4. C-V measurement under electric field at room temperature

CV curves for samples under high voltage treatments are shown in Figure 3.4. The sample with

MnSixOy layer remained the same shape of CV curve after applying high voltage, while the CV

curves of the control sample shifted obviously due to Cu ions diffusion into the insulating layer.

Oxygen and H2O Barrier Tests

Thin MnSixOy films can function as excellent barriers to oxygen and moisture. Both low-k

dielectrics and copper interconnects are very fragile against oxygen and moisture. Especially,

porous low-k dielectrics, are even ready to absorb moisture and accelerate the corrosion of

Page 39: Atomic Layer Deposition of Manganese ... - Harvard University

30

microelectronic device. Therefore the barrier layer also should protect materials from oxygen or

moisture. To test this kind of barrier properties of the manganese silicate layers, commercial

porous low-k (k~2.3) dielectrics (grow on Si crystal) from IBM were coated with 10 nm of

manganese silicate using alternating ALD process (sample without this barrier is used for

comparison). 20 nm PVD copper was deposited on barrier layer, followed by 40nm of ALD

silica to cover the copper (Figure 3.5). The sample was then cut into pieces to expose the edge of

porous dielectric so that oxygen or moisture can diffuse into low-k layer to test the barrier

efficiency.

Figure 3.5. Sample structure for oxygen and H2O barrier tests

Diffused water and oxygen can significantly change the appearance and resistance of copper.

Samples were exposed in dry air at 350 ℃ or saturated moisture at 90 ℃ for 4 hours, those

samples without barrier layers turned into a dark-green color while the ALD manganese silica

coated samples maintained the shiny copper color (Figure 3.6). The color change due to

oxidization and corrosion can be accurately observed under an optical microscope.

Page 40: Atomic Layer Deposition of Manganese ... - Harvard University

31

Figure 3.6. Optical microscope images of samples

On the other hand, sheet conductivity of copper was tested by a 4-point probe station. Due to the

extreme experimental conditions, in the control group, the unprotected copper layers’

conductivity dramatically decreased, whereas the conductivity of protected sample remained

almost unchanged (Figure 3.7). The difference is distinct because the ALD barrier coats the

surface uniformly even deep inside the pores or gaps. Then the conformal coating layer protects

copper from oxygen diffused into porous low-k dielectrics. Moisture can be absorbed by porous

low-k and condensed into liquid water, followed by faster copper corrosion. Our uniform

manganese silica protection layer can efficiently insulate copper from harmful corrosion by

water vapor or liquid.

Page 41: Atomic Layer Deposition of Manganese ... - Harvard University

32

Figure 3.7. Sheet conductivity of copper before and after oxidation

3.3 Conclusion

ALD of MnSixOy barrier film with controllable thickness was ready for using without subsequent

annealing. The film shows low impurities, uniform elements distribution, efficient diffusion

barrier against copper, O2 and H2O, which suggests their promising application in semiconductor

industry.

Page 42: Atomic Layer Deposition of Manganese ... - Harvard University

33

Reference

1 Gordon, R.G., Kim, H., Au, Y.B., Wang, H., Bhandari, H.B., Liu, Y., Lee, D.K. and Lin,

Y., 2009. Chemical vapor deposition (CVD) of manganese self-aligned diffusion barriers

for Cu interconnections in microelectronics. Advanced Metallization Conference 2008,

September 23-25, 2008, San Diego, California, U.S.A.

Page 43: Atomic Layer Deposition of Manganese ... - Harvard University

34

Chapter 4 Molecular Layer Deposition of Polyimide and Polyimide

Insulation for Nanowires

[Part of this chapter is based on a manuscript submitted to Nature Nanotechnology:

Electrospun Metal-Coated Single Meta-Aramid Nanofibers,

Aykut Aydin, Lu Sun, Xian Gong, Kasey J. Russell, David J. Carter and Roy G. Gordon.]

4.1 Introduction

Sub-micron scale wires, pillars, and similar structures are finding increasing applications in

biology,1 photonics,2 electronics,3 and other related fields.4,5 Various processes for preparing

such nanowires have been developed.5–9 Existing methods can offer excellent control over phase

purity, spatial position, electrical properties and other advantages, but nanowire length is often

limited, with some of the longest nanowires prepared by vapor-liquid-solid processes being

reported in the millimeter scale.10 A material-agnostic method to fabricate centimeter-length

nanowires would enable a host of applications, from more sensitive and stable electrodes for

neural integration to low-loss conductors for microwave electronics.11

Here, we present a method to fabricate centimeter-long nanowires from a wide variety of

materials via deposition on a nanofiber scaffold. We first fabricated nanofiber scaffolds by

electrospinning a solution containing poly (m phenylene isophthalamide) (PMIA, commercial

Page 44: Atomic Layer Deposition of Manganese ... - Harvard University

35

names: Nomex or Teijinconex). Centimeter lengths of individual PMIA nanofibers were then

suspended across custom fixtures and coated with metals and ALD of insulating materials. We

have additionally demonstrated the ability to create multilayer coaxial nanostructures including

submicron-scale, electrically insulated metal wires and micron-scale coaxial and triaxial wires.

Such multilayered wire structures have potential photonic and X-ray focusing applications.12,13

My work in this project focused on ALD of insulating materials. MnSixOy was deposited on

metal-coated Nomex nanofibers first, while mechanical property of the film was not good

enough for this project, since the film exhibited one cracking position in bending test. Then we

carried out molecular layer deposition (MLD) of polyimide thin film to get more flexible

insulating layer.

4.2 Experiments, Results and Discussion

MnSixOy Insulation for Nanowires

We tested the MnSixOy insulating material by depositing it on planar copper substrates. A metal-

insulator-metal (MIM) structure was selected to assess electrical properties of the insulator film

(Figure 4.1).

Page 45: Atomic Layer Deposition of Manganese ... - Harvard University

36

Figure 4.1. Schematic of planar test structure used to characterize electrical insulator (purple layer).

Tris-tert-pentoxysilanol and manganese(II) bis(N,N’-di-tert-butylacetamidinate) were used as the

precursors for ALD of MnSixOy. The Si/Mn ratio in these MnSixOy films is 2.5 by X-ray

photoelectron spectroscopy (XPS) of these films and 2.7 by rutherford backscattering

spectrometry (RBS) of films made previously in our laboratory under similar process conditions

(see Chapter 1).

Two films of MnSixOy (130 nm and 400 nm thick) were grown on flat substrates of 300 nm of

thermally evaporated copper. The electrical leakage through these films are shown in Figure 4.2.

Their leakage currents are below 1 nA/cm2 in the range of 0 V to 5 V applied bias, the projected

working conditions for the nanowires.

Page 46: Atomic Layer Deposition of Manganese ... - Harvard University

37

Figure 4.2. J-V curves for ALD-MnSixOy thin films. Error bars represent the standard deviation

across three contact pad diameters (10 mil, 15 mil, and 20 mil).

We combined sputtering coating of conductive Pt/Pd alloy with ALD of MnSixOy to get

multilayered structures (Figure 4.3). First, we deposited a layer of Pt/Pd alloy by sputtering from

both sides onto suspended nanofibers. Then, we carried out ALD of MnSixOy at 350°C, yielding

an insulated conductive wire (Figure 4.3-a). This insulated wire was coated with a thin layer of

Pt/Pd to assist with imaging. We repeated these two consecutive depositions two more times to

create first a coaxial and then a triaxial wire (Figure 4.3-b and c, respectively). This experiment

showed that the PMIA fibers based structure could withstand multiple rounds of metal and

insulator depositions since we had minimal unintended nanofiber loss throughout these multiple

depositions. Such a multilayered structure could have applications in electronics or optics.

Page 47: Atomic Layer Deposition of Manganese ... - Harvard University

38

Figure 4.3. Conductor and insulator multi-layered wire. A final capping layer of Pt/Pd was added

for each nanowire to assist with the FIB cross sectioning. (a) An insulated nanowire, where the

polymer core (black), conductive metal layer (bright gray), and insulating oxide layer (dark gray)

can be seen. (b) Coaxial wire with two layers of conductive metal and two layers of insulator. (c)

Triaxial wire with three layers of metal and insulator stacked. (d) Higher magnification image of

the cross section of the triaxial wire.

A 153-nm thick film of MnSixOy was deposited on 10-micron diameter bare copper wires.

Preliminary tests of mechanical robustness of were conducted by bending the wire with tweezers.

As shown in Figure 4.4, the film exhibited a cracking position with radius of curvature around

580μm.

Page 48: Atomic Layer Deposition of Manganese ... - Harvard University

39

Figure 4.4. Scanning electron micrograph of a 153-nm thick film of MnSixOy was deposited on

10-micron diameter bare copper wires, which were then bent using tweezers. The film exhibited

one cracking position, with radius of curvature around 580μm.

We explored organic materials as more flexible insulating films to avoid the cracking that

was seen when the wire was bent.

Page 49: Atomic Layer Deposition of Manganese ... - Harvard University

40

Polyimide Insulation for Nanowires

Polyimide thin films are interesting insulating materials due to their resistance to high

temperatures and mechanical stress. They are widely used as electrical insulation in electrical

systems. In addition to traditional wet chemical polymer manufacturing processes, different gas

phase methods have also been investigated. We deposited polyimide thin films using molecular

layer deposition (MLD) with the precursor materials shown in Figure 4.5.14 The suggested MLD

reaction sequence for PMDA–EDA polyimide formation is shown in Figure 4.6.

Figure 4.5. Precursors used for MLD of polyimides.

Page 50: Atomic Layer Deposition of Manganese ... - Harvard University

41

Figure 4.6. Suggested MLD sequence for PMDA-EDA polyimide formation

There are two typical steps to form polyimide film generally (see Figure 4.7).15 Step 1 is MLD to

form an intermediate state without complete cyclization, and two kinds of carbonyl in different

chemical environments can be detected by Fourier-transform infrared spectroscopy (FTIR),

corresponding to wave numbers 1550 and 1650 cm-1, respectively. Step 2 is complete cyclization

by annealing at high temperature or/and UV curing, and both of the two carbonyls changed to the

same one at a wave number of 1720 cm-1.

Page 51: Atomic Layer Deposition of Manganese ... - Harvard University

42

Figure 4.7. Two typical steps to form polyimide film.15

We carried out FTIR of the polyimide film before and after high temperature anneal at 350˚C for

0.5 hour (Figure 4.8.) Peaks at 1550 and 1650 decreased while peak at 1720 increased during

annealing, showing completion of the cyclization.

MLD

Page 52: Atomic Layer Deposition of Manganese ... - Harvard University

43

Figure 4.8. FTIR of the polyimide film before and after anneal @ 350˚C for 0.5 hour.

PMDA (MP: 286˚C, BP: 400˚C) is not volatile enough at normal MLD oven temperatures

(below 140˚C), so a higher oven temperature, around 170 ˚C, is needed to get sufficient PMDA

vapor pressure, based on the isothermal TGA data of the PMDA precursor (Figure 4.9).

Figure 4.9. Isothermal TGA Data of PMDA precursor

Page 53: Atomic Layer Deposition of Manganese ... - Harvard University

44

The deposition rate of the polyimide film was greatly dependent on the deposition temperature.

Because the evaporation temperature for PMDA is around 170˚C, the lowest temperature for

MLD was selected to be 170˚C in order to avoid precursor condensation. The source temperature

of the EDA precursor is room temperature. The deposition rate decreased with the increasing

temperature (see Figure 4.10).

Figure 4.10. Film growth rate at different deposition temperatures

We electrically tested the polyimide material by depositing it on planar copper substrates in a

metal-insulator-metal (MIM) structure (Figure 4.11). 40 nm-thick polyimide film was grown on

flat substrates of 300 nm of thermally evaporated copper, and Cu pads were evaporated as top

contacts by a shadow mask. The electrical leakage through the film under different Cu pads are

Page 54: Atomic Layer Deposition of Manganese ... - Harvard University

45

shown. The leakage current density is below 4 nA/cm2 in the range of 0 to 1 V applied bias, the

projected working conditions for the nanowires.

Figure 4.11. Schematic of planar test structure used to characterize 40 nm polyimide insulator

(blue layer) and J-V curves for different Cu contact pads.

To make a thin insulated wire (~1-micron diameter), electrospinning was used to make a Nomex

polymer core only 0.4 micron in diameter. As shown in Figure 4.12, the Nomex polymer core

(black) was covered by a conductive Au layer (bright gray) and a 30 nm-thick insulating polyimide

layer (dark gray). A local Pt deposition was added to assist with the FIB cross-sectioning. The

polyimide film is uniform in thickness.

Page 55: Atomic Layer Deposition of Manganese ... - Harvard University

46

Figure 4.12. FIB cross section of polyimide deposition on Au coated Nomex fiber, where the

Nomex polymer core (black), conductive Au layer (bright gray), and insulating polyimide layer

(dark gray) can be seen. A local deposition of Pt was added to assist with the FIB cross sectioning.

We electrically tested the wire structure (see Figure 4.13). A 30-nm thick film of the polyimide

was deposited by MLD on Au-coated Nomex fibers. Then PVD of Au was carried out on half of

the wire twice, first on top and then on the bottom, using a shadow mask to prevent deposition on

the contact regions at the ends of the wire. Focused ion beam (FIB) cutting was applied to the

end of the wire to make sure the Au layer was exposed. We used Ga-In alloy as a contact metal

since it is a conductive liquid at room temperature that adheres well to clean Au surfaces. The

Page 56: Atomic Layer Deposition of Manganese ... - Harvard University

47

electrical leakage current through the MLD polyimide film was smaller than 10-9 A level

(detection limit of the tool), showing good electrical insulation property.

Figure 4.13. Schematic of the test structure used to characterize the polyimide electrical insulation

(blue) on on Au (golden) coated Nomex fiber (white) in 3D view.

4.3 Conclusion

Polyimide thin films made by MLD are flexible and electrical insulating. We can also precisely

control the film thickness, and get uniform coverage on complex structures, such as nanowires.

Page 57: Atomic Layer Deposition of Manganese ... - Harvard University

48

Reference

1. Zhang, A. & Lieber, C. M. Nano-Bioelectronics. Chem. Rev. 116, 215–257 (2016).

2. Yan, R., Gargas, D. & Yang, P. Nanowire photonics. Nat. Photonics, 3, 569–576 (2009).

3. Tian, B., Kempa, T. J. & Lieber, C. M. Single nanowire photovoltaics. Chem. Soc. Rev.,

38, 16–24 (2009).

4. Patolsky, F. & Lieber, C. M. Nanowire nanosensors. Mater. Today, 8, 20–28 (2005).

5. Dasgupta, N. P. et al. 25th Anniversary Article: Semiconductor Nanowires - Synthesis,

Characterization, and Applications. Adv. Mater., 26, 2137–2184 (2014).

6. Christesen, J. D., Pinion, C. W., Grumstrup, E. M., Papanikolas, J. M. & Cahoon, J. F.

Synthetically Encoding 10 nm Morphology in Silicon Nanowires. Nano Lett., 13, 6281–6286

(2013).

7. Day, R. W. et al. Plateau-Rayleigh crystal growth of periodic shells on one-dimensional

substrates. Nat. Nanotechnol., 10, 345–352 (2015).

8. Ozel, T., Bourret, G. R. & Mirkin, C. A. Coaxial lithography. Nat. Nanotechnol., 10,

319–324 (2015).

9. Ozel, T. et al. Electrochemical Deposition of Conformal and Functional Layers on High

Aspect Ratio Silicon Micro/Nanowires. Nano Lett., 17, 4502–4507 (2017).

10. Hu, P. et al. Synthesis and characterization of ultralong SiC nanowires with unique

optical properties, excellent thermal stability and flexible nanomechanical properties. Sci. Rep.,

7, 3011 (2017).

Page 58: Atomic Layer Deposition of Manganese ... - Harvard University

49

11. Luan, L. et al. Ultraflexible nanoelectronic probes form reliable, glial scar–free neural

integration. Sci. Adv., 3, e1601966 (2017).

12. Kim, K.-H., No, Y.-S., Chang, S., Choi, J.-H. & Park, H.-G. Invisible Hyperbolic

Metamaterial Nanotube at Visible Frequency. Sci. Rep., 5, 16027 (2015).

13. Keskinbora, K. et al. Multilayer Fresnel zone plates for high energy radiation resolve 21

nm features at 1.2 keV. Opt. Express, 22, 18440–18453 (2014).

14. Matti Putkonen, Jenni Harjuoja, Timo Sajavaarab & Lauri Niinisto. Atomic layer

deposition of polyimide thin films. J. Materials Chem., 17, 664-669 (2007).

15. Song, Z., Zhan, H. and Zhou, Y. Polyimides: promising energy‐storage

materials. Angewandte Chemie International Edition, 49, 8444-8448 (2010).

Page 59: Atomic Layer Deposition of Manganese ... - Harvard University

50

Chapter 5 Molecular Layer Deposition (MLD) of Branched and

Cross-linked Polyimide with Controllable Ratios

5.1 Introduction

Molecular layer deposition (MLD) is a reliable way of forming uniform polymer coatings. By

alternately exposing the substrate to vapors of diamine and dianhydride compounds at a certain

temperature, the substrate can be uniformly coated by a thickness-controllable polyimide film.1

The coating film can be further stabilized by annealing or ultraviolent (UV) curing by a cyclizing

reaction (Figure 5.1).2

Figure 5.1. Growth of linear polyimide and cyclizing reaction by annealing or ultraviolent (UV)

curing (as already shown in Figure 4.7)

Page 60: Atomic Layer Deposition of Manganese ... - Harvard University

51

However, the property and growth behavior of linear polymer has lots of limitations. By

changing diamine compound to other multi-amine compounds (e.g. triamine), branched

polyimide can also be deposited (Figure 5.2). 3

Figure 5.2. MLD of branched polyimide polymers and cyclizing reaction by annealing or

ultraviolent (UV) curing

Page 61: Atomic Layer Deposition of Manganese ... - Harvard University

52

Branched polymer films potentially have some improved properties compared to normal linear

polymer films.4,5 However, a branched polymer can result in some undesirable drawbacks. For

example, some branches might remain unreacted because the nearby chemical groups become

too crowded, which makes the polymer even easier to break down at a high temperature. Thus,

an approach to precisely control the branching ratio in polymers is strongly needed.6-26 Herein,

we report a universal way to produce branched polymer with highly controllable branching ratio.

With this approach, uniform polyimide coating was formed in the lab, which has a designated

branching ratio, with desired stability, elasticity, strength and electrical properties.

We used pyromellitic dianhydride (PMDA) and ethyldiamine (EDA) as the precursors for

forming polyimide. At the same time, we chose diethylenetriamine (DETA) as a source of

branches. By exposing the substrate to PMDA and EDA alternately, mainly linear polyimide can

be produced. Changing EDA to DETA can produce a branched polyimide (Figure 5.3). One dose

of dianhydride and one dose of amine (diamine or triamine) are called one MLD cycle. In our

case, we used one PMDA-DETA cycle to create a branching seed layer. In a particular MLD

process, each MLD super-cycle consists of several cycles of forming linear polyimide (PMDA-

EDA process) and one cycle of forming branched polyimide (PMDA-DETA process) (Figure

5.4).

Page 62: Atomic Layer Deposition of Manganese ... - Harvard University

53

Figure 5.3. PMDA-EDA cycle (left) and PMDA-DETA (right) cycle

Page 63: Atomic Layer Deposition of Manganese ... - Harvard University

54

Figure 5.4. MLD super-cycle consists of several cycles of forming linear polyimide (PMDA-EDA

process) and one cycle of forming branches (PMDA-DETA process)

5.2 Experiments, Results and Discussion

The film thickness was measured using ellipsometer or high-resolution SEM. To carry out the

SEM cross-section test, a 100 nm-thick copper layer was thermally evaporated on our polymer to

improve the image resolution.

The MLD films were grown on silicon (100) wafers. Before the MLD process, wafers were

washed by acetone and isopropanol, followed by UV-ozone cleaning for five minutes. And then,

the samples were placed in the furnace for MLD. The furnace was heated up to a set temperature.

PMDA, as the dianhydride precursor, was equipped with a bubbler and put in a 175 ˚C oven.

Page 64: Atomic Layer Deposition of Manganese ... - Harvard University

55

EDA was used at room temperature, while DETA was placed in a 75 ˚C oven to increase its

vapor pressure.

In the experiment of temperature dependent growth, we tested the growth behavior of PMDA-

EDA polyimide and PMDA-DETA polyimide respectively. The temperature range did not

decrease below 170 ˚C to avoid physical deposition of PMDA on samples. Also, we used

sufficient precursor supply at each temperature to decrease the testing error.

For EDA and DETA, a saturated dose consisted of five times of three-second pulse and three-

second pumping. After each compound dose, we applied six times of chamber cleaning process

which is ten-second nitrogen purge followed by ten-second pumping. One PMDA-EDA

polyimide growing cycle is made of one dose of PMDA, chamber cleaning, one dose of EDA,

chamber cleaning. For PMDA-DETA polyimide growing cycle, the dose of EDA was changed to

one dose of DETA. After 80 cycles of polyimide growth, the film thickness was measured and

the growth rate was calculated. The growth rate of both polymers decreases when the growing

temperature is higher (Figure 4.10 and Figure 5.5). We chose 170 ˚C as a suitable growth

temperature for its relatively fast growth rate.

Page 65: Atomic Layer Deposition of Manganese ... - Harvard University

56

Figure 5.5. Temperature dependent growth rate of PMDA-DETA cycle

After choosing 170 ˚C as the deposition temperature, we further tested the effect of time by

changing the duration of each dose. For EDA and DETA, five times of precursor pulse and

pumping were reserved but the duration of each pulse was changed. The overall dose time was

calculated as the time of each pulse multiplied by the times of pulses. To find the proper dosing

time of one precursor, we made the other precursor saturated. For example, to find the proper

dosing time of PMDA in PMDA-EDA growth, we would apply five three-second EDA pulses to

make sure that the amount of EDA is saturated. Then we changed the dose time of PMDA to plot

the saturation curve in PMDA-EDA growth. We deposited these polyimide films for around 80

cycles and then tested their thickness, as shown in Figure 5.6 and Figure 5.7. We clearly

identified the MLD stage (where the growth rate remains constant although applied with more

Page 66: Atomic Layer Deposition of Manganese ... - Harvard University

57

precursor vapor because the precursor is already saturated) for PMDA-EDA polyimide and

PMDA-DETA polyimide under 170 °C. According to the results of growth rate v.s. dose time, to

grow PMDA-EDA polyimide, we chose the dose of EDA consisting of five times of three-

second and nine times of five-second PMDA doses. For PMDA-DETA polyimide, we chose five

times of two-second DETA vapor pulses and nine times of five-second PMDA vapor pulses.

Figure 5.6. Saturation curve of EDA (left) and PMDA (right) for PMDA-EDA polyimide

Figure 5.7. Saturation curve of DETA (left) and PMDA (right) for PMDA-DETA polyimide

Page 67: Atomic Layer Deposition of Manganese ... - Harvard University

58

Using sufficient dosing times, the linear growth property of this MLD process were tested.

PMDA-EDA and PMDA-DETA polyimide were deposited for different cycles, respectively.

Then the thickness of the polymer films were measured (An ellipsometer was used to measure

the thickness of PMDA-EDA films and high-resolution SEM was used to measure PMDA-

DETA films). As illustrated in Figure 5.8, each kind of polyimide shows a linear growth

behavior. Here, it was observed that the PMDA-EDA MLD process had a growth rate of

0.22±0.02 nm/cycle, while the PMDA-DETA MLD process had a surprisingly high growth rate

of 2.78±0.04 nm/cycle.

Figure 5.8. The linear growth property of PMDA-EDA polyimide (left) R Square (COD) = 0.9982,

and PMDA-EDA polyimide (right) R Square (COD) = 0.9995

The growth rate of PMDA-EDA polyimide was very slow. That was because PMDA cannot bind

with all active sites on wafer at first, and most importantly, some of nearby reaction centers are

blocked at the beginning of growth. As a result, linear polyimide would stop growing on these

Page 68: Atomic Layer Deposition of Manganese ... - Harvard University

59

chains. Thus, lots of polymer growing sites were lost (Figure 5.9), and those growing polymer

chains are soft and can be twisted, leading to a limited growth rate. While for PMDA-DETA

growth these shortcomings are overcome because DETA continually creates new reaction

centers.

Figure 5.9. The schematic showing the growth rate of PMDA-EDA polyimide was slower than

PMDA-DETA polyimide.

There are a few factors contributing to PMDA-DETA polyimide’s rapid growth rate. First of all,

DETA chain itself is longer than that of EDA. Second, compared with PMDA-EDA polyimide,

which lose a significant part of the reaction centers in the very beginning of growth, PMDA-

DETA polyimide continually create new reaction centers. And those newly generated reaction

centers then become polymer branches. Those polymer branches will further grow until the

substrate surface is too crowded to allow any new branch growth. These braches can easily link

Page 69: Atomic Layer Deposition of Manganese ... - Harvard University

60

with each other and form cross-linkers as a result of unlimited branches growing in this limited

substrate area.

Despite the above mentioned important factors, they are not the main driving force of PMDA-

DETA polyimide’s unusual growth. As the growth thickness obtained in one cycle is even larger

than the total length of PMDA and DETA. Also, previous research on MLD process of DETA

polyurea has almost the same growth rate as EDA polyurea.3 These observations impel us to

consider how more than one DETA or PMDA layer is attached to the polyimide even though a

long purge time is applied to avoid any physical deposition. If we consider the difference

between MLD polyimide and MLD polyurea, we can figure out that when the amine reacts with

anhydride, another carbolic acid is formed. And the formed carbolic acid can only further cyclize

above 300 ˚C. So that acid group can remain in the polymer under our deposition condition

(lower than 200 ˚C). In the meantime, DETA is a well-known base. So many DETA molecules

can form a relatively weak ionic sub bond with the subsurface carbolic acid inside, just like they

can ‘dissolve’ in the subsurface of soft polyimide.27 They cannot go very deep because of

concentrated cross-linkers and branches inside. Those ‘dissolved’ DETA can consequently react

with following PMDA and become a small polymer inside. And they have a great chance to get

attached with the main polyimide chains grown previously because both main polyimide and this

small polyimide are highly branched. Once these polymer fragments get attached and form

covalent bond with main chain, they will contribute more than one cycle growth thickness,

resulting an unusual rapid growth. This proposed mechanism can be described as a sub bond

induced rapid MLD process.

Page 70: Atomic Layer Deposition of Manganese ... - Harvard University

61

It is difficult to reduce the chamber pressure after DETA doses (that is the reason we use such a

long purge time to decrease chamber pressure back to initialization). It is unusual because

DETA’s boiling point is 207 ˚C and DETA doses come from 75 ˚C cylinder into 170 ˚C chamber

at 0.15 torr. Physical absorption or condensation hardly occurs under that condition. But ionic

bonds (sub bonds) induced dissolving can explain this result, which explains the observation that

a higher growth temperature leads to a lower growth rate. Because sub bonds are dramatically

weakened under higher temperature, making DETA harder to ‘dissolve’ and forming polyimide

fragments later. When the temperature is high enough to break all those sub bonds inside, no

more DETA ‘dissolves’ in subsurface, leading to an almost constant growth rate.

The same growth rate decreasing under higher growth temperature for PMDA-EDA polyimide

was observed. This indicates EDA dissolving might also play a role in PMDA-EDA’s growth.

However, because the biggest limit factor of PMDA-EDA polyimide growth is inadequate

reaction center, ‘dissolved’ EDA molecules have little chance to attach with main linear

polyimide chains. Dissolved EDA will not dramatically increase the growth rate.

After that, the linear PMDA-EDA polyimide was hybridized with highly branched PMDA-

DETA polyimide to control its branching density. We define one n:m hybridized super-cycle as

m PMDA-DETA cycles followed by n PMDA-EDA cycles. EDA ratio means the percentage of

PMDA-EDA cycles in a super-cycle. For example, we made a hybridized super-cycle like:

Page 71: Atomic Layer Deposition of Manganese ... - Harvard University

62

1 dose of PMDA, 1 dose of DETA,

1 dose of PMDA, 1 dose of EDA,

1 dose of PMDA, 1 dose of EDA;

This super-cycle was made of three sub-cycles. And we repeated this super-cycle for several

times. Because this super-cycle consists of two PMDA-EDA cycles and one PMDA-DETA

cycle, we call it a hybridized MLD process, and the EDA ratio of above super-cycle is 2/3, or

0.66667.

To calculate this hybridized polymer’s average growth rate, we divided its total thickness with

the number of total sub-cycles. We did this hybridized MLD with different PMDA-EDA ratios

and measured their average growth rate. As Figure 5.10 shows, the growth rate of hybridized

film was almost the weighted mean of each polyimide’s growth rate. It was mostly determined

by the ratio of two kinds of polyimide in the deposited film.

Figure 5.10. The growth rate of hybridized film, R Square (COD) = 0.9970

Page 72: Atomic Layer Deposition of Manganese ... - Harvard University

63

4.3 Conclusion

We used PMDA-EDA cycles to create linear polyimide layers, along with one PMDA-DETA

cycle to create a branched polyimide layer. In this MLD process, each MLD super-cycle consists

of several cycles of forming linear polyimide (PMDA-EDA process) and one cycle of forming

branches (PMDA-DETA process). This branched and cross-linked polymer films potentially

have some improved properties compared to normal linear films. Higher thermal stability, larger

film density, better mechanical strength and elasticity may be achieved because of more branches

and cross-linkers.

Page 73: Atomic Layer Deposition of Manganese ... - Harvard University

64

Reference

1. Matti Putkonen, Jenni Harjuoja, Timo Sajavaarab & Lauri Niinisto. Atomic layer

deposition of polyimide thin films. J. Materials Chem., 17, 664-669 (2007).

2. Song, Z., Zhan, H. and Zhou, Y. Polyimides: promising energy‐storage

materials. Angewandte Chemie International Edition, 49, 8444-8448 (2010).

3. Zhou, H., Toney, M. F., & Bent, S. F. Cross-linked ultrathin polyurea films via molecular

layer deposition. Macromolecules, 46, 5638-5643 (2013).

4. Richert, L., Engler, A.J., Discher, D.E. and Picart, C., Elasticity of native and cross-

linked polyelectrolyte multilayer films. Biomacromolecules, 5, 1908-1916 (2004).

5. Chan, K. and Gleason, K.K., Initiated chemical vapor deposition of linear and cross-

linked poly (2-hydroxyethyl methacrylate) for use as thin-film hydrogels. Langmuir, 21, 8930-

8939 (2005).

6. Kersey, F. R., Loveless, D. M., & Craig, S. L. A hybrid polymer gel with controlled rates

of cross-link rupture and self-repair. Journal of the royal society interface, 4, 373-380 (2007).

7. Shao, L., Liu, L., Cheng, S. X., Huang, Y. D., & Ma, J. Comparison of diamino cross-

linking in different polyimide solutions and membranes by precipitation observation and gas

transport. Journal of Membrane Science, 312, 174-185 (2008).

8. Donatelli, A. A., Sperling, L. H., & Thomas, D. A. Interpenetrating polymer networks

based on SBR/PS. 1. Control of morphology by level of cross-linking. Macromolecules, 9, 671-

675 (1976).

Page 74: Atomic Layer Deposition of Manganese ... - Harvard University

65

9. Shibayama, M., Norisuye, T., & Nomura, S. Cross-link density dependence of spatial

inhomogeneities and dynamic fluctuations of poly (N-isopropylacrylamide)

gels. Macromolecules, 29, 8746-8750 (1996).

10. Kratochvil, A. M., & Koros, W. J. Decarboxylation-induced cross-linking of a polyimide

for enhanced CO2 plasticization resistance. Macromolecules, 41, 7920-7927 (2008).

11. Varga, I., Gilányi, T., Meszaros, R., Filipcsei, G., & Zrinyi, M. Effect of cross-link

density on the internal structure of poly (N-isopropylacrylamide) microgels. The Journal of

Physical Chemistry B, 105, 9071-9076 (2001).

12. Kuksenok, O., Yashin, V. V., Kinoshita, M., Sakai, T., Yoshida, R., & Balazs, A. C.

Exploiting gradients in cross-link density to control the bending and self-propelled motion of

active gels. Journal of Materials Chemistry, 21, 8360-8371 (2011).

13. Chakravorty, K. K., Chien, C. P., Cech, J. M., Tanielian, M. H., & Young, P. L. High-

density interconnection using photosensitive polyimide and electroplated copper conductor

lines. IEEE Transactions on Components, Hybrids, and Manufacturing Technology, 13, 200-206

(1990).

14. Leibfarth, F. A., Schneider, Y., Lynd, N. A., Schultz, A., Moon, B., Kramer, E. J., &

Hawker, C. J. Ketene functionalized polyethylene: control of cross-link density and material

properties. Journal of the American Chemical Society, 132, 14706-14709 (2010).

15. Meador, M. A. B., Malow, E. J., Silva, R., Wright, S., Quade, D., Vivod, S. L., &

Cakmak, M. Mechanically strong, flexible polyimide aerogels cross-linked with aromatic

triamine. ACS applied materials & interfaces, 4, 536-544 (2012).

Page 75: Atomic Layer Deposition of Manganese ... - Harvard University

66

16. Chung, T. S., Chng, M. L., Pramoda, K. P., & Xiao, Y. PAMAM dendrimer-induced

cross-linking modification of polyimide membranes. Langmuir, 20, 2966-2969 (2004).

17. Holten-Andersen, N., Harrington, M. J., Birkedal, H., Lee, B. P., Messersmith, P. B., Lee,

K. Y. C., & Waite, J. H. pH-induced metal-ligand cross-links inspired by mussel yield self-

healing polymer networks with near-covalent elastic moduli. Proceedings of the National

Academy of Sciences, 108, 2651-2655 (2011).

18. Wang, H., Paul, D. R., & Chung, T. S. Surface modification of polyimide membranes by

diethylenetriamine (DETA) vapor for H2 purification and moisture effect on gas

permeation. Journal of membrane science, 430, 223-233(2013).

19. Guo, H., Meador, M. A. B., McCorkle, L., Quade, D. J., Guo, J., Hamilton, B., ... &

Sprowl, G. Polyimide aerogels cross-linked through amine functionalized polyoligomeric

silsesquioxane. ACS applied materials & interfaces, 3, 546-552 (2011).

20. Shao, L., Chung, T. S., Goh, S. H., & Pramoda, K. P. Polyimide modification by a linear

aliphatic diamine to enhance transport performance and plasticization resistance. Journal of

membrane science, 256, 46-56 (2005).

21. Powell, C. E., Duthie, X. J., Kentish, S. E., Qiao, G. G., & Stevens, G. W. Reversible

diamine cross-linking of polyimide membranes. Journal of membrane science, 291, 199-209

(2007).

22. Uddin, S. N., & Nagao, Y. Multilayer Growth of Porphyrin-Based Polyurea Thin Film

Using Solution-Based Molecular Layer Deposition Technique. Langmuir, 33, 12777-12784

(2017).

Page 76: Atomic Layer Deposition of Manganese ... - Harvard University

67

23. Wind, J. D., Staudt-Bickel, C., Paul, D. R., & Koros, W. J. Solid-state covalent cross-

linking of polyimide membranes for carbon dioxide plasticization

reduction. Macromolecules, 36, 1882-1888 (2003).

24. Guo, H., Meador, M. A. B., McCorkle, L., Quade, D. J., Guo, J., Hamilton, B., &

Cakmak, M. Tailoring properties of cross-linked polyimide aerogels for better moisture

resistance, flexibility, and strength. ACS applied materials & interfaces, 4, 5422-5429 (2012).

25. Shao, L., Chung, T. S., Goh, S. H., & Pramoda, K. P. Transport properties of cross-linked

polyimide membranes induced by different generations of diaminobutane (DAB)

dendrimers. Journal of Membrane Science, 238, 153-163 (2004).

26. Sullivan, D. M., & Bruening, M. L. Ultrathin, cross-linked polyimide pervaporation

membranes prepared from polyelectrolyte multilayers. Journal of membrane science, 248, 161-

170 (2005).

26. Herzberger, J., Meenakshisundaram, V., Williams, C.B. and Long, T.E., 3D Printing All-

Aromatic Polyimides Using Stereolithographic 3D Printing of Polyamic Acid Salts. ACS Macro

Letters, 7, 493-497 (2018).

Page 77: Atomic Layer Deposition of Manganese ... - Harvard University

68

Chapter 6 Conclusions and Future Work

6.1 Conclusions

A simple ALD method was presented to make manganese silicate thin films with controllable

thickness. The amorphous film was uniform in all three dimensions. ALD of a MnSixOy barrier

for Cu was ready for use without subsequent annealing. The film has few impurities, uniform

elemental distribution, and is an efficient diffusion barrier for copper, O2 and H2O. These

properties are promising for applications in the semiconductor industry.

The polyimide thin film by MLD, using PMDA and EDA precursors, was flexible and

insulating. We can also precisely control the film thickness, and get uniform coverage on

complex structures, such as nanowires.

We used PMDA-EDA cycles to create linear polyimide layers, and we used one PMDA-DETA

cycle to create a branched seed layer. In this MLD process, each MLD super-cycle consists of

several cycles of forming linear polyimide (PMDA-EDA process) and one cycle of forming

branches (PMDA-DETA process). These cross-linked polymer films potentially have some

improved properties compared to non-cross-linked films. Higher thermal stability, larger film

density, better mechanical strength and elasticity may be achieved because of the cross-linkers.

Page 78: Atomic Layer Deposition of Manganese ... - Harvard University

69

6.2 Future Work

Molecular layer deposition is a potentially useful technique that can provide more processing

capabilities to polymers and other covalent materials. Besides reducing the difficulty to produce

polymers, it also enables more controllability for polymers in all dimensions, including

thickness, chain length, cross-linking, branches and so on.

Based on the inherent advantages of MLD, polymers can be uniformly coated on most materials

of interest. We can easily coat nano-scale networks or other materials with the desired polymer,

without worrying about a polymers’ self-growth into a mess (which happens a lot in the solution

coating process) or the solution harmful to the materials. And because it is so conformal, it is

even possible to grow polymers in a metal organic frameworks’ nano-pores. Also, the loose and

soft polymer film we produce can be a possible gas storage material. As we design its side chain

differently, we may obtain films with different gas absorption properties.

If we use some protection strategy (make sure reactions only happen at a certain point and de-

protection using another precursor to get ready for following reactions), we can produce most

kinds of polymers. We may produce conductive polymers if we control its precursor and growth

orientation. In that case, we can continually grow an ultra-soft conductive wire with the structure

shown in Chapter 5.

Page 79: Atomic Layer Deposition of Manganese ... - Harvard University

70

By careful designing of the binding orientation, we may grow covalent organic frameworks that

are hard to crystalize in solution. For example, PMDA and melamine can be candidates for

forming two dimensional covalent organic frameworks. And the resulting film can be used for

gas storage or as a molecular sieve because it has nano-pores with intrinsic size limitation, and

there are unlimited possibilities of adjusting its functional group to change its molecular

selectivity.

Organic-inorganic hybridized structures could be obtained based on MLD. For example, MOFs

could combine metal oxides with polymers, or make inorganic-organic hybridized perovskite

solar film batteries.