204
Cyfrowe przetwarzanie łó sygnałów Dr inż. Andrzej Kotyra E310, tel. 5381312, [email protected]

Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

Embed Size (px)

Citation preview

Page 1: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

1

Cyfrowe przetwarzanie łósygnałów

Dr inż. Andrzej KotyraE310, tel. 5381312, [email protected]

Literatura:

1. A.V.Oppenheim, R.W.Schafer: Cyfrowe przetwarzanie sygnałów, WKiŁ, Warszawa, 19792. R.G.Lyons: Wprowadzenie do cyfrowego przetwarzania sygnałów, WKiŁ, Warszawa ,19993. T.Zieliński: Od teorii do cyfrowego przetwarzania sygnałów, Wydział AIiE AGH, Kraków

20024. J.Izydorczyk, J.Konopacki: Filtry analogowe i cyfrowe, Wydawnictwo Pracowni

Komputerowej Jacka Skalmierskiego Gliwice 2003Komputerowej Jacka Skalmierskiego, Gliwice 20035. D.Strenneby, Cyfrowe Przetwarzanie Sygnałów – metody, algorytmy zastosowania, BTC,

Warszawa, 2004.

1. Sygnały – klasyfikacja. Właściwości układów LTI (Linear Time-Invariant - liniowe niezmienne w czasie)

Program:

Materiały do wykładów zostały opracowane na podstawie powyższej literatury

niezmienne w czasie)

2. Reprezentacja częstotliwościowa układów LTI. Rozwinięcie funkcji ciągłej w szereg funkcji wzajemnie ortogonalnych. Ciągła transformata Fouriera –własności. Transformaty Fouriera wybranych sygnałów.

3. Okna czasowe. Próbkowanie sygnałów – twierdzenie o próbkowaniu.

‘ Andrzej Kotyra

Page 2: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

1

Cyfrowe przetwarzanie łósygnałów

Dr inż. Andrzej KotyraE310, tel. 5381312, [email protected]

Literatura:

1. A.V.Oppenheim, R.W.Schafer: Cyfrowe przetwarzanie sygnałów, WKiŁ, Warszawa, 19792. R.G.Lyons: Wprowadzenie do cyfrowego przetwarzania sygnałów, WKiŁ, Warszawa ,19993. T.Zieliński: Od teorii do cyfrowego przetwarzania sygnałów, Wydział AIiE AGH, Kraków

20024. J.Izydorczyk, J.Konopacki: Filtry analogowe i cyfrowe, Wydawnictwo Pracowni

Komputerowej Jacka Skalmierskiego Gliwice 2003Komputerowej Jacka Skalmierskiego, Gliwice 20035. D.Strenneby, Cyfrowe Przetwarzanie Sygnałów – metody, algorytmy zastosowania, BTC,

Warszawa, 2004.

1. Sygnały – klasyfikacja. Właściwości układów LTI (Linear Time-Invariant - liniowe niezmienne w czasie)

Program:

Materiały do wykładów zostały opracowane na podstawie powyższej literatury

niezmienne w czasie)

2. Reprezentacja częstotliwościowa układów LTI. Rozwinięcie funkcji ciągłej w szereg funkcji wzajemnie ortogonalnych. Ciągła transformata Fouriera –własności. Transformaty Fouriera wybranych sygnałów.

3. Okna czasowe. Próbkowanie sygnałów – twierdzenie o próbkowaniu.

‘ Andrzej Kotyra

Page 3: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

2

5. Krótkoczasowa transformata Fouriera. Rozdzielczość na płaszczyźnie czas-częstotliwość. Zasada nieoznaczoności Heisenberga

6. Ciągła transformata falkowa. Przegląd falek. Rozwinięcie w szereg falkowy. Dyskretna fransformata falkowa. Analiza wielorozdzielcza.

7. Transformata Z – własności. Przykłady obliczania TZ. Określanie obszaru zbieżności. Metody obliczania odwrotnej TZMetody obliczania odwrotnej TZ.

8. Dyskretne przekształcenie Fouriera – właściwości. Algorytm obliczania szybkiej transformaty Fouriera

9. Filtry cyfrowe – klasyfikacja. Struktury filtrów NOI (IIR) oraz SOI (FIR). Metody projektowania filtrów NOI oraz SOI

10. Procesory sygnałowe – przegląd

‘ Andrzej Kotyra

Niektóre zastosowania cyfrowego przetwarzania sygnałów

Komercyjne: kompresja dźwięku, obrazu; efekty specjalne (FX), instrumenty muz.

Motoryzacja: sterowanie wtryskiem paliwa, ABS, systemy nawigacyjne, sterowanie głosem.

Medyczne: Tomografia komputerowa, MRI, USG, EKG, przechowywanie danych.

Przetwarzanie dźwięków: efekty dźwiękowe (reverb, chorus itp.) rozpoznawanie mowy, systemy dźwięku przestrzennego, synteza mowy, poczta głosowa

Przetwarzanie obrazów: rozpoznawanie obrazów, animacja, mapy cyfrowe, kompresja obrazów

Przemysł: sterowanie numeryczne, robotyka, systemy bezpieczeństwa

Telekomunikacja: modemy, repeatery, telefonia komórkowa, fax, multipleksowanie kanałów, wideokonferencje, szyfrowanie rozmów, redukcja echa.

Wojsko: nawigacja, systemy naprowadzające, systemy radarowe, sonary

‘ Andrzej Kotyra

Page 4: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

2

5. Krótkoczasowa transformata Fouriera. Rozdzielczość na płaszczyźnie czas-częstotliwość. Zasada nieoznaczoności Heisenberga

6. Ciągła transformata falkowa. Przegląd falek. Rozwinięcie w szereg falkowy. Dyskretna fransformata falkowa. Analiza wielorozdzielcza.

7. Transformata Z – własności. Przykłady obliczania TZ. Określanie obszaru zbieżności. Metody obliczania odwrotnej TZMetody obliczania odwrotnej TZ.

8. Dyskretne przekształcenie Fouriera – właściwości. Algorytm obliczania szybkiej transformaty Fouriera

9. Filtry cyfrowe – klasyfikacja. Struktury filtrów NOI (IIR) oraz SOI (FIR). Metody projektowania filtrów NOI oraz SOI

10. Procesory sygnałowe – przegląd

‘ Andrzej Kotyra

Niektóre zastosowania cyfrowego przetwarzania sygnałów

Komercyjne: kompresja dźwięku, obrazu; efekty specjalne (FX), instrumenty muz.

Motoryzacja: sterowanie wtryskiem paliwa, ABS, systemy nawigacyjne, sterowanie głosem.

Medyczne: Tomografia komputerowa, MRI, USG, EKG, przechowywanie danych.

Przetwarzanie dźwięków: efekty dźwiękowe (reverb, chorus itp.) rozpoznawanie mowy, systemy dźwięku przestrzennego, synteza mowy, poczta głosowa

Przetwarzanie obrazów: rozpoznawanie obrazów, animacja, mapy cyfrowe, kompresja obrazów

Przemysł: sterowanie numeryczne, robotyka, systemy bezpieczeństwa

Telekomunikacja: modemy, repeatery, telefonia komórkowa, fax, multipleksowanie kanałów, wideokonferencje, szyfrowanie rozmów, redukcja echa.

Wojsko: nawigacja, systemy naprowadzające, systemy radarowe, sonary

‘ Andrzej Kotyra

Page 5: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

3

Sygnał (ogólnie) jest to funkcja, która przenosi informację o stanie lub zachowaniu się pewnego układu fizycznego. Sygnał może przyjmować postać zmienną w czasie (np. sygnały mowy) lub położenia (obraz)

Postać matematyczna: funkcja jednej lub wielu zmiennych.

Sygnały:

ciągły argument i wartość - sygnały ciągłe

dyskretny argument i ciągła wartość - sygnały dyskretne

ciągły argument, dyskretna wartość

dyskretny argument i wartość - sygnały cyfrowe

Przetwarzanie sygnału - przekształcenie jednej postaci sygnału w inną, która w danej chwili jest bardziej pożądana

Układy dyskretne - układy, których sygnały na wejściach i wyjściach są dyskretne

Układy cyfrowe - układy, których sygnały na wejściach i wyjściach są cyfrowe.

Cyfrowe przetwarzanie sygnałów - przekształcanie sygnałów dyskretnych co wartości i argumentu

‘ Andrzej Kotyra

Dygresja:

kodowanie

kodowanie1 bitowe

kodowanie2 bitowe

Page 6: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

3

Sygnał (ogólnie) jest to funkcja, która przenosi informację o stanie lub zachowaniu się pewnego układu fizycznego. Sygnał może przyjmować postać zmienną w czasie (np. sygnały mowy) lub położenia (obraz)

Postać matematyczna: funkcja jednej lub wielu zmiennych.

Sygnały:

ciągły argument i wartość - sygnały ciągłe

dyskretny argument i ciągła wartość - sygnały dyskretne

ciągły argument, dyskretna wartość

dyskretny argument i wartość - sygnały cyfrowe

Przetwarzanie sygnału - przekształcenie jednej postaci sygnału w inną, która w danej chwili jest bardziej pożądana

Układy dyskretne - układy, których sygnały na wejściach i wyjściach są dyskretne

Układy cyfrowe - układy, których sygnały na wejściach i wyjściach są cyfrowe.

Cyfrowe przetwarzanie sygnałów - przekształcanie sygnałów dyskretnych co wartości i argumentu

‘ Andrzej Kotyra

Dygresja:

kodowanie

kodowanie1 bitowe

kodowanie2 bitowe

Page 7: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

4

CzułośćIlość poziomówRozdzielczość

Kwantyzacja sygnałów dyskretnych

4,88 mV10

195,3 mV8 1,25 V2 2,5 V1 bit 221 =

422 =

25628 =

1024210 =

76,3 μV161,22 mV12 4096212 =

65536216 =

Zakres przetwarzanych napięć: 0 - 5V‘ Andrzej Kotyra

[ ]{ } ∞<<∞= n-nxx Sygnały dyskretne opisywane są za pomocą ciągów liczbowych.

[ ] ( ) ∞<<∞= n-nTxnx a , T - okres próbkowania, 1/T - częstotliwość próbkowania

n-ty wyraz ciągu liczb

próbkowania

xa - sygnał analogowyx[n] - n- ta próbka z szeregu

[ ]∑∞

−∞=

=n

nxE 2 Energia sygnału

‘ Andrzej Kotyra

Page 8: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

4

CzułośćIlość poziomówRozdzielczość

Kwantyzacja sygnałów dyskretnych

4,88 mV10

195,3 mV8 1,25 V2 2,5 V1 bit 221 =

422 =

25628 =

1024210 =

76,3 μV161,22 mV12 4096212 =

65536216 =

Zakres przetwarzanych napięć: 0 - 5V‘ Andrzej Kotyra

[ ]{ } ∞<<∞= n-nxx Sygnały dyskretne opisywane są za pomocą ciągów liczbowych.

[ ] ( ) ∞<<∞= n-nTxnx a , T - okres próbkowania, 1/T - częstotliwość próbkowania

n-ty wyraz ciągu liczb

próbkowania

xa - sygnał analogowyx[n] - n- ta próbka z szeregu

[ ]∑∞

−∞=

=n

nxE 2 Energia sygnału

‘ Andrzej Kotyra

Page 9: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

5

Sygnał analogowy

Ciąg próbek uzyskany w wyniku spróbkowania sygnału analogowego

Podstawowe operacje na sygnałach dyskretnych:

• dodawanie mnożenie• dodawanie, mnożenie

• mnożenie przez stałą α

• opóźnienie][][ 0nnxny −= n0 - liczba całkowita

[ ] [ ]{ }[ ] [ ]{ }nynxyx

nynxyx+=+

⋅=⋅

[ ]{ }nxx ⋅=⋅ αα

‘ Andrzej Kotyra

Podstawowe szeregi 1-wymiarowe:Jednostkowy szereg próbkujący(impuls jednostkowy)

⎩⎨⎧

=≠

=0 ,10 ,0

][nn

Skok jednostkowy⎨⎧ ≥ 0 ,1

][n

nu

[ ]1][][ −−= nununδ

j y

⎩⎨ <

=0 ,0

][n

nu

∑∑−∞=

=

=−=n

kk

kknnu ][][][0

δδ

⎩⎨⎧

<≥

=0n 0,0n ,

][nA

nxα

Ciąg wykładniczy rzeczywisty

][][ nuAnx nα=

( )ϕω += nAnx 0cos][

Sinusoida

‘ Andrzej Kotyra

Page 10: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

5

Sygnał analogowy

Ciąg próbek uzyskany w wyniku spróbkowania sygnału analogowego

Podstawowe operacje na sygnałach dyskretnych:

• dodawanie mnożenie• dodawanie, mnożenie

• mnożenie przez stałą α

• opóźnienie][][ 0nnxny −= n0 - liczba całkowita

[ ] [ ]{ }[ ] [ ]{ }nynxyx

nynxyx+=+

⋅=⋅

[ ]{ }nxx ⋅=⋅ αα

‘ Andrzej Kotyra

Podstawowe szeregi 1-wymiarowe:Jednostkowy szereg próbkujący(impuls jednostkowy)

⎩⎨⎧

=≠

=0 ,10 ,0

][nn

Skok jednostkowy⎨⎧ ≥ 0 ,1

][n

nu

[ ]1][][ −−= nununδ

j y

⎩⎨ <

=0 ,0

][n

nu

∑∑−∞=

=

=−=n

kk

kknnu ][][][0

δδ

⎩⎨⎧

<≥

=0n 0,0n ,

][nA

nxα

Ciąg wykładniczy rzeczywisty

][][ nuAnx nα=

( )ϕω += nAnx 0cos][

Sinusoida

‘ Andrzej Kotyra

Page 11: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

6

ϕωαα jnj AA e ,e 0 ==

( )

( ) ( )ϕωαϕωα

ααα ϕωωϕ

+++

==⋅== +

nAjnA

AAAnx njnjjn

00 sincos

eee][ 00

gdzie α - zespolone

Jeżeli |α| = 1, x[n] - zespolony ciąg wykładniczy

Sygnały ciągłe - okres sygnału sinusoidalnego i zespolonego wykładniczego = 2π/f

Sygnały dyskretne są periodyczne jeśli: (N jest całkowite)

][][ Nnxnxn

+=∧Dyskretna sinusoida:y

( ) ( ) kNNnAnA πωϕωωϕω 2 coscos 0000 =⇒++=+

Dyskretny sygnał sinusoidalny (zespolony wykładniczy) nie musi być okresowy z okresem 2π /ω0

‘ Andrzej Kotyra

πωω 2 ,0 00 ==4

7 ,4 00

πωπω ==

Sygnał cos(ω0n) dla kilku różnych wartości ω0

815 ,

8 00πωπω == 0 πω =

‘ Andrzej Kotyra

Page 12: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

6

ϕωαα jnj AA e ,e 0 ==

( )

( ) ( )ϕωαϕωα

ααα ϕωωϕ

+++

==⋅== +

nAjnA

AAAnx njnjjn

00 sincos

eee][ 00

gdzie α - zespolone

Jeżeli |α| = 1, x[n] - zespolony ciąg wykładniczy

Sygnały ciągłe - okres sygnału sinusoidalnego i zespolonego wykładniczego = 2π/f

Sygnały dyskretne są periodyczne jeśli: (N jest całkowite)

][][ Nnxnxn

+=∧Dyskretna sinusoida:y

( ) ( ) kNNnAnA πωϕωωϕω 2 coscos 0000 =⇒++=+

Dyskretny sygnał sinusoidalny (zespolony wykładniczy) nie musi być okresowy z okresem 2π /ω0

‘ Andrzej Kotyra

πωω 2 ,0 00 ==4

7 ,4 00

πωπω ==

Sygnał cos(ω0n) dla kilku różnych wartości ω0

815 ,

8 00πωπω == 0 πω =

‘ Andrzej Kotyra

Page 13: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

7

Niech będzie dany sygnał dyskretny p[n]:

Sygnał p[n] można wyrazić w postaci:

[ ] [ ] [ ] [ ] [ ]7213 7213 −+−++++= −− nananananp δδδδ

Zatem dowolny sygnał dyskretny można zapisać w postaci:

[ ] [ ] [ ]∑∞

−∞=

−⋅=k

knkxnx δ

‘ Andrzej Kotyra

Systemy czasu dyskretnego

[ ]{ }nxTny =][Tx n[ ] y n[ ]

Np. T Odwzorowanie ciągu wejściowego

opóźnienie [ ] ∞<<∞−= nnnxny d - ][

średnia ruchoma [ ]∑−=

−++

=2

11

1][12

M

Mkknx

MMny

p

Systemy nie posiadające pamięci

x[n] w ciąg wyjściowy y[n]

Systemy nie posiadające pamięci

Sygnał wyjściowy w każdym punkcie y[n] zależy tylko od sygnału wejściowego x[n]

[ ]( )2][ nxny =Np.

‘ Andrzej Kotyra

Page 14: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

7

Niech będzie dany sygnał dyskretny p[n]:

Sygnał p[n] można wyrazić w postaci:

[ ] [ ] [ ] [ ] [ ]7213 7213 −+−++++= −− nananananp δδδδ

Zatem dowolny sygnał dyskretny można zapisać w postaci:

[ ] [ ] [ ]∑∞

−∞=

−⋅=k

knkxnx δ

‘ Andrzej Kotyra

Systemy czasu dyskretnego

[ ]{ }nxTny =][Tx n[ ] y n[ ]

Np. T Odwzorowanie ciągu wejściowego

opóźnienie [ ] ∞<<∞−= nnnxny d - ][

średnia ruchoma [ ]∑−=

−++

=2

11

1][12

M

Mkknx

MMny

p

Systemy nie posiadające pamięci

x[n] w ciąg wyjściowy y[n]

Systemy nie posiadające pamięci

Sygnał wyjściowy w każdym punkcie y[n] zależy tylko od sygnału wejściowego x[n]

[ ]( )2][ nxny =Np.

‘ Andrzej Kotyra

Page 15: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

8

Systemy liniowe - systemy spełniające zasadę superpozycji:

[ ] [ ]{ } [ ]{ } [ ]{ }nxbTnxaTnbxnaxT 2121 +=+ Dla każdego n, a, b (a,b- stałe)

[ ] [ ]{ } [ ]{ } [ ]{ }nxTnxTnxnxT +=+c

addytywność[ ] [ ]{ } [ ]{ } [ ]{ }nxTnxTnxnxT 2121 +=+ addytywność

∧[ ]{ } [ ]{ }nxaTnaxT = homogeniczność

Systemy nieliniowe - systemy nie spełniające zasady superpozycji

Wystarczy znaleźć tylko jedno takie n dla którego nie spełniona jest zasada addytywności lub homogeniczności - łatwiejsze do udowodnienia niż liniowość.

i

Systemy kumulujące (accumulator systems)

∑−∞=

=n

k

kxny ][][

Można udowodnić, że system kumulujący jest systemem liniowym

‘ Andrzej Kotyra

Systemy przyczynowe (niezmienne w czasie)

Systemy kompresujące

Są to systemy, w których opóźnienie (przesunięcie) sygnału jest takie same na wejściu jak i na wyjściu układu

][][ ][][ 0101 nnynynnxnx −=⇒−=

0 ,- ][][ >∞<<∞= MnMnxnySygnał wyjściowy zawiera co M- ty wyraz z sygnału wejściowegoNie jest to system niezmienny w czasie

Systemy stabilneSystem jest stabilny w sensie ograniczonego wejścia-wyjścia (BIBO - bounded input bounded output) wtedy i tylko wtedy gdy każde ograniczony sygnał wejściowy daje na wyjściu ograniczony sygnał wyjściowywyjściu ograniczony sygnał wyjściowy

Sygnał (ciąg) jest ograniczony wtedy i tylko wtedy, gdy dla każdego n istnieje takie B > 0, że

[ ] ∞<≤ Bnx

‘ Andrzej Kotyra

Page 16: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

8

Systemy liniowe - systemy spełniające zasadę superpozycji:

[ ] [ ]{ } [ ]{ } [ ]{ }nxbTnxaTnbxnaxT 2121 +=+ Dla każdego n, a, b (a,b- stałe)

[ ] [ ]{ } [ ]{ } [ ]{ }nxTnxTnxnxT +=+c

addytywność[ ] [ ]{ } [ ]{ } [ ]{ }nxTnxTnxnxT 2121 +=+ addytywność

∧[ ]{ } [ ]{ }nxaTnaxT = homogeniczność

Systemy nieliniowe - systemy nie spełniające zasady superpozycji

Wystarczy znaleźć tylko jedno takie n dla którego nie spełniona jest zasada addytywności lub homogeniczności - łatwiejsze do udowodnienia niż liniowość.

i

Systemy kumulujące (accumulator systems)

∑−∞=

=n

k

kxny ][][

Można udowodnić, że system kumulujący jest systemem liniowym

‘ Andrzej Kotyra

Systemy przyczynowe (niezmienne w czasie)

Systemy kompresujące

Są to systemy, w których opóźnienie (przesunięcie) sygnału jest takie same na wejściu jak i na wyjściu układu

][][ ][][ 0101 nnynynnxnx −=⇒−=

0 ,- ][][ >∞<<∞= MnMnxnySygnał wyjściowy zawiera co M- ty wyraz z sygnału wejściowegoNie jest to system niezmienny w czasie

Systemy stabilneSystem jest stabilny w sensie ograniczonego wejścia-wyjścia (BIBO - bounded input bounded output) wtedy i tylko wtedy gdy każde ograniczony sygnał wejściowy daje na wyjściu ograniczony sygnał wyjściowywyjściu ograniczony sygnał wyjściowy

Sygnał (ciąg) jest ograniczony wtedy i tylko wtedy, gdy dla każdego n istnieje takie B > 0, że

[ ] ∞<≤ Bnx

‘ Andrzej Kotyra

Page 17: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

9

Liniowe systemy niezmienne w czasie (LTI - Linear Time-Invariant systems)

Taki system w sposób kompletny określony poprzez jego odpowiedź impulsową.Niech hk[n] oznacza odpowiedź systemu na impuls δ[n-k] - (odpowiedź impulsowa)Dowolny sygnał dyskretny można wyrazić jako ważoną sumę pojedynczych dyskretnych impulsów,

⎫⎧ ∞

{ } [ ]⎭⎬⎫

⎩⎨⎧

−== ∑−∞=k

knkxTnxTny δ][][][

Z zasady superpozycji wynika, że :

[ ]{ } ][][][][ nhkxknTkxny kkk∑∑

−∞=

−∞=

=−= δ

Z niezmienności w czasie wynika, że:∞

suma splotowa

[ ]{ } [ ]knhknT −=−δ ][][][ knhkxnyk

−= ∑∞

−∞=

][][][ knhnxny −∗= Splot dyskretny

‘ Andrzej Kotyra

Wyjście liniowego systemu niezmiennego w czasie jako superpozycja odpowiedzi na pojedyncze impulsy.

Sygnał wejściowy

odpowiedź impulsowa

Sygnał wyjściowy

‘ Andrzej Kotyra

Page 18: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

9

Liniowe systemy niezmienne w czasie (LTI - Linear Time-Invariant systems)

Taki system w sposób kompletny określony poprzez jego odpowiedź impulsową.Niech hk[n] oznacza odpowiedź systemu na impuls δ[n-k] - (odpowiedź impulsowa)Dowolny sygnał dyskretny można wyrazić jako ważoną sumę pojedynczych dyskretnych impulsów,

⎫⎧ ∞

{ } [ ]⎭⎬⎫

⎩⎨⎧

−== ∑−∞=k

knkxTnxTny δ][][][

Z zasady superpozycji wynika, że :

[ ]{ } ][][][][ nhkxknTkxny kkk∑∑

−∞=

−∞=

=−= δ

Z niezmienności w czasie wynika, że:∞

suma splotowa

[ ]{ } [ ]knhknT −=−δ ][][][ knhkxnyk

−= ∑∞

−∞=

][][][ knhnxny −∗= Splot dyskretny

‘ Andrzej Kotyra

Wyjście liniowego systemu niezmiennego w czasie jako superpozycja odpowiedzi na pojedyncze impulsy.

Sygnał wejściowy

odpowiedź impulsowa

Sygnał wyjściowy

‘ Andrzej Kotyra

Page 19: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

10

Znajdowanie szeregu h[n-k] dla danego h[k], gdy n = 4 .

kk −→

‘ Andrzej Kotyra

Przykład 1: obliczanie sygnału wyjściowego dla systemu LTI o odpowiedzi impulsowej h[n]

⎩⎨⎧ −≤≤

=−−=hpozostalyc dla 010 dla 1

][][][Nn

Nnununh dla sygnału [ ]nuanx n=][

[ ] [ ] [ ] [ ] 10 dla ][0

−≤≤−⋅=−⋅= ∑∑=

−∞=

Nnknhkxknhkxnyn

kkb)

aanyNnany

nn

k

k

−−

=⇒−≤≤=+

=∑ 1

1][ 10 dla ][1

0

⎟⎟⎠

⎞⎜⎜⎝

⎛−

−=

−−

=⇒<−= +−++−

+−=∑ a

aaa

aanynNanyN

NnnNnn

Nnk

k

11

1][ 1 dla ][ 1

11

1

12

1

,1

212

1

NNaaaa

NNN

Nk

k ≥−−

=+

=∑

⎪⎧

c)

zatem: [ ]

⎪⎪⎪

⎪⎪⎪

<−⎟⎟⎠

⎞⎜⎜⎝

⎛−

−<≤−

<

=

+−

+

nNa

aa

Nna

an

ny

NNn

n

1 dla 1

1

10 dla 1

10 dla 0

1

1

‘ Andrzej Kotyra

Page 20: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

10

Znajdowanie szeregu h[n-k] dla danego h[k], gdy n = 4 .

kk −→

‘ Andrzej Kotyra

Przykład 1: obliczanie sygnału wyjściowego dla systemu LTI o odpowiedzi impulsowej h[n]

⎩⎨⎧ −≤≤

=−−=hpozostalyc dla 010 dla 1

][][][Nn

Nnununh dla sygnału [ ]nuanx n=][

[ ] [ ] [ ] [ ] 10 dla ][0

−≤≤−⋅=−⋅= ∑∑=

−∞=

Nnknhkxknhkxnyn

kkb)

aanyNnany

nn

k

k

−−

=⇒−≤≤=+

=∑ 1

1][ 10 dla ][1

0

⎟⎟⎠

⎞⎜⎜⎝

⎛−

−=

−−

=⇒<−= +−++−

+−=∑ a

aaa

aanynNanyN

NnnNnn

Nnk

k

11

1][ 1 dla ][ 1

11

1

12

1

,1

212

1

NNaaaa

NNN

Nk

k ≥−−

=+

=∑

⎪⎧

c)

zatem: [ ]

⎪⎪⎪

⎪⎪⎪

<−⎟⎟⎠

⎞⎜⎜⎝

⎛−

−<≤−

<

=

+−

+

nNa

aa

Nna

an

ny

NNn

n

1 dla 1

1

10 dla 1

10 dla 0

1

1

‘ Andrzej Kotyra

Page 21: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

11

Graficzne wyznaczanie splotu dyskretnego dla przykładu 1

Jeżeli 0<n0][ =nya)

10 −≤≤ Nn 10 +−< Nn

b)c)

d)

‘ Andrzej Kotyra

Właściwości LTIPrzemienność ][][][][ nxnhnhnx ∗=∗

Rozdzielczość operacji dodawania względem operacji dyskretnego splotu

( ) ][][][][][][][ 2121 nhnxnhnxnhnhnx ∗+∗=+∗

Połączenie szeregowe (kaskadowe) i równoległe dwóch systemów LTI

szeregowe równoległe

x[n] y[n]h1[n] h2[n]

h1[n]

h2[n]

+x[n] y[n]

x[n] y[n]h2[n] h1[n]

x[n] y[n]h1[n] * h2[n]

h2[n]

x[n] y[n]h1[n] + h2[n]

‘ Andrzej Kotyra

Page 22: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

11

Graficzne wyznaczanie splotu dyskretnego dla przykładu 1

Jeżeli 0<n0][ =nya)

10 −≤≤ Nn 10 +−< Nn

b)c)

d)

‘ Andrzej Kotyra

Właściwości LTIPrzemienność ][][][][ nxnhnhnx ∗=∗

Rozdzielczość operacji dodawania względem operacji dyskretnego splotu

( ) ][][][][][][][ 2121 nhnxnhnxnhnhnx ∗+∗=+∗

Połączenie szeregowe (kaskadowe) i równoległe dwóch systemów LTI

szeregowe równoległe

x[n] y[n]h1[n] h2[n]

h1[n]

h2[n]

+x[n] y[n]

x[n] y[n]h2[n] h1[n]

x[n] y[n]h1[n] * h2[n]

h2[n]

x[n] y[n]h1[n] + h2[n]

‘ Andrzej Kotyra

Page 23: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

12

Stabilność

System LTI jest stabilny ⇔ [ ] ∞<= ∑∞

∞−

khSkażde ograniczone pobudzenie powoduje ograniczoną odpowiedź (warunek konieczny i wystarczający)

( ) ( ) ( ) ( ) ( ) ( ) ∞<<−≤−= ∑∑∑∞∞∞

khMknxkhknxkhnyponieważ ( ) ⇒<∧∨ MnxM

( ) ( ) ( ) ( ) ( ) ( )∑∑∑−∞=−∞=−∞= kkk

ponieważ ( )nM

Aby wykazać, że jest to też warunek wystarczający należy pokazać, że dlaograniczone wejście powoduje nieograniczoną odpowiedź:

∞=S

[ ][ ] [ ]

[ ]⎪⎩

⎪⎨

=

≠−

=

0 ,0

0 , ][

*

nh

nhnh

nhnx [ ] [ ] [ ]

[ ]∑∑∞

−∞=

−∞=

==−=kk

Skhkh

khkxy

]0[2

Przyczynowość( ) ( ) ( ) ( ) 021021 , , nnnynynnnxnx <=⇒<=

zmiany na wejściu nie poprzedzają zmian na wyjściu

Zatem jeśli ∞=S , to możliwe jest aby ograniczony sygnał wejściowy dawał na wyj. nieograniczony sygnał wyjściowy.

‘ Andrzej Kotyra

Układy LTI opisywane liniowymi równaniami różnicowymi o stałych współczynnikach

[ ] [ ]∑ ∑= =

−=−N

k

N

rkk rnxbknya

0 0

równanie różn. N -tego rzędu w ogólnym przypadku nie musi być przyczynowe

Taka postać bez dodatkowych informacji nie określa zależności pomiędzy wy i we (podobnie jak w przypadku równań różniczkowych - warunki początkowe)

Przykład 2 Wyznaczyć równanie różnicowe dla układu kumulacyjnego:

∑−∞=

=n

kkxny ][][

∑−

−∞=

=−1

][]1[n

k

kxny [ ] ∑−

−∞=

+=1

][][n

k

kxnxny

[ ] ]1[][ −+= nynxny

jak w przypadku równań różniczkowych warunki początkowe)

[ ]nxnyny =−− ]1[][-[ ]nx [ ]ny

[ ]1−ny

Z-1

‘ Andrzej Kotyra

Page 24: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

12

Stabilność

System LTI jest stabilny ⇔ [ ] ∞<= ∑∞

∞−

khSkażde ograniczone pobudzenie powoduje ograniczoną odpowiedź (warunek konieczny i wystarczający)

( ) ( ) ( ) ( ) ( ) ( ) ∞<<−≤−= ∑∑∑∞∞∞

khMknxkhknxkhnyponieważ ( ) ⇒<∧∨ MnxM

( ) ( ) ( ) ( ) ( ) ( )∑∑∑−∞=−∞=−∞= kkk

ponieważ ( )nM

Aby wykazać, że jest to też warunek wystarczający należy pokazać, że dlaograniczone wejście powoduje nieograniczoną odpowiedź:

∞=S

[ ][ ] [ ]

[ ]⎪⎩

⎪⎨

=

≠−

=

0 ,0

0 , ][

*

nh

nhnh

nhnx [ ] [ ] [ ]

[ ]∑∑∞

−∞=

−∞=

==−=kk

Skhkh

khkxy

]0[2

Przyczynowość( ) ( ) ( ) ( ) 021021 , , nnnynynnnxnx <=⇒<=

zmiany na wejściu nie poprzedzają zmian na wyjściu

Zatem jeśli ∞=S , to możliwe jest aby ograniczony sygnał wejściowy dawał na wyj. nieograniczony sygnał wyjściowy.

‘ Andrzej Kotyra

Układy LTI opisywane liniowymi równaniami różnicowymi o stałych współczynnikach

[ ] [ ]∑ ∑= =

−=−N

k

N

rkk rnxbknya

0 0

równanie różn. N -tego rzędu w ogólnym przypadku nie musi być przyczynowe

Taka postać bez dodatkowych informacji nie określa zależności pomiędzy wy i we (podobnie jak w przypadku równań różniczkowych - warunki początkowe)

Przykład 2 Wyznaczyć równanie różnicowe dla układu kumulacyjnego:

∑−∞=

=n

kkxny ][][

∑−

−∞=

=−1

][]1[n

k

kxny [ ] ∑−

−∞=

+=1

][][n

k

kxnxny

[ ] ]1[][ −+= nynxny

jak w przypadku równań różniczkowych warunki początkowe)

[ ]nxnyny =−− ]1[][-[ ]nx [ ]ny

[ ]1−ny

Z-1

‘ Andrzej Kotyra

Page 25: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

13

Przykład 3 Zbadać układ, którego równanie różnicowe wynosi:

[ ]nxnayny +−= ]1[][

Sygnał wejściowy wynosi: przy warunku[ ]nBnx δ=][ cy =− ]1[

B]0[Dla 1−>n

Bacy +=]0[( ) aBcaBacaayy +=+=+= 20]0[]1[( ) BacaaBcaaayy 2320]1[]2[ +=+=+=

( ) BacaBacaaayy 34230]2[]3[ +=+=+=

1 Bacany nn += +1][ 0≥n

[ ] ( )][][]1[ ]1[][ 1 nxnyanynxnayny −=−⇒+−= −

( )]1[]1[][ 1 +−+= − nxnyany

Dla 1−<n

‘ Andrzej Kotyra

( ) caxyay 11 ]1[]1[]2[ −− =−−−=−

Ponieważ: dlacy =− ]1[

( ) cacaaxyay 2111 ]2[]2[]3[ −−−− ==−−−=−

( ) 3211

1−<n

1−<n

( ) cacaaxyay 3211 ]3[]3[]4[ −−−− ==−−−=−

cany n 1][ += dla

[ ]nuBacany nn += +1][ ∞>>∞− ndla

• Układ jest nieliniowy ponieważ gdy B = 0 [ ] cany n 1+=• Układ jest nieliniowy, ponieważ gdy B = 0 [ ] cany =

• Układ nie jest niezależny od przesunięcia, ponieważ:

[ ]01

100][][ nnuBacanynny nnn −+==− −+

‘ Andrzej Kotyra

Page 26: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

13

Przykład 3 Zbadać układ, którego równanie różnicowe wynosi:

[ ]nxnayny +−= ]1[][

Sygnał wejściowy wynosi: przy warunku[ ]nBnx δ=][ cy =− ]1[

B]0[Dla 1−>n

Bacy +=]0[( ) aBcaBacaayy +=+=+= 20]0[]1[( ) BacaaBcaaayy 2320]1[]2[ +=+=+=

( ) BacaBacaaayy 34230]2[]3[ +=+=+=

1 Bacany nn += +1][ 0≥n

[ ] ( )][][]1[ ]1[][ 1 nxnyanynxnayny −=−⇒+−= −

( )]1[]1[][ 1 +−+= − nxnyany

Dla 1−<n

‘ Andrzej Kotyra

( ) caxyay 11 ]1[]1[]2[ −− =−−−=−

Ponieważ: dlacy =− ]1[

( ) cacaaxyay 2111 ]2[]2[]3[ −−−− ==−−−=−

( ) 3211

1−<n

1−<n

( ) cacaaxyay 3211 ]3[]3[]4[ −−−− ==−−−=−

cany n 1][ += dla

[ ]nuBacany nn += +1][ ∞>>∞− ndla

• Układ jest nieliniowy ponieważ gdy B = 0 [ ] cany n 1+=• Układ jest nieliniowy, ponieważ gdy B = 0 [ ] cany =

• Układ nie jest niezależny od przesunięcia, ponieważ:

[ ]01

100][][ nnuBacanynny nnn −+==− −+

‘ Andrzej Kotyra

Page 27: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

14

Wnioski:• Dla danego sygnału wejściowego, sygnał wyjściowy nie jest jednoznacznie określony. Potrzebne są dodatkowe warunki początkowe.• Jeżeli dodatkowe warunki początkowe są dane w postaci N wartości wyjściowych, wartości następne i poprzednie uzyskuje się poprzez odpowiednie przekształcenie równania różnicowego• Liniowość, niezależność od przesunięcia w czasie zależą od warunków początkowych. Jeśli

Systemy FIR (SOI) Finite-duration Impulse Response (o Skończonej Odpowiedzi Impulsowej)

Jeżeli każdy impuls ma skończoną amplitudę ⇒ system FIR jest stabilny

Skończona liczba próbek o niezerowej amplitudzie

Liniowość, niezależność od przesunięcia w czasie zależą od warunków początkowych. Jeśli dodatkowo przy braku wymuszenia y[n] = 0 to układ będzie liniowy i niezależny od przesunięcia

System IIR jest stabilny, jeżeli S jest zbieżne, np. dla

[ ] [ ] 1a dla , <= nuanh n

Systemy IIR (NOI) Infinite-duration Impulse Response (o Nieskończonej Odpowiedzi Impulsowej)

‘ Andrzej Kotyra

Reprezentacja częstotliwościowa liniowych układów niezmiennych w czasie

Jeżeli ciągiem wejściowym jest ciąg taki, że , wówczas odpowiedź układu LTI będzie wynosiła:

[ ] ( )∞∞−∈= ; e nnx njω

[ ] [ ] [ ] [ ] [ ] ( ) [ ] kjjkj∞∞∞∞

∑∑∑∑ [ ] [ ] [ ] [ ] [ ] ( ) [ ] kj

k

njknj

kkkkhkhknxkhknhkxny ωωω −

−∞=

−∞=−∞=−∞=

⋅=⋅=−⋅=−⋅= ∑∑∑∑ eee][

( ) [ ] układu ościowaczęstotliw stykacharaktery ee kj

k

j khH ωω −∞

−∞=

⋅= ∑

[ ] ( )ωω jnj Hny ee= ( ) ( ) ( ) ( ) ( )( )ωωωωω jHjjjI

jR

j HjHHH eargeeee e =+=

Przykład 4: Znaleźć charakterystykę częstotliwościową idealnego układu opóźniającego

[ ] [ ] całkowita liczba stałagdzie dd nnnxny −=

[ ] ( ) ( ) ddd njjnjnjnnj Hny ωωωωω −−− =⇒== eeeee

( ) 1e =ωjH ( )[ ] dj nH ωω −=earg

[ ] ( )∞∞−∈= ; e nnx njω

ciąg wejściowy

‘ Andrzej Kotyra

Page 28: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

14

Wnioski:• Dla danego sygnału wejściowego, sygnał wyjściowy nie jest jednoznacznie określony. Potrzebne są dodatkowe warunki początkowe.• Jeżeli dodatkowe warunki początkowe są dane w postaci N wartości wyjściowych, wartości następne i poprzednie uzyskuje się poprzez odpowiednie przekształcenie równania różnicowego• Liniowość, niezależność od przesunięcia w czasie zależą od warunków początkowych. Jeśli

Systemy FIR (SOI) Finite-duration Impulse Response (o Skończonej Odpowiedzi Impulsowej)

Jeżeli każdy impuls ma skończoną amplitudę ⇒ system FIR jest stabilny

Skończona liczba próbek o niezerowej amplitudzie

Liniowość, niezależność od przesunięcia w czasie zależą od warunków początkowych. Jeśli dodatkowo przy braku wymuszenia y[n] = 0 to układ będzie liniowy i niezależny od przesunięcia

System IIR jest stabilny, jeżeli S jest zbieżne, np. dla

[ ] [ ] 1a dla , <= nuanh n

Systemy IIR (NOI) Infinite-duration Impulse Response (o Nieskończonej Odpowiedzi Impulsowej)

‘ Andrzej Kotyra

Reprezentacja częstotliwościowa liniowych układów niezmiennych w czasie

Jeżeli ciągiem wejściowym jest ciąg taki, że , wówczas odpowiedź układu LTI będzie wynosiła:

[ ] ( )∞∞−∈= ; e nnx njω

[ ] [ ] [ ] [ ] [ ] ( ) [ ] kjjkj∞∞∞∞

∑∑∑∑ [ ] [ ] [ ] [ ] [ ] ( ) [ ] kj

k

njknj

kkkkhkhknxkhknhkxny ωωω −

−∞=

−∞=−∞=−∞=

⋅=⋅=−⋅=−⋅= ∑∑∑∑ eee][

( ) [ ] układu ościowaczęstotliw stykacharaktery ee kj

k

j khH ωω −∞

−∞=

⋅= ∑

[ ] ( )ωω jnj Hny ee= ( ) ( ) ( ) ( ) ( )( )ωωωωω jHjjjI

jR

j HjHHH eargeeee e =+=

Przykład 4: Znaleźć charakterystykę częstotliwościową idealnego układu opóźniającego

[ ] [ ] całkowita liczba stałagdzie dd nnnxny −=

[ ] ( ) ( ) ddd njjnjnjnnj Hny ωωωωω −−− =⇒== eeeee

( ) 1e =ωjH ( )[ ] dj nH ωω −=earg

[ ] ( )∞∞−∈= ; e nnx njω

ciąg wejściowy

‘ Andrzej Kotyra

Page 29: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

15

[ ] ( )∞∞−∈= ∑ ; e nanxk

njk

kωJeżeli ciąg wejściowy będzie określony następująco:

Wówczas na podstawie zasady superpozycji [ ] ( ) ( )∞∞−∈= ∑ ; ee nHanyk

njjk

kk ωω

Jeżeli ciąg wejściowy można przedstawić jako superpozycję ciągów wykładniczych zespolonych,wówczas można znaleźć ciąg wyjściowy y[n]

Przykład 5: Znaleźć odpowiedź idealnego układu opóźniającego na sygnał sinusoidalny:

[ ] ( ) njjnjj AAnAnx 00 ee2

ee2

cos 0ωϕωϕϕω −−+=+= [ ] njjAnx 0ee

21ωϕ= [ ] njjAnx 0ee

22ωϕ −−=

Na podstawie zasady superpozycji:

AAA

( ) ( )( )ϕω

ϕωϕω

+=+ +−+

0cos2

00 jj ee

[ ] [ ] [ ] ( ) ( ) ( ) ( )[ ]njjjnjjjnjjjnjjj HHAAeHAHnynyny 00000000 eeeeee2

ee2

ee2

e21ωϕωωϕωωϕωωϕω −−−−−− +=+=+=

( ) ( ) ( )[ ]000 argeee

ωωω jeHjj HH =

[ ] ( ) ( ) ( )[ ]00 arg cos 0ωω θθϕω jj eHneHAny =++= gdzie

faza systemu dla 0ω

( ) [ ] ( )000 e ee ωωω jkj

k

j HkhH ∗∞

−∞=

− =⋅= ∑ jeśli [ ]kh jest rzeczywiste

‘ Andrzej Kotyra

Dla idealnego układu opóźniającego mamy (z przykładu 4):

( ) 1e =ωjH ( )[ ] dj nH ωω −=earg

[ ] ( ) ( ) ( ) ( )[ ]ϕωωϕωθϕωω +−=−+=++= ddj nnAnnAneHAny 0000 coscoscos0

Odpowiedź częstotliwościowa dyskretnych liniowych systemów niezmiennych w czasie jest zawsze funkcją okresową

( ) [ ] [ ] ( )nj

n

nj

n

j nhnheH πωωω 2ee +−∞

−∞=

−∞

−∞=∑∑ == ( ) njnjnjnj ωπωπω −−−+− =

== e

1eee 22321

( )( ) ( )ωπω jj eHeH =+2

Przykład 6: Odpowiedź częstotliwościowa układu średniej ruchomej

⎪⎧ 1 1N NN

[ ]⎪⎩

⎪⎨⎧ −≤≤−

++=n

MnMMMnh

0

, 1

121

21

hpozostałyc dla21

1

, 1

2

1

21

NNaaaa

N

Nk

NNk ≥

−−

=∑=

+

( )( )

ω

ωωωω

j

MjMjM

Mn

njj

MMMMH −

+−

−=

−−

++=

++= ∑ e1

ee1

1e1

1e1

2121

212

1

( ) [ ] kj

k

j khH ωω −∞

−∞=

⋅= ∑ ee

‘ Andrzej Kotyra

Page 30: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

15

[ ] ( )∞∞−∈= ∑ ; e nanxk

njk

kωJeżeli ciąg wejściowy będzie określony następująco:

Wówczas na podstawie zasady superpozycji [ ] ( ) ( )∞∞−∈= ∑ ; ee nHanyk

njjk

kk ωω

Jeżeli ciąg wejściowy można przedstawić jako superpozycję ciągów wykładniczych zespolonych,wówczas można znaleźć ciąg wyjściowy y[n]

Przykład 5: Znaleźć odpowiedź idealnego układu opóźniającego na sygnał sinusoidalny:

[ ] ( ) njjnjj AAnAnx 00 ee2

ee2

cos 0ωϕωϕϕω −−+=+= [ ] njjAnx 0ee

21ωϕ= [ ] njjAnx 0ee

22ωϕ −−=

Na podstawie zasady superpozycji:

AAA

( ) ( )( )ϕω

ϕωϕω

+=+ +−+

0cos2

00 jj ee

[ ] [ ] [ ] ( ) ( ) ( ) ( )[ ]njjjnjjjnjjjnjjj HHAAeHAHnynyny 00000000 eeeeee2

ee2

ee2

e21ωϕωωϕωωϕωωϕω −−−−−− +=+=+=

( ) ( ) ( )[ ]000 argeee

ωωω jeHjj HH =

[ ] ( ) ( ) ( )[ ]00 arg cos 0ωω θθϕω jj eHneHAny =++= gdzie

faza systemu dla 0ω

( ) [ ] ( )000 e ee ωωω jkj

k

j HkhH ∗∞

−∞=

− =⋅= ∑ jeśli [ ]kh jest rzeczywiste

‘ Andrzej Kotyra

Dla idealnego układu opóźniającego mamy (z przykładu 4):

( ) 1e =ωjH ( )[ ] dj nH ωω −=earg

[ ] ( ) ( ) ( ) ( )[ ]ϕωωϕωθϕωω +−=−+=++= ddj nnAnnAneHAny 0000 coscoscos0

Odpowiedź częstotliwościowa dyskretnych liniowych systemów niezmiennych w czasie jest zawsze funkcją okresową

( ) [ ] [ ] ( )nj

n

nj

n

j nhnheH πωωω 2ee +−∞

−∞=

−∞

−∞=∑∑ == ( ) njnjnjnj ωπωπω −−−+− =

== e

1eee 22321

( )( ) ( )ωπω jj eHeH =+2

Przykład 6: Odpowiedź częstotliwościowa układu średniej ruchomej

⎪⎧ 1 1N NN

[ ]⎪⎩

⎪⎨⎧ −≤≤−

++=n

MnMMMnh

0

, 1

121

21

hpozostałyc dla21

1

, 1

2

1

21

NNaaaa

N

Nk

NNk ≥

−−

=∑=

+

( )( )

ω

ωωωω

j

MjMjM

Mn

njj

MMMMH −

+−

−=

−−

++=

++= ∑ e1

ee1

1e1

1e1

2121

212

1

( ) [ ] kj

k

j khH ωω −∞

−∞=

⋅= ∑ ee

‘ Andrzej Kotyra

Page 31: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

16

( )( ) ( )

( )

( ) ( )( )

( )[ ]( )

( ) 221

222

2121

21

212121

21

12

122121

122121

e21sin1

eeeee

11

ee1ee

11e

MMj

MMjjj

MMjMMj

MMjj

MMjMMjj

MM

MM

MMH

−−

−−−−

++−++

+−−−

++−++

++=

=−−

++=

=−−

++=

ω

ωωω

ωω

ωω

ωωω

ω( )21 2sin1MM ++ ω

układ ma charakter filtru dolnoprzepustowego

‘ Andrzej Kotyra

Odpowiedź układu LTI na wymuszenie o postaci [ ] [ ]nunx njωe=

Zakładamy, że n = 0

[ ][ ]⎪

⎪⎨

≥⎟⎠

⎞⎜⎝

<

=∑

=

− 0 ,ee

0 ,0

0nkh

n

nynj

n

k

kj ωω suma splotowa

skok jednostkowy

Dla n ≥ 0 : ⎩ ⎠⎝Dla n ≥ 0 :

[ ] [ ] [ ] ( ) [ ] nj

nk

kjnjjnj

nk

kjnj

k

kj khHkhkhny ωωωωωωωω eeeeeeee110

⎟⎠

⎞⎜⎝

⎛−=⎟

⎞⎜⎝

⎛−⎟

⎞⎜⎝

⎛= ∑∑∑

+=

−∞

+=

−∞

=

składowa ustalona składowa przejściowa

Składowa przejściowa jest identyczna z odpowiedzią układu na s gnał kładnic postaci [ ] ( )∞∞−∈= ;e nnx njωsygnał wykładniczy w postaci: [ ] ( )∞∞∈ ; e nnx

Składowa przejściowa może zanikać:

[ ] [ ]∑∑∞

+=

+=

− ≤11

eenknk

njkj khkh ωω

‘ Andrzej Kotyra

Page 32: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

16

( )( ) ( )

( )

( ) ( )( )

( )[ ]( )

( ) 221

222

2121

21

212121

21

12

122121

122121

e21sin1

eeeee

11

ee1ee

11e

MMj

MMjjj

MMjMMj

MMjj

MMjMMjj

MM

MM

MMH

−−

−−−−

++−++

+−−−

++−++

++=

=−−

++=

=−−

++=

ω

ωωω

ωω

ωω

ωωω

ω( )21 2sin1MM ++ ω

układ ma charakter filtru dolnoprzepustowego

‘ Andrzej Kotyra

Odpowiedź układu LTI na wymuszenie o postaci [ ] [ ]nunx njωe=

Zakładamy, że n = 0

[ ][ ]⎪

⎪⎨

≥⎟⎠

⎞⎜⎝

<

=∑

=

− 0 ,ee

0 ,0

0nkh

n

nynj

n

k

kj ωω suma splotowa

skok jednostkowy

Dla n ≥ 0 : ⎩ ⎠⎝Dla n ≥ 0 :

[ ] [ ] [ ] ( ) [ ] nj

nk

kjnjjnj

nk

kjnj

k

kj khHkhkhny ωωωωωωωω eeeeeeee110

⎟⎠

⎞⎜⎝

⎛−=⎟

⎞⎜⎝

⎛−⎟

⎞⎜⎝

⎛= ∑∑∑

+=

−∞

+=

−∞

=

składowa ustalona składowa przejściowa

Składowa przejściowa jest identyczna z odpowiedzią układu na s gnał kładnic postaci [ ] ( )∞∞−∈= ;e nnx njωsygnał wykładniczy w postaci: [ ] ( )∞∞∈ ; e nnx

Składowa przejściowa może zanikać:

[ ] [ ]∑∑∞

+=

+=

− ≤11

eenknk

njkj khkh ωω

‘ Andrzej Kotyra

Page 33: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

17

Jeżeli odpowiedź impulsowa ma skończoną długość, tzn. [ ] Mnnh ≤≤= 0 0 oprócz

[ ] ( ) 1 ,ee −>= MnHny njj ωω

Jeżeli odpowiedź impulsowa ma długość nieskończoną, wówczas.

[ ] [ ] [ ]∑∑∑∞

=

+=

+=

− ≤≤011

eeknknk

njkj khkhkh ωω Jeżeli [ ]∑∞

=

∞<0

k

kh układ jest stabilny ++ 011 knknk

Warunkiem wystarczającym zanikania składowej przejściowej jest stabilność układu.

Warunek stabilności jest również warunkiem wystarczającym istnienia odpowiedzi w dziedzinie częstotliwości

( ) [ ] [ ] [ ]∑∑∑∞

−∞=

−∞=

−∞

−∞=

− ≤≤=kk

kj

k

kjj khkhkhH ωωω eee

[ ]∑∞

=

∞<0

k

kh Ogólny warunek istnienia odpowiedzi w dziedzinie częstotliwości

‘ Andrzej Kotyra

Rozwijanie funkcji ciągłej w szereg funkcji wzajemnie ortogonalnych. Szereg Fouriera

Niech będzie dana funkcja x(t) określona w zbiorze liczb rzeczywistych. Chcemy znaleźć aproksymację tej funkcji dla t∈⟨t0;t0+T⟩ za pomocą zbioru bazowych funkcji ortogonalnych fk(t)

( ) ( )Tt

∫+0

il k l f k ji ( ) ( ) jitftffft

jiji ≠== ∫ 0,0

* dla

( ) ( ) ( ) ( ) ε+=⇒≈ ∑∑∞

−∞=

−∞= kkk

kkk tfctxtfctx

błąd

Należy tak dobrać współczynniki ck, aby błąd był minimalny (średniokwadratowy)

( ) ( )2

1∫ ∑+ ∞

⎥⎤

⎢⎡

−=Tt

kk

o

tfctxε

iloczyn skalarny funkcjizespolonych fi, fj na ⟨t0;t0+T⟩

aproksymacja x(t) za pomocą zbioru funkcji bazowych fk(t)

( ) ( )∫ ∑−∞=

⎥⎦

⎢⎣t k

kk

o

tfctxT

ε

022110

=∂∂=

∂∂=

∂∂=

∂∂=

∂∂

−−

Lcccccεεεεε

dla dowolnego cn ( ) ( ) 01 0

0

2

=⎟⎟⎠

⎞⎜⎜⎝

⎛⎥⎦

⎤⎢⎣

⎡−

∂∂

∫ ∑+ ∞

−∞=

Tt

t kkk

n

dttfctxTc

‘ Andrzej Kotyra

Page 34: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

17

Jeżeli odpowiedź impulsowa ma skończoną długość, tzn. [ ] Mnnh ≤≤= 0 0 oprócz

[ ] ( ) 1 ,ee −>= MnHny njj ωω

Jeżeli odpowiedź impulsowa ma długość nieskończoną, wówczas.

[ ] [ ] [ ]∑∑∑∞

=

+=

+=

− ≤≤011

eeknknk

njkj khkhkh ωω Jeżeli [ ]∑∞

=

∞<0

k

kh układ jest stabilny ++ 011 knknk

Warunkiem wystarczającym zanikania składowej przejściowej jest stabilność układu.

Warunek stabilności jest również warunkiem wystarczającym istnienia odpowiedzi w dziedzinie częstotliwości

( ) [ ] [ ] [ ]∑∑∑∞

−∞=

−∞=

−∞

−∞=

− ≤≤=kk

kj

k

kjj khkhkhH ωωω eee

[ ]∑∞

=

∞<0

k

kh Ogólny warunek istnienia odpowiedzi w dziedzinie częstotliwości

‘ Andrzej Kotyra

Rozwijanie funkcji ciągłej w szereg funkcji wzajemnie ortogonalnych. Szereg Fouriera

Niech będzie dana funkcja x(t) określona w zbiorze liczb rzeczywistych. Chcemy znaleźć aproksymację tej funkcji dla t∈⟨t0;t0+T⟩ za pomocą zbioru bazowych funkcji ortogonalnych fk(t)

( ) ( )Tt

∫+0

il k l f k ji ( ) ( ) jitftffft

jiji ≠== ∫ 0,0

* dla

( ) ( ) ( ) ( ) ε+=⇒≈ ∑∑∞

−∞=

−∞= kkk

kkk tfctxtfctx

błąd

Należy tak dobrać współczynniki ck, aby błąd był minimalny (średniokwadratowy)

( ) ( )2

1∫ ∑+ ∞

⎥⎤

⎢⎡

−=Tt

kk

o

tfctxε

iloczyn skalarny funkcjizespolonych fi, fj na ⟨t0;t0+T⟩

aproksymacja x(t) za pomocą zbioru funkcji bazowych fk(t)

( ) ( )∫ ∑−∞=

⎥⎦

⎢⎣t k

kk

o

tfctxT

ε

022110

=∂∂=

∂∂=

∂∂=

∂∂=

∂∂

−−

Lcccccεεεεε

dla dowolnego cn ( ) ( ) 01 0

0

2

=⎟⎟⎠

⎞⎜⎜⎝

⎛⎥⎦

⎤⎢⎣

⎡−

∂∂

∫ ∑+ ∞

−∞=

Tt

t kkk

n

dttfctxTc

‘ Andrzej Kotyra

Page 35: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

18

( ) ( ) ( ) ( ) 021 0

0

22 =⎟

⎜⎜

⎥⎥⎦

⎢⎢⎣

⎡⎟⎠

⎞⎜⎝

⎛+−

∂∂

∫ ∑ ∑+ ∞

−∞=

−∞=

Tt

t k kkkkk

n

dttfctfctxtxTc

( )[ ] ( ) ( ) ( ) 012112

20

0

0

0

0

0

=⎟⎠

⎞⎜⎝

⎛∂∂

+∂∂

−∂∂ ∑∫∫ ∑∫

−∞=

++ ∞

−∞=

+

dttfccT

dttfcc

txT

dttxcT k

kk

Tt

t n

Tt

t kkk

n

Tt

t n

( ) ( )∫ ∑∫ ∑+ ∞

−∞=

+ ∞

−∞=

+⎟⎠

⎞⎜⎝

⎛∂∂

=⎟⎠

⎞⎜⎝

⎛∂∂ Tt

t kkk

n

Tt

t kkk

n

dttfccT

dttfccT

0

0

0

0

22

211

( )[ ] 01 0

0

2 =∂∂

∫+Tt

t n

dttxcT

( ) ( ) ( ) ( )∫∫ ∑++ ∞

−∞=

=∂∂ Tt

tn

Tt

t kkk

n

dttftxT

dttfcc

txT

0

0

0

0

2121

⎞⎜⎛∂

∫∫∫∫++++ TtTtTtTt 00001 ( ) ( ) ( ) ( ) ( ) ( ) ( ) ( )

⎞⎜⎜⎝

⎛+++++

∂∂

+ ∫∫∫∫ KKtt

nnttn

dtctftfcdtctftfcdtctftfcdtctftfccT

0000

33221133112211 22221

ze względu na ortogonalność fk(t) całe to wyrażenie =0

( ) ( ) ( )∫∫ ∑∫ ∑++ ∞

−∞=

+ ∞

−∞=

=⎟⎠

⎞⎜⎝

⎛∂∂

=⎟⎠

⎞⎜⎝

⎛∂∂ Tt

tnn

Tt

t kkk

n

Tt

t kkk

n

dttfcT

dttfccT

dttfccT

0

0

0

0

0

0

222

2

2111stąd

‘ Andrzej Kotyra

ostatecznie: ( ) ( ) ( ) 02121 0

0

0

0

2 =+− ∫∫++ Tt

tnn

Tt

tn dttfc

Tdttftx

T

stąd

( ) ( )∫+Tt

tn dttftx

0

0stąd

( )∫+= Tt

tn

tn

dttfc

0

0

0

2

Dla zespolonych funkcji ortogonalnych fk(t) i zespolonych sygnałów x(t):

( ) ( )∫+Tt

dttftx0

*( ) ( )

( ) ( )∫

∫+= Tt

tnn

tn

dttftf

dttftxc

n

0

0

0

*

‘ Andrzej Kotyra

Page 36: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

18

( ) ( ) ( ) ( ) 021 0

0

22 =⎟

⎜⎜

⎥⎥⎦

⎢⎢⎣

⎡⎟⎠

⎞⎜⎝

⎛+−

∂∂

∫ ∑ ∑+ ∞

−∞=

−∞=

Tt

t k kkkkk

n

dttfctfctxtxTc

( )[ ] ( ) ( ) ( ) 012112

20

0

0

0

0

0

=⎟⎠

⎞⎜⎝

⎛∂∂

+∂∂

−∂∂ ∑∫∫ ∑∫

−∞=

++ ∞

−∞=

+

dttfccT

dttfcc

txT

dttxcT k

kk

Tt

t n

Tt

t kkk

n

Tt

t n

( ) ( )∫ ∑∫ ∑+ ∞

−∞=

+ ∞

−∞=

+⎟⎠

⎞⎜⎝

⎛∂∂

=⎟⎠

⎞⎜⎝

⎛∂∂ Tt

t kkk

n

Tt

t kkk

n

dttfccT

dttfccT

0

0

0

0

22

211

( )[ ] 01 0

0

2 =∂∂

∫+Tt

t n

dttxcT

( ) ( ) ( ) ( )∫∫ ∑++ ∞

−∞=

=∂∂ Tt

tn

Tt

t kkk

n

dttftxT

dttfcc

txT

0

0

0

0

2121

⎞⎜⎛∂

∫∫∫∫++++ TtTtTtTt 00001 ( ) ( ) ( ) ( ) ( ) ( ) ( ) ( )

⎞⎜⎜⎝

⎛+++++

∂∂

+ ∫∫∫∫ KKtt

nnttn

dtctftfcdtctftfcdtctftfcdtctftfccT

0000

33221133112211 22221

ze względu na ortogonalność fk(t) całe to wyrażenie =0

( ) ( ) ( )∫∫ ∑∫ ∑++ ∞

−∞=

+ ∞

−∞=

=⎟⎠

⎞⎜⎝

⎛∂∂

=⎟⎠

⎞⎜⎝

⎛∂∂ Tt

tnn

Tt

t kkk

n

Tt

t kkk

n

dttfcT

dttfccT

dttfccT

0

0

0

0

0

0

222

2

2111stąd

‘ Andrzej Kotyra

ostatecznie: ( ) ( ) ( ) 02121 0

0

0

0

2 =+− ∫∫++ Tt

tnn

Tt

tn dttfc

Tdttftx

T

stąd

( ) ( )∫+Tt

tn dttftx

0

0stąd

( )∫+= Tt

tn

tn

dttfc

0

0

0

2

Dla zespolonych funkcji ortogonalnych fk(t) i zespolonych sygnałów x(t):

( ) ( )∫+Tt

dttftx0

*( ) ( )

( ) ( )∫

∫+= Tt

tnn

tn

dttftf

dttftxc

n

0

0

0

*

‘ Andrzej Kotyra

Page 37: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

19

Harmoniczne zespolone funkcje bazowe

Jeżeli założymy, że fn(t) są zespolonymi sygnałami harmonicznymi w postaci:

Tak określony zbiór funkcji jest ortogonalny, ponieważ dla : nm ≠

( ) ( ) ( ) ( )⎟⎟⎠

⎞⎜⎜⎝

⎛+⎟⎟

⎞⎜⎜⎝

⎛==== t

nTjt

nTtf tTnjtnfjtnj

nππππω 2sin2coseee 22 00

( ) ( ) ( ) ( ) ( )[ ]

( )( )[ ][ ]

( )[ ]( ) ( )[ ]

( )( )[ ]

( )[ ]

( )( )[ ]

( )( )[ ]1e

2e

21ee

2eee

2

eee,

222

2

222

222*

00

000

0

0

0

0

0

0

0

=−−

=−

−=

=−−

=−

=

====

−−−

−+−+−

+−

+−

+

∫∫∫

TnmjTnmj

TnmjnmjT

dtdtdttftfff

nmjtTnmjTTnmj

tTnmj

tTnmjTtTnmjTt

ttTnmj

Tt

t

tTnmjTt

t

tTnjtTmjTt

tnmnm

ππ

ππ

πππ

π

πππ

πππ

( )[ ]

( ) ( )( ) ( )( ) 012sin2cos2e

01

2 0

=⎥⎥⎦

⎢⎢⎣

⎡−−−−

−=

44 344 2144 344 21nmjnm

Tnmj

tTnmj

πππ

π

( ) ( ) ( )[ ] TdtdtdttftfffTt

t

Tt

t

tTnmjTt

tnmnm ==== ∫∫∫

++−

+ 0

0

0

0

0

0

02* ee, πnm =Jeśli ,

‘ Andrzej Kotyra

( ) ( )

( ) ( )

( ) ( )( )∫

∫ +−

+

+−

+−

+−

+

+

====Tt

t

tjnTt

t

Tt

t

tjn

Tt

t

tjntjn

Tt

t

tjn

Tt

tnn

Tt

tn dttx

Tdt

dttx

dt

dttx

dttftf

dttftxc

n 0

0

0

0

0

0

0

0

0

0

00

0

0

0

0

0

0

0 e1

e

e

ee

e

0*

*

ω

ω

ωω

ω

Wówczas:

K,2 ,1 0, ±±=n

Jeśli n=0 ( )∫+

=Tt

dttxc01 Wartość średnia dla t∈⟨t0; t0+T⟩

Jeśli n=0 ( )∫=t

dttxT

c0

0

Załóżmy, że x(t) przyjmuje wyłącznie wartości rzeczywiste.

( ) ( )txtx =*

( ) ∑∞

−∞=

=k

tjkkctx 0e ω jeśli wykonamy operacje sprzężenia równ. oraz

zmienimy kierunek sumowania:

( )∞∞∞∞

kk −→*cc =

Wtedy:

( ) ∑∑∑∑−∞=

−−∞=−∞=

−−∞=

− =⇒==k

tjkk

k

tjkk

k

tjkk

k

tjkn cccctx 0000 ee ee **** ωωωω

( ) [ ] [ ]∑∑∑∞

=

−∞

=

−−

−∞=

=++=++==1

*0

10

00000 eeeeek

tjkk

tjkk

k

tjkk

tjkk

k

tjkn ccccccctx ωωωωω

[ ]∑∞

=

+=1

00eRe2

k

tjkkcc ω

kk cc −=

kk cc −=*

, ponieważ: ( )xajbajbaxx Re22* ==−++=+

‘ Andrzej Kotyra

Page 38: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

19

Harmoniczne zespolone funkcje bazowe

Jeżeli założymy, że fn(t) są zespolonymi sygnałami harmonicznymi w postaci:

Tak określony zbiór funkcji jest ortogonalny, ponieważ dla : nm ≠

( ) ( ) ( ) ( )⎟⎟⎠

⎞⎜⎜⎝

⎛+⎟⎟

⎞⎜⎜⎝

⎛==== t

nTjt

nTtf tTnjtnfjtnj

nππππω 2sin2coseee 22 00

( ) ( ) ( ) ( ) ( )[ ]

( )( )[ ][ ]

( )[ ]( ) ( )[ ]

( )( )[ ]

( )[ ]

( )( )[ ]

( )( )[ ]1e

2e

21ee

2eee

2

eee,

222

2

222

222*

00

000

0

0

0

0

0

0

0

=−−

=−

−=

=−−

=−

=

====

−−−

−+−+−

+−

+−

+

∫∫∫

TnmjTnmj

TnmjnmjT

dtdtdttftfff

nmjtTnmjTTnmj

tTnmj

tTnmjTtTnmjTt

ttTnmj

Tt

t

tTnmjTt

t

tTnjtTmjTt

tnmnm

ππ

ππ

πππ

π

πππ

πππ

( )[ ]

( ) ( )( ) ( )( ) 012sin2cos2e

01

2 0

=⎥⎥⎦

⎢⎢⎣

⎡−−−−

−=

44 344 2144 344 21nmjnm

Tnmj

tTnmj

πππ

π

( ) ( ) ( )[ ] TdtdtdttftfffTt

t

Tt

t

tTnmjTt

tnmnm ==== ∫∫∫

++−

+ 0

0

0

0

0

0

02* ee, πnm =Jeśli ,

‘ Andrzej Kotyra

( ) ( )

( ) ( )

( ) ( )( )∫

∫ +−

+

+−

+−

+−

+

+

====Tt

t

tjnTt

t

Tt

t

tjn

Tt

t

tjntjn

Tt

t

tjn

Tt

tnn

Tt

tn dttx

Tdt

dttx

dt

dttx

dttftf

dttftxc

n 0

0

0

0

0

0

0

0

0

0

00

0

0

0

0

0

0

0 e1

e

e

ee

e

0*

*

ω

ω

ωω

ω

Wówczas:

K,2 ,1 0, ±±=n

Jeśli n=0 ( )∫+

=Tt

dttxc01 Wartość średnia dla t∈⟨t0; t0+T⟩

Jeśli n=0 ( )∫=t

dttxT

c0

0

Załóżmy, że x(t) przyjmuje wyłącznie wartości rzeczywiste.

( ) ( )txtx =*

( ) ∑∞

−∞=

=k

tjkkctx 0e ω jeśli wykonamy operacje sprzężenia równ. oraz

zmienimy kierunek sumowania:

( )∞∞∞∞

kk −→*cc =

Wtedy:

( ) ∑∑∑∑−∞=

−−∞=−∞=

−−∞=

− =⇒==k

tjkk

k

tjkk

k

tjkk

k

tjkn cccctx 0000 ee ee **** ωωωω

( ) [ ] [ ]∑∑∑∞

=

−∞

=

−−

−∞=

=++=++==1

*0

10

00000 eeeeek

tjkk

tjkk

k

tjkk

tjkk

k

tjkn ccccccctx ωωωωω

[ ]∑∞

=

+=1

00eRe2

k

tjkkcc ω

kk cc −=

kk cc −=*

, ponieważ: ( )xajbajbaxx Re22* ==−++=+

‘ Andrzej Kotyra

Page 39: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

20

jeśli oznaczymy: ⎟⎟⎠

⎞⎜⎜⎝

⎛−=+=−=

k

knkkkkkk a

bbacjbac arctg , , 22 ϕ

[ ] ( ) ( ) ( )( )[ ] ( ) ( )[ ]tkbtkatkjtkjbac kkkktjk

k 0000 sincossincosReeRe 0 ωωωωω +=+−=

( ) [ ] ( ) ( )[ ]∑∑∞∞

++=+= sincos2eRe2 0tjk tkbtkaccctx ωωωOstatecznie: ( ) [ ] ( ) ( )[ ]∑∑

==

++=+=1

0001

0 sincos2eRe2k

kkk

k tkbtkaccctx ωωOstatecznie:

( ) ( ) ( ) ( ) ( ) kk

Tt

t

Tt

t

Tt

t

tjkk jbadttktx

Tjdttktx

Tdtetx

Tc −=−== ∫∫∫

+++−

0

0

0

0

0

0

000 sin1cos11 ωωω

( ) ( )∫+

=Tt

tk dttktx

Ta

0

0

0cos1 ω ( ) ( )∫+

=Tt

tk dttktx

Tb

0

0

0sin1 ω

Jeśli postać rozwinięcia w szereg jest: ( ) ( ) ( )[ ]∑∞

=

++=1

000 sincosk

kk tkbtkactx ωω

wówczas ( ) ( )∫+

=Tt

tk dttktx

Ta

0

0

0cos2 ω ( ) ( )∫+

=Tt

tk dttktx

Tb

0

0

0sin2 ω

(Postać częściej spotykana)

‘ Andrzej Kotyra

Całkowe przekształcenie Fouriera

Definicja

( ) ( ) ( ) ( ) ωωω ωω djXtxdttxjX tjtj ∫∫∞∞

− == e21 e

proste przekształcenie Fouriera odwrotne przekształcenie Fouriera

( ) ( ) ( ) ( )π

jj ∫∫∞−∞− 2

Warunkiem istnienia transformaty Fouriera jest spełnienie przez x(t) warunków Dirichleta:

( )∫∞

∞<dttx1.

całka Fouriera jest miarą „zawartości” oscylacji o pulsacji ω w funkcji x(t)

0.5

1

⎟⎠⎞

⎜⎝⎛

x1sin

∞−

2. x(t) posiada skończone wartości maksimów i minimów w każdym skończonym przedziale

3. posiada skończoną liczbę punktów nieciągłości w każdym skończonym przedziale

-0.3 -0.2 -0.1 0.1 0.2 0.3

-1

-0.5

‘ Andrzej Kotyra

Page 40: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

20

jeśli oznaczymy: ⎟⎟⎠

⎞⎜⎜⎝

⎛−=+=−=

k

knkkkkkk a

bbacjbac arctg , , 22 ϕ

[ ] ( ) ( ) ( )( )[ ] ( ) ( )[ ]tkbtkatkjtkjbac kkkktjk

k 0000 sincossincosReeRe 0 ωωωωω +=+−=

( ) [ ] ( ) ( )[ ]∑∑∞∞

++=+= sincos2eRe2 0tjk tkbtkaccctx ωωωOstatecznie: ( ) [ ] ( ) ( )[ ]∑∑

==

++=+=1

0001

0 sincos2eRe2k

kkk

k tkbtkaccctx ωωOstatecznie:

( ) ( ) ( ) ( ) ( ) kk

Tt

t

Tt

t

Tt

t

tjkk jbadttktx

Tjdttktx

Tdtetx

Tc −=−== ∫∫∫

+++−

0

0

0

0

0

0

000 sin1cos11 ωωω

( ) ( )∫+

=Tt

tk dttktx

Ta

0

0

0cos1 ω ( ) ( )∫+

=Tt

tk dttktx

Tb

0

0

0sin1 ω

Jeśli postać rozwinięcia w szereg jest: ( ) ( ) ( )[ ]∑∞

=

++=1

000 sincosk

kk tkbtkactx ωω

wówczas ( ) ( )∫+

=Tt

tk dttktx

Ta

0

0

0cos2 ω ( ) ( )∫+

=Tt

tk dttktx

Tb

0

0

0sin2 ω

(Postać częściej spotykana)

‘ Andrzej Kotyra

Całkowe przekształcenie Fouriera

Definicja

( ) ( ) ( ) ( ) ωωω ωω djXtxdttxjX tjtj ∫∫∞∞

− == e21 e

proste przekształcenie Fouriera odwrotne przekształcenie Fouriera

( ) ( ) ( ) ( )π

jj ∫∫∞−∞− 2

Warunkiem istnienia transformaty Fouriera jest spełnienie przez x(t) warunków Dirichleta:

( )∫∞

∞<dttx1.

całka Fouriera jest miarą „zawartości” oscylacji o pulsacji ω w funkcji x(t)

0.5

1

⎟⎠⎞

⎜⎝⎛

x1sin

∞−

2. x(t) posiada skończone wartości maksimów i minimów w każdym skończonym przedziale

3. posiada skończoną liczbę punktów nieciągłości w każdym skończonym przedziale

-0.3 -0.2 -0.1 0.1 0.2 0.3

-1

-0.5

‘ Andrzej Kotyra

Page 41: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

21

Całkowe przekształcenie Fouriera można wyprowadzić jako przypadek graniczny szeregu Fouriera.

( ) ( ) ( )∑ ∫∑ ∫∑∞

−∞= −

−∞

−∞= −

−∞

−∞=⎟⎟⎠

⎞⎜⎜⎝

⎛=⎟

⎟⎠

⎞⎜⎜⎝

⎛==

k

tjkT

T

tjk

k

tjkT

T

tjk

k

tjkk dttx

Tdttx

Tctx 00000 ee

212ee1e

2

2

2

2

ωωωωω

ππ

π2 ωπω dT

T →=⇒∞→2 0

Jeśli skokowa zmiana pulsacji przechodzi w ciągła, a suma po k przechodzi w całkę po ω

( ) ( ) ( )∫∫ ∫∑ ∫∞

∞−

∞−

∞−

−∞

−∞= −

∞→=⎟⎟

⎞⎜⎜⎝

⎛=⎟

⎠⎞

⎜⎝⎛

⎟⎟⎠

⎞⎜⎜⎝

⎛ωω

πω

ππ

πωωωωω djXddttx

Tdttx tjtjtj

k

tjkT

T

tjk

Te

21ee

212ee

21lim 00

2

2

Dla rzeczywistego x(t) :a ec y stego x(t)

( ) ( ) ( ) ( ) ( ) ( ) ( )ωωωωω IR jXXdtttxjdtttxjX +=−= ∫∫∞

∞−

∞−

sincos

( ) ( ) ( )( )ωωω jXjjXjX arge= ( ) ( ) ( )( )[ ]∫∞

∞−

+−= ωωπ

ωω dejXtx jXtj arg

21

‘ Andrzej Kotyra

Podstawowe własności przekształcenia Fouriera

Liniowość ( ) ( ) ( ) ( )ωω jbYjaXtbytax +⇔+

D: Własność ta wynika bezpośrednio z liniowości operacji całkowania

Symetria ( ) ( )ωπ −⇔ xjtX 2

Ω→t( )( ) ( ) ( ) ( ) ( )ωπ

ππ ωω −⋅=⎟⎟

⎞⎜⎜⎝

⎛ΩΩ== ∫∫

∞−

−Ω∞

∞−

− xdjXdtjtXjtX jtj 2e212eF

D:

Skalowanie ( ) ⎟⎞

⎜⎛⇔ Xatx ω1( ) ⎟

⎠⎜⎝

⇔a

Xa

atx

D:

( )( ) ( ) ( ) ⎟⎠⎞

⎜⎝⎛=== ∫∫

∞−

−∞

∞−

aX

adx

adtatxatx a

jtj ωτττωω 1e1eF

adtdat =⇒= ττ

‘ Andrzej Kotyra

Page 42: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

21

Całkowe przekształcenie Fouriera można wyprowadzić jako przypadek graniczny szeregu Fouriera.

( ) ( ) ( )∑ ∫∑ ∫∑∞

−∞= −

−∞

−∞= −

−∞

−∞=⎟⎟⎠

⎞⎜⎜⎝

⎛=⎟

⎟⎠

⎞⎜⎜⎝

⎛==

k

tjkT

T

tjk

k

tjkT

T

tjk

k

tjkk dttx

Tdttx

Tctx 00000 ee

212ee1e

2

2

2

2

ωωωωω

ππ

π2 ωπω dT

T →=⇒∞→2 0

Jeśli skokowa zmiana pulsacji przechodzi w ciągła, a suma po k przechodzi w całkę po ω

( ) ( ) ( )∫∫ ∫∑ ∫∞

∞−

∞−

∞−

−∞

−∞= −

∞→=⎟⎟

⎞⎜⎜⎝

⎛=⎟

⎠⎞

⎜⎝⎛

⎟⎟⎠

⎞⎜⎜⎝

⎛ωω

πω

ππ

πωωωωω djXddttx

Tdttx tjtjtj

k

tjkT

T

tjk

Te

21ee

212ee

21lim 00

2

2

Dla rzeczywistego x(t) :a ec y stego x(t)

( ) ( ) ( ) ( ) ( ) ( ) ( )ωωωωω IR jXXdtttxjdtttxjX +=−= ∫∫∞

∞−

∞−

sincos

( ) ( ) ( )( )ωωω jXjjXjX arge= ( ) ( ) ( )( )[ ]∫∞

∞−

+−= ωωπ

ωω dejXtx jXtj arg

21

‘ Andrzej Kotyra

Podstawowe własności przekształcenia Fouriera

Liniowość ( ) ( ) ( ) ( )ωω jbYjaXtbytax +⇔+

D: Własność ta wynika bezpośrednio z liniowości operacji całkowania

Symetria ( ) ( )ωπ −⇔ xjtX 2

Ω→t( )( ) ( ) ( ) ( ) ( )ωπ

ππ ωω −⋅=⎟⎟

⎞⎜⎜⎝

⎛ΩΩ== ∫∫

∞−

−Ω∞

∞−

− xdjXdtjtXjtX jtj 2e212eF

D:

Skalowanie ( ) ⎟⎞

⎜⎛⇔ Xatx ω1( ) ⎟

⎠⎜⎝

⇔a

Xa

atx

D:

( )( ) ( ) ( ) ⎟⎠⎞

⎜⎝⎛=== ∫∫

∞−

−∞

∞−

aX

adx

adtatxatx a

jtj ωτττωω 1e1eF

adtdat =⇒= ττ

‘ Andrzej Kotyra

Page 43: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

22

Skalowaniu w dziedzinie czasu odpowiada odwrotne skalowanie w dziedzinie częstotliwości

Jeżeli zmniejszymy skalę czasową („ściśniemy” sygnał) to będzie temu odpowiadać poszerzenie widma tego sygnału

‘ Andrzej Kotyra

Przesunięcie w dziedzinie czasu

( ) ( )ωω jXttx tj 0e0−⇔−

D:

( )( ) ( ) ( ) ( ) ( )

( ) ( ) ( )[ ]{ }

00

arg

00 eeee

tjXjtj

jtjtjtj

jXjX

dxdxdtttxttx

ωωω

ωτωτωω ττττ

−−

∞−

−−∞

∞−

+−∞

∞−

− ===−=− ∫∫∫F

( ) ( ) ( )[ ]{ }00 argee tjXjtj jXjX ωωω ωω −− ==

Przesunięcie sygnału w dziedzinie czasu odpowiada przesunięciu w fazie jego transformaty Fouriera. Moduły dowolnego (spełniającego warunki transf.) sygnału i sygnału przesuniętego są sobie równe.

Przesunięcie w dziedzinie częstotliwości (modulacja zespolona)

( ) ( )( )00e ωωω mjXtxtj ⇔±

Modulacja (wymnożenie) sygnału x(t) przez sygnał daje przesunięcie widma do pulsacji ±ω0

( ) ( )( )0e ωω mjXtx ⇔

D: ( )( ) ( )[ ] ( ) ( ) ( )( )0000 eeee ωωωωωωω mm jXdttxdttxtx tjtjtjtj ∫∫

∞−

−∞

∞−

−±± ===F

0e tjω±

‘ Andrzej Kotyra

Page 44: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

22

Skalowaniu w dziedzinie czasu odpowiada odwrotne skalowanie w dziedzinie częstotliwości

Jeżeli zmniejszymy skalę czasową („ściśniemy” sygnał) to będzie temu odpowiadać poszerzenie widma tego sygnału

‘ Andrzej Kotyra

Przesunięcie w dziedzinie czasu

( ) ( )ωω jXttx tj 0e0−⇔−

D:

( )( ) ( ) ( ) ( ) ( )

( ) ( ) ( )[ ]{ }

00

arg

00 eeee

tjXjtj

jtjtjtj

jXjX

dxdxdtttxttx

ωωω

ωτωτωω ττττ

−−

∞−

−−∞

∞−

+−∞

∞−

− ===−=− ∫∫∫F

( ) ( ) ( )[ ]{ }00 argee tjXjtj jXjX ωωω ωω −− ==

Przesunięcie sygnału w dziedzinie czasu odpowiada przesunięciu w fazie jego transformaty Fouriera. Moduły dowolnego (spełniającego warunki transf.) sygnału i sygnału przesuniętego są sobie równe.

Przesunięcie w dziedzinie częstotliwości (modulacja zespolona)

( ) ( )( )00e ωωω mjXtxtj ⇔±

Modulacja (wymnożenie) sygnału x(t) przez sygnał daje przesunięcie widma do pulsacji ±ω0

( ) ( )( )0e ωω mjXtx ⇔

D: ( )( ) ( )[ ] ( ) ( ) ( )( )0000 eeee ωωωωωωω mm jXdttxdttxtx tjtjtjtj ∫∫

∞−

−∞

∞−

−±± ===F

0e tjω±

‘ Andrzej Kotyra

Page 45: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

23

Modulacja rzeczywista

( ) ( ) ( ) ( )[ ]

( ) ( ) ( ) ( )[ ]000

000

2sin

21cos

ωωωωω

ωωωωω

+−−−

++−⇔

XXjttx

XXttx

D:W ik ó E l ł ś i ( ) [ ]tjtj 00

1 ωω −Wynika ze wzorów Eulera, z własności liniowości operacji całkowania oraz modulacji zespolonej.

( ) [ ]

( ) [ ]tjtj

tjtj

eejt

eet

00

00

2sin

2cos

0

0

ωω

ωω

ω

ω

−−−⇔

+⇔

Iloczyn sygnałów

( ) ( ) ( ) ( ) ( ) ( )( ) ( ) ( )ωωννωνπ

ω jYjXdjYjXjZtytxtz ⊗=−=⇔= ∫∞

∞−21

( ) ( ) ( )( )

( ) ( ) ( ) ( )tytxdjYdjX

ddjYjXtz

tjtj

tj

=⎟⎟⎠

⎞⎜⎜⎝

⎛ΩΩ⎟⎟

⎞⎜⎜⎝

⎛=

=⎟⎟⎠

⎞⎜⎜⎝

⎛−=

∫∫

∫ ∫∞

∞−

Ω∞

∞−

∞−

∞−

e21e

21

e21

21

πνν

π

ωννωνππ

ν

ωD: νωνω +Ω=⇒−=Ω

‘ Andrzej Kotyra

Splot sygnałów

( ) ( ) ( ) ( ) ( ) ( ) ( ) ( )ωωωτττ jYjXjZdtyxtytxtz ∫∞

∞−

=⇔−=⊗=

D:( ) ( ) ( ) ( ) ( )τξξτττττ ωξωτω ddydxdtdtyx jjtj =+⎟⎟

⎞⎜⎜⎝

⎛=⎟⎟

⎞⎜⎜⎝

⎛− ∫ ∫∫ ∫ −

∞−

∞−

−−∞

∞−

∞−

eee

( ) ( ) ( ) ( )ωωξξττ ωξωτ jYjXdydx jj =⎟⎟⎠

⎞⎜⎜⎝

⎛⎟⎟⎠

⎞⎜⎜⎝

⎛= ∫∫

∞−

−∞

∞−

− eeτξ

τξτξ

dddt

tt

+=⇒

+=⇒−=

Pochodna sygnału( ) ( ) ( )ωω jXj

dttxd n

n

n

D ( ) ( )nd

∫∞1D: ( ) ( ) n

tj

dtddjXtx ωω

πω∫

∞−

= e21

( ) ( ) ( ) ( ) ( )[ ]∫∫−−

==ω

ω

ωω

ω

ω ωωωπ

ωωωπ

djXjdjXjdt

txd tjntjnn

n

e21e

21

( ) ( )ωω jXj n jest transformatą Fouriera n-tej pochodnej x(t)

‘ Andrzej Kotyra

Page 46: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

23

Modulacja rzeczywista

( ) ( ) ( ) ( )[ ]

( ) ( ) ( ) ( )[ ]000

000

2sin

21cos

ωωωωω

ωωωωω

+−−−

++−⇔

XXjttx

XXttx

D:W ik ó E l ł ś i ( ) [ ]tjtj 00

1 ωω −Wynika ze wzorów Eulera, z własności liniowości operacji całkowania oraz modulacji zespolonej.

( ) [ ]

( ) [ ]tjtj

tjtj

eejt

eet

00

00

2sin

2cos

0

0

ωω

ωω

ω

ω

−−−⇔

+⇔

Iloczyn sygnałów

( ) ( ) ( ) ( ) ( ) ( )( ) ( ) ( )ωωννωνπ

ω jYjXdjYjXjZtytxtz ⊗=−=⇔= ∫∞

∞−21

( ) ( ) ( )( )

( ) ( ) ( ) ( )tytxdjYdjX

ddjYjXtz

tjtj

tj

=⎟⎟⎠

⎞⎜⎜⎝

⎛ΩΩ⎟⎟

⎞⎜⎜⎝

⎛=

=⎟⎟⎠

⎞⎜⎜⎝

⎛−=

∫∫

∫ ∫∞

∞−

Ω∞

∞−

∞−

∞−

e21e

21

e21

21

πνν

π

ωννωνππ

ν

ωD: νωνω +Ω=⇒−=Ω

‘ Andrzej Kotyra

Splot sygnałów

( ) ( ) ( ) ( ) ( ) ( ) ( ) ( )ωωωτττ jYjXjZdtyxtytxtz ∫∞

∞−

=⇔−=⊗=

D:( ) ( ) ( ) ( ) ( )τξξτττττ ωξωτω ddydxdtdtyx jjtj =+⎟⎟

⎞⎜⎜⎝

⎛=⎟⎟

⎞⎜⎜⎝

⎛− ∫ ∫∫ ∫ −

∞−

∞−

−−∞

∞−

∞−

eee

( ) ( ) ( ) ( )ωωξξττ ωξωτ jYjXdydx jj =⎟⎟⎠

⎞⎜⎜⎝

⎛⎟⎟⎠

⎞⎜⎜⎝

⎛= ∫∫

∞−

−∞

∞−

− eeτξ

τξτξ

dddt

tt

+=⇒

+=⇒−=

Pochodna sygnału( ) ( ) ( )ωω jXj

dttxd n

n

n

D ( ) ( )nd

∫∞1D: ( ) ( ) n

tj

dtddjXtx ωω

πω∫

∞−

= e21

( ) ( ) ( ) ( ) ( )[ ]∫∫−−

==ω

ω

ωω

ω

ω ωωωπ

ωωωπ

djXjdjXjdt

txd tjntjnn

n

e21e

21

( ) ( )ωω jXj n jest transformatą Fouriera n-tej pochodnej x(t)

‘ Andrzej Kotyra

Page 47: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

24

Całka sygnału

( ) ( ) ( ) ( )ωδπωω

ττ∫∞−

+⇔t

XjXj

dx 01

Korelacja sygnału

( ) ( ) ( ) ( ) ( ) ( )ωωωτττ jYjXjZdtyxtz ** =⇔−= ∫∞

( ) ( ) ( ) ( ) ( ) ( )ωωωτττ jYjXjZdtyxtz =⇔= ∫∞−

D: Analogiczny jak dla splotu

Równość Parservala

( ) ( )∫ ∫∞

∞−

∞−

= ωωπ

djXdttx 22

21

∞ ∞ ∞ ∞ ⎞⎛ 1D: ( ) ( ) ( ) ( ) ( )∫ ∫ ∫ ∫∞

∞−

∞−

∞−

∞−

=⎟⎟⎠

⎞⎜⎜⎝

⎛== txdjXdttxtxdttx tj **2 e

21 ωωπ

ω

( ) ( ) ( ) ( ) ( )∫∫∫ ∫∞

∞−

∞−

∞−

∞−

==⎟⎟⎠

⎞⎜⎜⎝

⎛ωω

πωωω

πωω

πω djXdjXjXddttxjX tj 2**

21

21e

21

Przekształcenie Fouriera zachowuje energię sygnału

‘ Andrzej Kotyra

Transformaty Fouriera niektórych sygnałów

impuls prostokątny: ( )⎪⎩

⎪⎨⎧

>=

T

TtpT

t dla 1

t dla 0

( ) [ ] ( ) ( ) ( )TTT

TTTjj

dtdttpTjTj

TT

tjT

T

tjtjT ω

ωωω

ωωω

ωωωωω Sa2sin2sin2eee1ee ===

−−

=−

==−

−−

−∞

∞−

− ∫∫

delta Diraca:

D lt Di ż t kt ć j k i d k i l t k t dl T 0Deltę Diraca można potraktować jako graniczny przypadek impulsu prostokątnego, dla T→0:

( ) ( )⎟⎠⎞

⎜⎝⎛=

→tp

Tt TT 2

1lim0

δ

( ) ( ) ( ) 1sinlime21lime

21lim

000=⎟

⎠⎞

⎜⎝⎛=⎟⎟

⎞⎜⎜⎝

⎛=⎟

⎠⎞

⎜⎝⎛

∞−

∞−

→ ∫∫ TTdttp

Tdttp

T T

tjTT

tjTT ω

ωωω

reguła d’Hospitala

‘ Andrzej Kotyra

Page 48: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

24

Całka sygnału

( ) ( ) ( ) ( )ωδπωω

ττ∫∞−

+⇔t

XjXj

dx 01

Korelacja sygnału

( ) ( ) ( ) ( ) ( ) ( )ωωωτττ jYjXjZdtyxtz ** =⇔−= ∫∞

( ) ( ) ( ) ( ) ( ) ( )ωωωτττ jYjXjZdtyxtz =⇔= ∫∞−

D: Analogiczny jak dla splotu

Równość Parservala

( ) ( )∫ ∫∞

∞−

∞−

= ωωπ

djXdttx 22

21

∞ ∞ ∞ ∞ ⎞⎛ 1D: ( ) ( ) ( ) ( ) ( )∫ ∫ ∫ ∫∞

∞−

∞−

∞−

∞−

=⎟⎟⎠

⎞⎜⎜⎝

⎛== txdjXdttxtxdttx tj **2 e

21 ωωπ

ω

( ) ( ) ( ) ( ) ( )∫∫∫ ∫∞

∞−

∞−

∞−

∞−

==⎟⎟⎠

⎞⎜⎜⎝

⎛ωω

πωωω

πωω

πω djXdjXjXddttxjX tj 2**

21

21e

21

Przekształcenie Fouriera zachowuje energię sygnału

‘ Andrzej Kotyra

Transformaty Fouriera niektórych sygnałów

impuls prostokątny: ( )⎪⎩

⎪⎨⎧

>=

T

TtpT

t dla 1

t dla 0

( ) [ ] ( ) ( ) ( )TTT

TTTjj

dtdttpTjTj

TT

tjT

T

tjtjT ω

ωωω

ωωω

ωωωωω Sa2sin2sin2eee1ee ===

−−

=−

==−

−−

−∞

∞−

− ∫∫

delta Diraca:

D lt Di ż t kt ć j k i d k i l t k t dl T 0Deltę Diraca można potraktować jako graniczny przypadek impulsu prostokątnego, dla T→0:

( ) ( )⎟⎠⎞

⎜⎝⎛=

→tp

Tt TT 2

1lim0

δ

( ) ( ) ( ) 1sinlime21lime

21lim

000=⎟

⎠⎞

⎜⎝⎛=⎟⎟

⎞⎜⎜⎝

⎛=⎟

⎠⎞

⎜⎝⎛

∞−

∞−

→ ∫∫ TTdttp

Tdttp

T T

tjTT

tjTT ω

ωωω

reguła d’Hospitala

‘ Andrzej Kotyra

Page 49: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

25

( )[ ] 1=tδF

nieskończenie krótki czas trwania

nieskończenie szerokie widmo

Szereg impulsów Diraca:

( ) ( ) ∑∑∞

−∞=

−∞=

=−=k

tjkk

kTT ckTtt 0e ωδδ szereg impulsów Diraca jest funkcją okresową,

zatem współczynniki rozwinięcia w szereg Fouriera

( )T

dttT

cT

T

tjkk

1e1 2

2

0 == ∫−

− ωδ ( ) 1=∫∞

∞−

dttδ ( ) ∑∑∞

−∞=

−∞=

=−⇒k

tjk

kT T

kTt 0e1 ωδ

‘ Andrzej Kotyra

( )[ ] ( )

( ) ( ) ( )∑∑∑ ∫

∑ ∫∫ ∑∫ ∑∞

−∞=

−∞=

−∞=

∞−

−−

−∞=

∞−

−∞

∞−

−∞

−∞=

∞−

−∞

−∞=

−=−=⎟⎟⎠

⎞⎜⎜⎝

⎛=

=⎟⎟⎠

⎞⎜⎜⎝

⎛=⎥

⎤⎢⎣

⎡=⎥

⎤⎢⎣

⎡−=

kkk

tkj

k

tjtjktj

k

tjktj

kTT

kT

kT

dtT

dtT

dtT

dtkTtt

00221e1

ee1ee1e

0

00

ωωδπωωπδ

δδ

ωω

ωωωωωF

sygnał sinusoidalny (kosinusoidalny):

( )[ ] ( ) ( ) ( )

( ) ( )( )00

00

2221

ee21e

2eeesinsin 00

00

ωωπδωωπδ

ωω ωωωωωωω

ω

+−−

=⎟⎟⎠

⎞⎜⎜⎝

⎛−=

−== ∫∫∫∫

∞−

+−∞

∞−

−−∞

∞−

−−∞

∞−

j

dtdtj

dtj

dttt tjtjtjtjtj

tjF

( )[ ] ( ) ( )( )000 2221cos ωωπδωωπδω ++−=tF

‘ Andrzej Kotyra

Page 50: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

25

( )[ ] 1=tδF

nieskończenie krótki czas trwania

nieskończenie szerokie widmo

Szereg impulsów Diraca:

( ) ( ) ∑∑∞

−∞=

−∞=

=−=k

tjkk

kTT ckTtt 0e ωδδ szereg impulsów Diraca jest funkcją okresową,

zatem współczynniki rozwinięcia w szereg Fouriera

( )T

dttT

cT

T

tjkk

1e1 2

2

0 == ∫−

− ωδ ( ) 1=∫∞

∞−

dttδ ( ) ∑∑∞

−∞=

−∞=

=−⇒k

tjk

kT T

kTt 0e1 ωδ

‘ Andrzej Kotyra

( )[ ] ( )

( ) ( ) ( )∑∑∑ ∫

∑ ∫∫ ∑∫ ∑∞

−∞=

−∞=

−∞=

∞−

−−

−∞=

∞−

−∞

∞−

−∞

−∞=

∞−

−∞

−∞=

−=−=⎟⎟⎠

⎞⎜⎜⎝

⎛=

=⎟⎟⎠

⎞⎜⎜⎝

⎛=⎥

⎤⎢⎣

⎡=⎥

⎤⎢⎣

⎡−=

kkk

tkj

k

tjtjktj

k

tjktj

kTT

kT

kT

dtT

dtT

dtT

dtkTtt

00221e1

ee1ee1e

0

00

ωωδπωωπδ

δδ

ωω

ωωωωωF

sygnał sinusoidalny (kosinusoidalny):

( )[ ] ( ) ( ) ( )

( ) ( )( )00

00

2221

ee21e

2eeesinsin 00

00

ωωπδωωπδ

ωω ωωωωωωω

ω

+−−

=⎟⎟⎠

⎞⎜⎜⎝

⎛−=

−== ∫∫∫∫

∞−

+−∞

∞−

−−∞

∞−

−−∞

∞−

j

dtdtj

dtj

dttt tjtjtjtjtj

tjF

( )[ ] ( ) ( )( )000 2221cos ωωπδωωπδω ++−=tF

‘ Andrzej Kotyra

Page 51: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

26

Część sygnału kosinusoidalnego wycięta przez okno prostokątne

( ) ( )[ ] ( ) ( ) ( )

( ) ( ) ( )[ ] ( )[ ] ( ) ( )

000

eee1e1ee

e2

eeecosecoscos

000000

00

ωωω

ωωωωωωωωωωωω

ωωω

ωω

−+

=+

===

++−−−+−−+−

− −

−−

−∞

∞−

∫ ∫∫ dtdttdttpttpt

TjTjTtjTtjT tjtj

T

T

T

T

tjtjtj

tjtjTTF

[ ]( )

[ ]( ) ( )

( ) ( )

( )( )[ ]

( )( )[ ]

( )0

0

0

0

0

000

sinsin2

ee

2eee

21e

21

2ee

00

ωωωω

ωωωω

ωω

ωωωωωωωωωω

−−

+++

=−−

+

++

−=−

++

−=+

=

−−−

−−

−∫

TTj

jjjdt

TjTj

TT

T

‘ Andrzej Kotyra

‘ Andrzej Kotyra

Page 52: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

26

Część sygnału kosinusoidalnego wycięta przez okno prostokątne

( ) ( )[ ] ( ) ( ) ( )

( ) ( ) ( )[ ] ( )[ ] ( ) ( )

000

eee1e1ee

e2

eeecosecoscos

000000

00

ωωω

ωωωωωωωωωωωω

ωωω

ωω

−+

=+

===

++−−−+−−+−

− −

−−

−∞

∞−

∫ ∫∫ dtdttdttpttpt

TjTjTtjTtjT tjtj

T

T

T

T

tjtjtj

tjtjTTF

[ ]( )

[ ]( ) ( )

( ) ( )

( )( )[ ]

( )( )[ ]

( )0

0

0

0

0

000

sinsin2

ee

2eee

21e

21

2ee

00

ωωωω

ωωωω

ωω

ωωωωωωωωωω

−−

+++

=−−

+

++

−=−

++

−=+

=

−−−

−−

−∫

TTj

jjjdt

TjTj

TT

T

‘ Andrzej Kotyra

‘ Andrzej Kotyra

Page 53: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

27

Widmo części sygnału kosinusoidalnego wyciętej oknem prostokątnym zawiera wąski tzw. listek główny i wiele listków bocznych.

Wpływ szerokości okna prostokątnego na jego widmo amplitudowe

‘ Andrzej Kotyra

Możliwe jest stosowanie okien innych niż prostokątne. Aby zminimalizować ilość i amplitudę listków bocznych można np. dodawać odpowiednio przeskalowane i przesunięte widma okna prostokątnego

Np. okno Tukeya lub Tukeya-Hanninga (od Juliusa Von Hanna)

( ) ( ) ( ) ( )TWTWWW prostprostprostHanninga πωπωωω ++−+= 25,025,05,0

( ) ( ) ( ) ( ) TtjTtj( ) ( ) ( ) ( ) Ttjprost

TtjprostprostHanninga twtwtwtw ππ −++= e25,0e25,05,0

( ) ( )[ ] ( ) ( )[ ] ( )twTttwtw prostprostTtjTtj

Hanninga πππ cos5,05,0ee25,05,0 +=++= −

Okno Hanninga

‘ Andrzej Kotyra

Page 54: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

27

Widmo części sygnału kosinusoidalnego wyciętej oknem prostokątnym zawiera wąski tzw. listek główny i wiele listków bocznych.

Wpływ szerokości okna prostokątnego na jego widmo amplitudowe

‘ Andrzej Kotyra

Możliwe jest stosowanie okien innych niż prostokątne. Aby zminimalizować ilość i amplitudę listków bocznych można np. dodawać odpowiednio przeskalowane i przesunięte widma okna prostokątnego

Np. okno Tukeya lub Tukeya-Hanninga (od Juliusa Von Hanna)

( ) ( ) ( ) ( )TWTWWW prostprostprostHanninga πωπωωω ++−+= 25,025,05,0

( ) ( ) ( ) ( ) TtjTtj( ) ( ) ( ) ( ) Ttjprost

TtjprostprostHanninga twtwtwtw ππ −++= e25,0e25,05,0

( ) ( )[ ] ( ) ( )[ ] ( )twTttwtw prostprostTtjTtj

Hanninga πππ cos5,05,0ee25,05,0 +=++= −

Okno Hanninga

‘ Andrzej Kotyra

Page 55: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

28

Definicje niektórych okien czasowych (dyskretnych)

Okno prostokątne:( ) 1 , ... 2, 1, ,0 dla 1 −== Nnnw

Okno Bartletta (okno trójkątne)

10dla2⎪⎪⎧ −

≤≤Nnn

( ) 1

21 dla

122

20 dla

1

⎪⎪⎩

⎪⎪⎨

−≤≤−

−−

≤≤−=

NnNN

n

nNnw

Okno Kaisera (parametryczne)

1121

2

0 ⎟⎟

⎜⎜

⎛⎟⎠⎞

⎜⎝⎛

−+−−

NNnI β

( ) ( ) 1 , ... 2, 1, ,0 dla 0

−=⎠⎝ ⎠⎝= Nn

Inw

β

( ) ( ) !

211

2

0 ∑∞

=⎥⎦

⎤⎢⎣

⎡+=

m

m

mxxI

Zmodyfikowana funkcja Bessela pierwszego rodzaju zerowego rzęduprzy czym:

Okna w postaci:

( ) 1 , ... 2, 1, ,0 dla 1

6cos1

4cos1

2cos −=⎟⎠⎞

⎜⎝⎛

−−⎟

⎠⎞

⎜⎝⎛

−+⎟

⎠⎞

⎜⎝⎛

−−= Nn

NnD

NnC

NnBAnw πππ

• Okno von Hanna (częściej nazywane o. Hanninga):

0 0 5,0 5,0 ==== DCBA ( ) 1 , ... 2, 1, ,0 dla 1

2cos15,0 −=⎥⎦

⎤⎢⎣

⎡⎟⎠⎞

⎜⎝⎛

−−= Nn

Nnnw π

• Okno(a) Blackmana:

0 08,0 5,0 42,0 ==== DCBA podstawowe

• Okno Hamminga):

0 0 46,0 54,0 ==== DCBA ( ) 1 , ... 2, 1, ,0 dla 1

2cos46,054,0 −=⎟⎠⎞

⎜⎝⎛

−−= Nn

Nnnw π

( ) 1 , ... 2, 1, ,0 dla 1

4cos08,01

2cos5,042,0 −=⎟⎠⎞

⎜⎝⎛

−+⎟

⎠⎞

⎜⎝⎛

−−= Nn

Nn

Nnnw ππ

0 07922,0 49755,0 42323,0 ==== DCBA

0 05677,0 49364,0 44959,0 ==== DCBA

01168,0 14128,0 48829,0 35875,0 ==== DCBA

00183,0 09392,0 49703,0 40217,0 ==== DCBA

‘ Andrzej Kotyra

Page 56: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

28

Definicje niektórych okien czasowych (dyskretnych)

Okno prostokątne:( ) 1 , ... 2, 1, ,0 dla 1 −== Nnnw

Okno Bartletta (okno trójkątne)

10dla2⎪⎪⎧ −

≤≤Nnn

( ) 1

21 dla

122

20 dla

1

⎪⎪⎩

⎪⎪⎨

−≤≤−

−−

≤≤−=

NnNN

n

nNnw

Okno Kaisera (parametryczne)

1121

2

0 ⎟⎟

⎜⎜

⎛⎟⎠⎞

⎜⎝⎛

−+−−

NNnI β

( ) ( ) 1 , ... 2, 1, ,0 dla 0

−=⎠⎝ ⎠⎝= Nn

Inw

β

( ) ( ) !

211

2

0 ∑∞

=⎥⎦

⎤⎢⎣

⎡+=

m

m

mxxI

Zmodyfikowana funkcja Bessela pierwszego rodzaju zerowego rzęduprzy czym:

Okna w postaci:

( ) 1 , ... 2, 1, ,0 dla 1

6cos1

4cos1

2cos −=⎟⎠⎞

⎜⎝⎛

−−⎟

⎠⎞

⎜⎝⎛

−+⎟

⎠⎞

⎜⎝⎛

−−= Nn

NnD

NnC

NnBAnw πππ

• Okno von Hanna (częściej nazywane o. Hanninga):

0 0 5,0 5,0 ==== DCBA ( ) 1 , ... 2, 1, ,0 dla 1

2cos15,0 −=⎥⎦

⎤⎢⎣

⎡⎟⎠⎞

⎜⎝⎛

−−= Nn

Nnnw π

• Okno(a) Blackmana:

0 08,0 5,0 42,0 ==== DCBA podstawowe

• Okno Hamminga):

0 0 46,0 54,0 ==== DCBA ( ) 1 , ... 2, 1, ,0 dla 1

2cos46,054,0 −=⎟⎠⎞

⎜⎝⎛

−−= Nn

Nnnw π

( ) 1 , ... 2, 1, ,0 dla 1

4cos08,01

2cos5,042,0 −=⎟⎠⎞

⎜⎝⎛

−+⎟

⎠⎞

⎜⎝⎛

−−= Nn

Nn

Nnnw ππ

0 07922,0 49755,0 42323,0 ==== DCBA

0 05677,0 49364,0 44959,0 ==== DCBA

01168,0 14128,0 48829,0 35875,0 ==== DCBA

00183,0 09392,0 49703,0 40217,0 ==== DCBA

‘ Andrzej Kotyra

Page 57: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

29

Typ okna maksymalnaamplituda

listkabocznego

[dB]

Szerokośćlistka

głównego[pulsacja

znormalizowana]

prostokątne –13 4π/N

von Hanna 31 8π/Nvon Hanna –31 8 /N

Hamminga –41 8π/N

Blackmana –57 12π/N

‘ Andrzej Kotyra

Twierdzenie o próbkowaniu

Próbkowanie równomierne sygnału ciągłego x(t) może zostać przedstawione jako mnożenie tego sygnału przez szereg impulsów Diraca

( ) ( ) ( )∑∞

−∞=

−⋅=k

kTttxtx δδ

Iloczyn w dziedzinie czasu ⇔ splot w dziedzinie częstotliwości

( ) ( ) ( ) ( ) ( )( )

( )( )T

ωkjX

kjXkjXjX

pk

pp

kpp

kpp

πωωωπ

ωωδωωπ

ωωδωωπ

ωδ

2 ,21

21

21

=⎥⎦

⎤⎢⎣

⎡−

=⎥⎦

⎤⎢⎣

⎡−⊗=⎥

⎤⎢⎣

⎡−⊗=

∑∑∞

−∞=

−∞=

−∞=

( )ωδ jX

( )ωjX Widmo sygnału

Widmo sygnału po spróbkowaniu

Widmo spróbkowanego sygnału analogowego Xδ(jω) składa się z powielonych i poprzesuwanych widm sygnału oryginalnego X(jω) . Aby można było odtworzyć widmo X(jω) na podstawie widmaXδ(jω), sygnał x(t) powinien posiadać widmo ograniczone.

po spróbkowaniu

‘ Andrzej Kotyra

Page 58: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

29

Typ okna maksymalnaamplituda

listkabocznego

[dB]

Szerokośćlistka

głównego[pulsacja

znormalizowana]

prostokątne –13 4π/N

von Hanna 31 8π/Nvon Hanna –31 8 /N

Hamminga –41 8π/N

Blackmana –57 12π/N

‘ Andrzej Kotyra

Twierdzenie o próbkowaniu

Próbkowanie równomierne sygnału ciągłego x(t) może zostać przedstawione jako mnożenie tego sygnału przez szereg impulsów Diraca

( ) ( ) ( )∑∞

−∞=

−⋅=k

kTttxtx δδ

Iloczyn w dziedzinie czasu ⇔ splot w dziedzinie częstotliwości

( ) ( ) ( ) ( ) ( )( )

( )( )T

ωkjX

kjXkjXjX

pk

pp

kpp

kpp

πωωωπ

ωωδωωπ

ωωδωωπ

ωδ

2 ,21

21

21

=⎥⎦

⎤⎢⎣

⎡−

=⎥⎦

⎤⎢⎣

⎡−⊗=⎥

⎤⎢⎣

⎡−⊗=

∑∑∞

−∞=

−∞=

−∞=

( )ωδ jX

( )ωjX Widmo sygnału

Widmo sygnału po spróbkowaniu

Widmo spróbkowanego sygnału analogowego Xδ(jω) składa się z powielonych i poprzesuwanych widm sygnału oryginalnego X(jω) . Aby można było odtworzyć widmo X(jω) na podstawie widmaXδ(jω), sygnał x(t) powinien posiadać widmo ograniczone.

po spróbkowaniu

‘ Andrzej Kotyra

Page 59: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

30

Widmo sygnału próbkowanego

Jeśli fmax jest maksymalną częstotliwością sygnału analogowego x(t), wówczas aby z sygnału spróbkowanego odtworzyć sygnał oryginalny, częstotliwość próbkowania fp musi musi być co najmniej dwa razy większa.

maxp ff 2≥ Tw. Shannona-Kotielnikowa

o ograniczonym widmie

Widmo sygnału spróbkowanego z pulsacją ωp , ωp > ωmax/2

Charakterystyka częstotliwościowa idealnego filtru rekonstruującego z pulsacją ωp ,ωg > ωp1/2

‘ Andrzej Kotyra

Widmo zrekonstruowanego sygnału, próbkowanego z ωp > ωmax/2

Widmo sygnału spróbkowanego z pulsacją ωp , ωp < ωmax/2

Widmo zrekonstruowanego sygnału, próbkowanego z ωp < ωmax/2

ALIASING

‘ Andrzej Kotyra

Page 60: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

30

Widmo sygnału próbkowanego

Jeśli fmax jest maksymalną częstotliwością sygnału analogowego x(t), wówczas aby z sygnału spróbkowanego odtworzyć sygnał oryginalny, częstotliwość próbkowania fp musi musi być co najmniej dwa razy większa.

maxp ff 2≥ Tw. Shannona-Kotielnikowa

o ograniczonym widmie

Widmo sygnału spróbkowanego z pulsacją ωp , ωp > ωmax/2

Charakterystyka częstotliwościowa idealnego filtru rekonstruującego z pulsacją ωp ,ωg > ωp1/2

‘ Andrzej Kotyra

Widmo zrekonstruowanego sygnału, próbkowanego z ωp > ωmax/2

Widmo sygnału spróbkowanego z pulsacją ωp , ωp < ωmax/2

Widmo zrekonstruowanego sygnału, próbkowanego z ωp < ωmax/2

ALIASING

‘ Andrzej Kotyra

Page 61: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

31

Jeżeli oznacza transformatę Fouriera filtru, wówczas synteza sygnału ma postać:( )ωjΠ

( ) ( ) ( )[ ]ωωω

πδ jjXtx

p

Π⋅= −∧

12 F przy założeniach, że pmp ωωωω == g ,2

( ) ( ) ( ) ( ) ( ) ( )( )( )∑∑

−∞=

−∞=

−−

=⎟⎠⎞

⎜⎝⎛⊗⎟

⎞⎜⎝

⎛−=

k m

mm

km kTtkTtkTx

ttkTtktxtx

ωω

πωδ

ωπ sinsin

sygnał po zrekonstruowaniu

odwrotne przekształcenie Fouriera widma filtra rekonstruującego (prostokątnego w dziedzinie ω ):

( ) [ ] [ ] ( )t

tjtjt

ddj mtjtjjjj mmm

m

m

m

m πω

ππω

πωω

πωωω

ωω

ω

ω

ωωω

sinee121e1

21e

21e

21

=−===Π −−

∞−∫∫

Jeśli mp T ωπω 22 ==

⎞⎛⎞⎛ ω( )( )

( )

( )

( )

( )

( )=

⎟⎠⎞

⎜⎝⎛ −

=−

⎟⎟⎠

⎞⎜⎜⎝

⎛−

=−

kTtT

kTtT

kTt

kTt

kTtkTt

p

p

m

m

π

π

ω

ω

ωω

sin

2

2sin

sin⎪⎩

⎪⎨⎧

==

≠=

kmmTt

kmmTt

, dla 1

, dla 0

Funkcja rekonstruująca przesunięta do kT i pomnożona przez x(kT) daje x(kT) dla innych wielokrotności T, =0.

‘ Andrzej Kotyra

Próbkowanie sygnałów pasmowych

W przypadku sygnałów pasmowych możliwa jest rekonstrukcja sygnału z częstotliwością próbkowania mniejszą niż ω - brak inwersji częstotliwości

‘ Andrzej Kotyra

Page 62: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

31

Jeżeli oznacza transformatę Fouriera filtru, wówczas synteza sygnału ma postać:( )ωjΠ

( ) ( ) ( )[ ]ωωω

πδ jjXtx

p

Π⋅= −∧

12 F przy założeniach, że pmp ωωωω == g ,2

( ) ( ) ( ) ( ) ( ) ( )( )( )∑∑

−∞=

−∞=

−−

=⎟⎠⎞

⎜⎝⎛⊗⎟

⎞⎜⎝

⎛−=

k m

mm

km kTtkTtkTx

ttkTtktxtx

ωω

πωδ

ωπ sinsin

sygnał po zrekonstruowaniu

odwrotne przekształcenie Fouriera widma filtra rekonstruującego (prostokątnego w dziedzinie ω ):

( ) [ ] [ ] ( )t

tjtjt

ddj mtjtjjjj mmm

m

m

m

m πω

ππω

πωω

πωωω

ωω

ω

ω

ωωω

sinee121e1

21e

21e

21

=−===Π −−

∞−∫∫

Jeśli mp T ωπω 22 ==

⎞⎛⎞⎛ ω( )( )

( )

( )

( )

( )

( )=

⎟⎠⎞

⎜⎝⎛ −

=−

⎟⎟⎠

⎞⎜⎜⎝

⎛−

=−

kTtT

kTtT

kTt

kTt

kTtkTt

p

p

m

m

π

π

ω

ω

ωω

sin

2

2sin

sin⎪⎩

⎪⎨⎧

==

≠=

kmmTt

kmmTt

, dla 1

, dla 0

Funkcja rekonstruująca przesunięta do kT i pomnożona przez x(kT) daje x(kT) dla innych wielokrotności T, =0.

‘ Andrzej Kotyra

Próbkowanie sygnałów pasmowych

W przypadku sygnałów pasmowych możliwa jest rekonstrukcja sygnału z częstotliwością próbkowania mniejszą niż ω - brak inwersji częstotliwości

‘ Andrzej Kotyra

Page 63: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

32

Próbkowanie sygnałów pasmowych

rekonstrukcja sygnału pasmowego z częstotliwością próbkowania mniejszą niż ω - inwersja częstotliwości

‘ Andrzej Kotyra

Dyskretna transformata Fouriera

Ogólny przypadek analizy fourierowskiej sygnałów można podzielić na cztery przypadki:• przekształcenie Fouriera dla sygnałów ciągłych (ciągły czas i częstotliwość)

• szereg Fouriera dla sygnałów ciągłych (ciągły czas i dyskretna częstotliwość)

• przekształcenie Fouriera dla sygnałów dyskretnych (dyskretny czas i ciągła częstotliwość)

Dla sygnałów ciągłych: ( ) ( )∫∫++

− ==Tt

t

Tt

t

tjnn dttx

Tcdttx

Tc

0

0

0

0

01 ,e1

J ż li i d N ób k k ł ( ) k i N któ dl ł l d

• szereg Fouriera dla sygnałów dyskretnych (dyskretny czas i dyskretna częstotliwość) –Dyskretna Transformata Fouriera (DTF)

Jeżeli posiadamy N próbek okresowego sygnału x(t) o okresie N, które odległe są względem siebie o Δt (okres próbkowania):

( ) ( )tNT

TnxnxΔ⋅=

+=

tNkfkf

tNTf

k Δ⋅=⋅=

Δ⋅==

0

011

k- ta harmoniczna

Harmoniczna podstawowa

‘ Andrzej Kotyra

Page 64: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

32

Próbkowanie sygnałów pasmowych

rekonstrukcja sygnału pasmowego z częstotliwością próbkowania mniejszą niż ω - inwersja częstotliwości

‘ Andrzej Kotyra

Dyskretna transformata Fouriera

Ogólny przypadek analizy fourierowskiej sygnałów można podzielić na cztery przypadki:• przekształcenie Fouriera dla sygnałów ciągłych (ciągły czas i częstotliwość)

• szereg Fouriera dla sygnałów ciągłych (ciągły czas i dyskretna częstotliwość)

• przekształcenie Fouriera dla sygnałów dyskretnych (dyskretny czas i ciągła częstotliwość)

Dla sygnałów ciągłych: ( ) ( )∫∫++

− ==Tt

t

Tt

t

tjnn dttx

Tcdttx

Tc

0

0

0

0

01 ,e1

J ż li i d N ób k k ł ( ) k i N któ dl ł l d

• szereg Fouriera dla sygnałów dyskretnych (dyskretny czas i dyskretna częstotliwość) –Dyskretna Transformata Fouriera (DTF)

Jeżeli posiadamy N próbek okresowego sygnału x(t) o okresie N, które odległe są względem siebie o Δt (okres próbkowania):

( ) ( )tNT

TnxnxΔ⋅=

+=

tNkfkf

tNTf

k Δ⋅=⋅=

Δ⋅==

0

011

k- ta harmoniczna

Harmoniczna podstawowa

‘ Andrzej Kotyra

Page 65: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

33

Dla takiego (spróbkowanego) sygnału x(t)

( ) ( ) ( ) ( ) ( )∑∑∑−

=

−−

=

ΔΔ⋅

−−

=

Δ− ⋅=⋅=Δ⋅ΔΔ

=1

0

21

0

21

0e1e1e1

0

N

n

knN

jN

n

tntN

jkN

n

tnjkk nx

Nnx

Nttnx

tNc

ππω

tnt Δ= tdt Δ=

K,2 ,1 ,0 ±±=k

Można zatem obliczyć współczynniki Fouriera tylko dla dodatnich (albo tylko ujemnych) k

Ponieważ funkcja jest okresowa względem N, zatem kn

Nj π2

e− ( )nNk

Njkn

Nj +−−

=ππ 22

ee

Ostatecznie:

( )∑−

=

−⋅=

1

0

2

e1 N

n

knN

j

k nxN

1,,2 ,1 ,0 −= Nk K=0n

W praktyce nie jest możliwe zrealizowanie sumowania nieskończonej ilości składników, zatem transformacie podlega tylko fragment sygnału

Transformowaniu ulega iloczyn sygnału i okna prostokątnego zatem w dziedzinie częstotliwości wyznaczany jest splot widma sygnału i okna prostokątnego

‘ Andrzej Kotyra

Dyskretna transformata Fouriera: Odwrotna dyskretna transformata Fouriera:

( ) ( ) ( )∑−

=

Ω−Ω ⋅=1

0

eeN

n

njjN nxX ( ) ( )( )∫−

ΩΩ Ω=π

ππdXnx njjN ee

21

Ilość prążków widma może być dowolna, ale najczęściej przyjmuje się ilość prążków widma równą N, tzn. tyle ile wynosi ilość próbek sygnału transformowanego

( ) ( )ΩjNX e

1,,2 ,1 ,0 −= Nk K

( ) ( )∑−

=

−⋅=

1

0

2

e1 N

n

knN

jnx

NkX

π

Dyskretna transformata Fouriera: Odwrotna dyskretna transformata Fouriera:

( ) ( )∑−

=

⋅=1

0

2

eN

n

knN

jkXnx

π

1,,2 ,1 ,0 −= Nk K

W literaturze istnieje również następująca definicja DTF

1,,2 ,1 ,0 −= Nk K

( ) ( )∑−

=

−⋅=

1

0

2

eN

n

knN

jnxkX

π

( ) ( )∑−

=

⋅=1

0

2

e1 N

n

knN

jkX

Nnx

π

1,,2 ,1 ,0 −= Nk K

‘ Andrzej Kotyra

Page 66: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

33

Dla takiego (spróbkowanego) sygnału x(t)

( ) ( ) ( ) ( ) ( )∑∑∑−

=

−−

=

ΔΔ⋅

−−

=

Δ− ⋅=⋅=Δ⋅ΔΔ

=1

0

21

0

21

0e1e1e1

0

N

n

knN

jN

n

tntN

jkN

n

tnjkk nx

Nnx

Nttnx

tNc

ππω

tnt Δ= tdt Δ=

K,2 ,1 ,0 ±±=k

Można zatem obliczyć współczynniki Fouriera tylko dla dodatnich (albo tylko ujemnych) k

Ponieważ funkcja jest okresowa względem N, zatem kn

Nj π2

e− ( )nNk

Njkn

Nj +−−

=ππ 22

ee

Ostatecznie:

( )∑−

=

−⋅=

1

0

2

e1 N

n

knN

j

k nxN

1,,2 ,1 ,0 −= Nk K=0n

W praktyce nie jest możliwe zrealizowanie sumowania nieskończonej ilości składników, zatem transformacie podlega tylko fragment sygnału

Transformowaniu ulega iloczyn sygnału i okna prostokątnego zatem w dziedzinie częstotliwości wyznaczany jest splot widma sygnału i okna prostokątnego

‘ Andrzej Kotyra

Dyskretna transformata Fouriera: Odwrotna dyskretna transformata Fouriera:

( ) ( ) ( )∑−

=

Ω−Ω ⋅=1

0

eeN

n

njjN nxX ( ) ( )( )∫−

ΩΩ Ω=π

ππdXnx njjN ee

21

Ilość prążków widma może być dowolna, ale najczęściej przyjmuje się ilość prążków widma równą N, tzn. tyle ile wynosi ilość próbek sygnału transformowanego

( ) ( )ΩjNX e

1,,2 ,1 ,0 −= Nk K

( ) ( )∑−

=

−⋅=

1

0

2

e1 N

n

knN

jnx

NkX

π

Dyskretna transformata Fouriera: Odwrotna dyskretna transformata Fouriera:

( ) ( )∑−

=

⋅=1

0

2

eN

n

knN

jkXnx

π

1,,2 ,1 ,0 −= Nk K

W literaturze istnieje również następująca definicja DTF

1,,2 ,1 ,0 −= Nk K

( ) ( )∑−

=

−⋅=

1

0

2

eN

n

knN

jnxkX

π

( ) ( )∑−

=

⋅=1

0

2

e1 N

n

knN

jkX

Nnx

π

1,,2 ,1 ,0 −= Nk K

‘ Andrzej Kotyra

Page 67: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

34

Własności Dyskretnego przekształcenia Fouriera

Liniowość ( ) ( )[ ] ( ) ( )∑∑∑−

=

−−

=

−−

=

−⋅+⋅=⋅+

1

0

21

0

21

0

2

e1e1e1 N

n

knN

jN

n

knN

jN

n

knN

jny

Nbnx

Nanbynax

N

πππ

Niewrażliwość na przesunięcie 0nnm −=

( ) ( ) ( ) ( ) ( )∑∑∑−

=

−−−−

=

+−−

=

−=⋅=⋅=⋅−

1

0

2221

0

21

0

2

0000 ee1ee1e1 N

m

knN

jkmN

jknN

jN

m

nmkN

jN

n

knN

jkXmx

Nmx

Nnnx

N

πππππ

Splot sygnałów dyskretnych

[ ] [ ] [ ]kYkXkZ ⋅=

N NNNN πππππ 21 1 21 21 21 2 ⎤⎡ ⎞⎛⎞⎛( ) ( ) ( ) ( )[ ] ( ) ( ) klN

jN

k

N

m

kmN

jN

n

knN

jN

n

klN

jN

n

klN

jmynxkYkXkZlz

πππππ 21

0

1

0

21

0

21

0

21

0

2

eeeee ⋅⎥⎥⎦

⎢⎢⎣

⎡⎟⎟⎠

⎞⎜⎜⎝

⎛⎟⎟⎠

⎞⎜⎜⎝

⎛=⋅=⋅= ∑ ∑∑∑∑

=

=

−−

=

−−

=

=

( ) ( ) ( ) ( )∑∑∑

=

−−−

=

=

=1

0

21

0

1

0

e1 N

k

nmlkN

jN

m

N

n

mynxN

lzπ

‘ Andrzej Kotyra

21

1

, 1

2

1

21

NNaaaa

N

Nk

NNk ≥

−−

=∑=

+

( )∑

=

−−1

0

2

eN

k

nmlkN

j π

Oszacujmy:

( )( )

( )

( )nmlkj

nmlkN

j

NnmlkN

jN

k

nmlkN

j

−−

−−Π

−−−

=

−−=

−=∑

π

ππ

2

2

21

0

2

e1e1

e1e

( )nmlkN

ja

−−Π

=2

e

111

01

0

1

0

≠−

=−

=⇒

−+==⇒= ∑∑−

=

=

aaaS

aaSaSaaSa

NN

NN

k

kN

k

k

( )

( )nmlkN

j −−−

−= π2

e1

e1

( )

( )[ ] ( )[ ] 12sin2cose 2

=−−−−−=== −−

nmlkpjnmlkpa pnmlkjpN

ππ

π

Jeśli wyrażenie będzie całkowitą wielokrotnością N, (p-tą wielokrotną), wówczas: nml −−

NN

kpjN kpN

Nj

=+++== ∑∑−−

31 K 111ee1

21 2

ππ

W przeciwnym przypadku wyrażenie w liczniku, a

1 ,11

≠−

=−

=⇒ aaa

S

Nkk∑∑

==43421

00 więc i całość =0

( ) ( ) ( ) ( ) ( ) ( )∑∑∑∑−

=

=

−−−

=

=

−==1

0

1

0

21

0

1

0

e1 N

n

N

k

nmlkN

jN

m

N

n

nlynxmynxN

lzπ

Ostatecznie:

Splot cykliczny (kołowy)

1,,2 ,1 ,0 −= Nl K

‘ Andrzej Kotyra

Page 68: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

34

Własności Dyskretnego przekształcenia Fouriera

Liniowość ( ) ( )[ ] ( ) ( )∑∑∑−

=

−−

=

−−

=

−⋅+⋅=⋅+

1

0

21

0

21

0

2

e1e1e1 N

n

knN

jN

n

knN

jN

n

knN

jny

Nbnx

Nanbynax

N

πππ

Niewrażliwość na przesunięcie 0nnm −=

( ) ( ) ( ) ( ) ( )∑∑∑−

=

−−−−

=

+−−

=

−=⋅=⋅=⋅−

1

0

2221

0

21

0

2

0000 ee1ee1e1 N

m

knN

jkmN

jknN

jN

m

nmkN

jN

n

knN

jkXmx

Nmx

Nnnx

N

πππππ

Splot sygnałów dyskretnych

[ ] [ ] [ ]kYkXkZ ⋅=

N NNNN πππππ 21 1 21 21 21 2 ⎤⎡ ⎞⎛⎞⎛( ) ( ) ( ) ( )[ ] ( ) ( ) klN

jN

k

N

m

kmN

jN

n

knN

jN

n

klN

jN

n

klN

jmynxkYkXkZlz

πππππ 21

0

1

0

21

0

21

0

21

0

2

eeeee ⋅⎥⎥⎦

⎢⎢⎣

⎡⎟⎟⎠

⎞⎜⎜⎝

⎛⎟⎟⎠

⎞⎜⎜⎝

⎛=⋅=⋅= ∑ ∑∑∑∑

=

=

−−

=

−−

=

=

( ) ( ) ( ) ( )∑∑∑

=

−−−

=

=

=1

0

21

0

1

0

e1 N

k

nmlkN

jN

m

N

n

mynxN

lzπ

‘ Andrzej Kotyra

21

1

, 1

2

1

21

NNaaaa

N

Nk

NNk ≥

−−

=∑=

+

( )∑

=

−−1

0

2

eN

k

nmlkN

j π

Oszacujmy:

( )( )

( )

( )nmlkj

nmlkN

j

NnmlkN

jN

k

nmlkN

j

−−

−−Π

−−−

=

−−=

−=∑

π

ππ

2

2

21

0

2

e1e1

e1e

( )nmlkN

ja

−−Π

=2

e

111

01

0

1

0

≠−

=−

=⇒

−+==⇒= ∑∑−

=

=

aaaS

aaSaSaaSa

NN

NN

k

kN

k

k

( )

( )nmlkN

j −−−

−= π2

e1

e1

( )

( )[ ] ( )[ ] 12sin2cose 2

=−−−−−=== −−

nmlkpjnmlkpa pnmlkjpN

ππ

π

Jeśli wyrażenie będzie całkowitą wielokrotnością N, (p-tą wielokrotną), wówczas: nml −−

NN

kpjN kpN

Nj

=+++== ∑∑−−

31 K 111ee1

21 2

ππ

W przeciwnym przypadku wyrażenie w liczniku, a

1 ,11

≠−

=−

=⇒ aaa

S

Nkk∑∑

==43421

00 więc i całość =0

( ) ( ) ( ) ( ) ( ) ( )∑∑∑∑−

=

=

−−−

=

=

−==1

0

1

0

21

0

1

0

e1 N

n

N

k

nmlkN

jN

m

N

n

nlynxmynxN

lzπ

Ostatecznie:

Splot cykliczny (kołowy)

1,,2 ,1 ,0 −= Nl K

‘ Andrzej Kotyra

Page 69: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

35

Iloczyn sygnałów dyskretnych

( ) ( ) ( )nynynz ⋅= [ ] ( ) ( )∑−

=

−=1

0

1 N

p

pkYpXN

kZ

Iloczynowi dwóch sygnałów okresowych odpowiada cykliczny splot ich widm dyskretnych

( ) ( ) ( ) ( )[ ] ( ) ( )∑ ∑∑∑∑−− −−−−−−

=⎟⎟⎞

⎜⎜⎛

⎟⎟⎞

⎜⎜⎛

===21 1 21 22121

ee1e1eekn

NjN N qn

NjN pn

Njkn

NjNkn

NjN

qYN

pXN

nynxnzkZπππππ

( ) ( ) ( ) ( )[ ] ( ) ( )

( ) ( ) ( ) ( ) ( )∑∑ ∑ ∑

∑ ∑∑∑∑−

=

=

=

=

−+

= ====

−=⎥⎦

⎤⎢⎣

⎡=

⎟⎠

⎜⎝

⎟⎠

⎜⎝

1

0

1

0

1

0

1

0

2

2

0 0000

1e1 N

p

N

p

N

q

N

n

kqpnN

j

n qpnn

pkYpXN

qYpXN

qN

pN

y

π

Symetra/Asymetria

Jeśli x(n) jest sygnałem rzeczywistym

[ ]− Π− Π− ⎟⎞

⎜⎛ +

Π⎞⎛ 1 21 21 2 N kN kN kNjN ( ) ( ) ( ) ( )[ ]∑∑∑

=

Π−−

=

Π−Π−

=

⎟⎠⎞

⎜⎝⎛ +−

−⋅=⋅=⋅=⎟⎠⎞

⎜⎝⎛ +

1

0

21

0

21

0

2 e1eee2

N

n

knN

jnN

n

knN

jnjN

n

nkN

jnxnxnxkNX

( ) ( ) ( ) ( )[ ] =−⋅=⋅=⋅=⎟⎠⎞

⎜⎝⎛ − ∑∑∑

=

Π−

=

ΠΠ−

=

⎟⎠⎞

⎜⎝⎛ −

Π− 1

0

21

0

21

0

22

e1eee2

N

n

knN

jnN

n

knN

jnjN

n

nkNN

jnxnxnxkNX

( ) ( )[ ]*

1

0

2

e1 ⎥⎦

⎤⎢⎣

⎡−⋅= ∑

=

Π−N

n

knN

jnnx

‘ Andrzej Kotyra

⎟⎠⎞

⎜⎝⎛ +=⎟

⎠⎞

⎜⎝⎛ + kNXkNX

22*

Część rzeczywista widma jest symetryczna względem jego środka (N/2)

Część urojona widma jest asymetryczna względem jego środka (N/2)

widmo sygnału zespolonego

widmo sygnału rzeczywistego

‘ Andrzej Kotyra

Page 70: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

35

Iloczyn sygnałów dyskretnych

( ) ( ) ( )nynynz ⋅= [ ] ( ) ( )∑−

=

−=1

0

1 N

p

pkYpXN

kZ

Iloczynowi dwóch sygnałów okresowych odpowiada cykliczny splot ich widm dyskretnych

( ) ( ) ( ) ( )[ ] ( ) ( )∑ ∑∑∑∑−− −−−−−−

=⎟⎟⎞

⎜⎜⎛

⎟⎟⎞

⎜⎜⎛

===21 1 21 22121

ee1e1eekn

NjN N qn

NjN pn

Njkn

NjNkn

NjN

qYN

pXN

nynxnzkZπππππ

( ) ( ) ( ) ( )[ ] ( ) ( )

( ) ( ) ( ) ( ) ( )∑∑ ∑ ∑

∑ ∑∑∑∑−

=

=

=

=

−+

= ====

−=⎥⎦

⎤⎢⎣

⎡=

⎟⎠

⎜⎝

⎟⎠

⎜⎝

1

0

1

0

1

0

1

0

2

2

0 0000

1e1 N

p

N

p

N

q

N

n

kqpnN

j

n qpnn

pkYpXN

qYpXN

qN

pN

y

π

Symetra/Asymetria

Jeśli x(n) jest sygnałem rzeczywistym

[ ]− Π− Π− ⎟⎞

⎜⎛ +

Π⎞⎛ 1 21 21 2 N kN kN kNjN ( ) ( ) ( ) ( )[ ]∑∑∑

=

Π−−

=

Π−Π−

=

⎟⎠⎞

⎜⎝⎛ +−

−⋅=⋅=⋅=⎟⎠⎞

⎜⎝⎛ +

1

0

21

0

21

0

2 e1eee2

N

n

knN

jnN

n

knN

jnjN

n

nkN

jnxnxnxkNX

( ) ( ) ( ) ( )[ ] =−⋅=⋅=⋅=⎟⎠⎞

⎜⎝⎛ − ∑∑∑

=

Π−

=

ΠΠ−

=

⎟⎠⎞

⎜⎝⎛ −

Π− 1

0

21

0

21

0

22

e1eee2

N

n

knN

jnN

n

knN

jnjN

n

nkNN

jnxnxnxkNX

( ) ( )[ ]*

1

0

2

e1 ⎥⎦

⎤⎢⎣

⎡−⋅= ∑

=

Π−N

n

knN

jnnx

‘ Andrzej Kotyra

⎟⎠⎞

⎜⎝⎛ +=⎟

⎠⎞

⎜⎝⎛ + kNXkNX

22*

Część rzeczywista widma jest symetryczna względem jego środka (N/2)

Część urojona widma jest asymetryczna względem jego środka (N/2)

widmo sygnału zespolonego

widmo sygnału rzeczywistego

‘ Andrzej Kotyra

Page 71: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

36

Dane są dwa sygnały sinusoidalne, charakteryzujące się skokową zmianą częstotliwości

-1

Jeżeli sygnał jest rzeczywisty, wówczas brana jest pod uwagę połowa widma.

Widmo amplitudowe obydwu sygnałów jest identyczne (sygnały są rzeczywiste)

2pω

[Hz] sk fNkf =

k- ty współczynnik FFT odpowiada częstotliwości fk wyrażonej w Hz, przy czym: N – ilość próbek sygnału przetwarzanego,fs – częstotliwość próbkowania.

‘ Andrzej Kotyra

Dwa sygnały sinusoidalne, charakteryzujące się skokową zmianą częstotliwości

-1

Krótkoczasowe transformaty Fouriera powyższych sygnałów (okno prostokątne)

Dostarcza informacji na płaszczyźnie czas-częstotliwość

Częstotliwość

Czas

‘ Andrzej Kotyra

Page 72: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

36

Dane są dwa sygnały sinusoidalne, charakteryzujące się skokową zmianą częstotliwości

-1

Jeżeli sygnał jest rzeczywisty, wówczas brana jest pod uwagę połowa widma.

Widmo amplitudowe obydwu sygnałów jest identyczne (sygnały są rzeczywiste)

2pω

[Hz] sk fNkf =

k- ty współczynnik FFT odpowiada częstotliwości fk wyrażonej w Hz, przy czym: N – ilość próbek sygnału przetwarzanego,fs – częstotliwość próbkowania.

‘ Andrzej Kotyra

Dwa sygnały sinusoidalne, charakteryzujące się skokową zmianą częstotliwości

-1

Krótkoczasowe transformaty Fouriera powyższych sygnałów (okno prostokątne)

Dostarcza informacji na płaszczyźnie czas-częstotliwość

Częstotliwość

Czas

‘ Andrzej Kotyra

Page 73: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

37

Transformata świergotowa (chirp transform)

Przeznaczona jest do obliczania widma Fouriera analizowanego sygnału w zadanym zakresie częstotliwości z założoną rozdzielczością.

fkffk Δ⋅+= 0 Mk K,0=[ ] 1,0 −= Nnnx K[ ]

[ ] [ ] MknxkXN-

n

nfj k K,0 e1

0

2 == ∑=

− π sygnał w dziedzinie czasu – N próbek,jego transformata – M+1 próbek

[ ] [ ] ( )∑=

Δ+−=1

0

2 0eN-

n

nfkfjnxkX π

fjfj WA Δ−− == ππ ee 02Jeśli oznaczymy:

[ ] MknxN-

n

fnkjnfj K,0 ee 1

0

22 0 == ∑=

Δ−− ππ

fjfj WA == e e 0Jeśli oznaczymy:

[ ] [ ] MkWAnxkXN-

n

knn K,0 1

0

2 == ∑=

‘ Andrzej Kotyra

( ) knnknk 2222 −+=− ( )2222 nknkkn −−+=⇒

Uwzględniając to, można zapisać: [ ] [ ] 1

0

2∑=

==N-

n

knnWAnxkX [ ] ( ) 1

0

222

∑=

−−+ =N-

n

nknknWAnx

( )1N-[ ]( ) ( ) ,,0

1

0

222

∑=

−− =N-

n

nknnk MkWWAnxW K

Powyższe równanie reprezentuje splot sygnałów w dziedzinie czasu:

[ ] [ ] [ ] 22

21 nnn WnyWAn xny −==

W dziedzinie częstotliwości jest to równoważne iloczynowi widm sygnałów, Y1(k), Y2(k)W dziedzinie częstotliwości jest to równoważne iloczynowi widm sygnałów, Y1(k), Y2(k)

realizacja:

‘ Andrzej Kotyra

Page 74: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

37

Transformata świergotowa (chirp transform)

Przeznaczona jest do obliczania widma Fouriera analizowanego sygnału w zadanym zakresie częstotliwości z założoną rozdzielczością.

fkffk Δ⋅+= 0 Mk K,0=[ ] 1,0 −= Nnnx K[ ]

[ ] [ ] MknxkXN-

n

nfj k K,0 e1

0

2 == ∑=

− π sygnał w dziedzinie czasu – N próbek,jego transformata – M+1 próbek

[ ] [ ] ( )∑=

Δ+−=1

0

2 0eN-

n

nfkfjnxkX π

fjfj WA Δ−− == ππ ee 02Jeśli oznaczymy:

[ ] MknxN-

n

fnkjnfj K,0 ee 1

0

22 0 == ∑=

Δ−− ππ

fjfj WA == e e 0Jeśli oznaczymy:

[ ] [ ] MkWAnxkXN-

n

knn K,0 1

0

2 == ∑=

‘ Andrzej Kotyra

( ) knnknk 2222 −+=− ( )2222 nknkkn −−+=⇒

Uwzględniając to, można zapisać: [ ] [ ] 1

0

2∑=

==N-

n

knnWAnxkX [ ] ( ) 1

0

222

∑=

−−+ =N-

n

nknknWAnx

( )1N-[ ]( ) ( ) ,,0

1

0

222

∑=

−− =N-

n

nknnk MkWWAnxW K

Powyższe równanie reprezentuje splot sygnałów w dziedzinie czasu:

[ ] [ ] [ ] 22

21 nnn WnyWAn xny −==

W dziedzinie częstotliwości jest to równoważne iloczynowi widm sygnałów, Y1(k), Y2(k)W dziedzinie częstotliwości jest to równoważne iloczynowi widm sygnałów, Y1(k), Y2(k)

realizacja:

‘ Andrzej Kotyra

Page 75: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

38

Przykład: Dany jest sygnał będący superpozycją trzech sygnałów harmonicznych

( ) ( ) ( ) ( ) ( ) ( ) 128 25,332sin 5,302sin2 102sin2,0 321 ==== Nt nxt nxt nx πππ

-1

0

1

2

3

4A

mpl

ituda

0 20 40 60 80 100 120 140-3

-2

Czas

‘ Andrzej Kotyra

0.4

0.6

0.8

1

1.2

1.4

|FFT

|

Dyskretna transformata Fouriera

0 20 40 60 80 100 120 1400

0.2

f [Hz]

1.2

1.4

1.6

1.8

2

Transformata świargotowafd = 25Hz, fg = 40HzM = 256

25 30 35 400

0.2

0.4

0.6

0.8

1

f [Hz]

|FFT

|M = 256

‘ Andrzej Kotyra

Page 76: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

38

Przykład: Dany jest sygnał będący superpozycją trzech sygnałów harmonicznych

( ) ( ) ( ) ( ) ( ) ( ) 128 25,332sin 5,302sin2 102sin2,0 321 ==== Nt nxt nxt nx πππ

-1

0

1

2

3

4

Am

plitu

da

0 20 40 60 80 100 120 140-3

-2

Czas

‘ Andrzej Kotyra

0.4

0.6

0.8

1

1.2

1.4

|FFT

|

Dyskretna transformata Fouriera

0 20 40 60 80 100 120 1400

0.2

f [Hz]

1.2

1.4

1.6

1.8

2

Transformata świargotowafd = 25Hz, fg = 40HzM = 256

25 30 35 400

0.2

0.4

0.6

0.8

1

f [Hz]

|FFT

|M = 256

‘ Andrzej Kotyra

Page 77: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

39

Transformata Wignera-Ville’a

• Reprezentacja czasowo-częstoliwościowa• Idealnie odwzorowuje na płaszczyźnie czas-częstotliwość liniową zmianę częstotliwośc

Definicja: ( ) ∫+∞

−⎟⎠⎞

⎜⎝⎛ −⎟

⎠⎞

⎜⎝⎛ += τττ τπ detxtxftS fjVW

x2)(

2*

2,( ) ∫

∞− ⎠⎝⎠⎝ 22

( ) ∫+∞

∞−

−⎟⎠⎞

⎜⎝⎛ −⎟

⎠⎞

⎜⎝⎛ += ννν νπ defxfxftS fjVW

X2)(

2*

2,

sygnał x(t) jest rzeczywisty (definicja Wignera) lub analityczny (definicja Ville’a)

Reprezentacja VW posiada najlepszą koncentrację energii w przestrzeni czas-częstotliwość, ale występują pasożytnicze interferencje wzajemne o charakterze oscylacyjnymKonieczne jest redukcja interferencji – lokalne wygładzanie widma

( ) ( ) ( )m

NkjVW

x emnxmnxknSπ4

)( *,−∞+

∞−∑ −+=Wersja dyskretna:

‘ Andrzej Kotyra

Krótkoczasowa transformata Fouriera

Krótkoczasowa t.F. - Short-Time Fourier Tranform STFT, wprowadzona przez Gabora w 1946 r .

( ) ( ) ( ) dtutwtxwxuSX tju ∫

∞−

−−== ωωω e,, ,

przy czym ( ) ( ) tjutwtw ωe−=( ) ( )u utwtw ω e,

oznacza rzeczywistą funkcję okna, przy czym okno jest symetryczne, tzn. ( ) ( )twtw −=

( ) ( ) ( ) ( )2

2 e,, dtutwtxuSXuXP tjS ∫

∞−

−−== ωωω

- gęstość energii zlokalizowaną w oknie czasowym - nazywana jest spektrogramem( )tw

( )twjest przesuwane o u w dziedzinie czasu i modulowane z częstością ω( )tw

Jeżeli norma funkcji okna =1

( ) ( )∫∞

∞−

== 122 dttwtwJeżeli norma funkcji okna =1

( )2twWówczas można interpretować jako funkcję rozkładu prawdopodobieństwa wystąpienia swobodnej cząstki dookoła punktu u, który jest środkiem okna, ogólniej:

( )( ) 2

21 twtw

Z cząstką można skojarzyć falę opisaną przez funkcję ( )tw

‘ Andrzej Kotyra

Page 78: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

39

Transformata Wignera-Ville’a

• Reprezentacja czasowo-częstoliwościowa• Idealnie odwzorowuje na płaszczyźnie czas-częstotliwość liniową zmianę częstotliwośc

Definicja: ( ) ∫+∞

−⎟⎠⎞

⎜⎝⎛ −⎟

⎠⎞

⎜⎝⎛ += τττ τπ detxtxftS fjVW

x2)(

2*

2,( ) ∫

∞− ⎠⎝⎠⎝ 22

( ) ∫+∞

∞−

−⎟⎠⎞

⎜⎝⎛ −⎟

⎠⎞

⎜⎝⎛ += ννν νπ defxfxftS fjVW

X2)(

2*

2,

sygnał x(t) jest rzeczywisty (definicja Wignera) lub analityczny (definicja Ville’a)

Reprezentacja VW posiada najlepszą koncentrację energii w przestrzeni czas-częstotliwość, ale występują pasożytnicze interferencje wzajemne o charakterze oscylacyjnymKonieczne jest redukcja interferencji – lokalne wygładzanie widma

( ) ( ) ( )m

NkjVW

x emnxmnxknSπ4

)( *,−∞+

∞−∑ −+=Wersja dyskretna:

‘ Andrzej Kotyra

Krótkoczasowa transformata Fouriera

Krótkoczasowa t.F. - Short-Time Fourier Tranform STFT, wprowadzona przez Gabora w 1946 r .

( ) ( ) ( ) dtutwtxwxuSX tju ∫

∞−

−−== ωωω e,, ,

przy czym ( ) ( ) tjutwtw ωe−=( ) ( )u utwtw ω e,

oznacza rzeczywistą funkcję okna, przy czym okno jest symetryczne, tzn. ( ) ( )twtw −=

( ) ( ) ( ) ( )2

2 e,, dtutwtxuSXuXP tjS ∫

∞−

−−== ωωω

- gęstość energii zlokalizowaną w oknie czasowym - nazywana jest spektrogramem( )tw

( )twjest przesuwane o u w dziedzinie czasu i modulowane z częstością ω( )tw

Jeżeli norma funkcji okna =1

( ) ( )∫∞

∞−

== 122 dttwtwJeżeli norma funkcji okna =1

( )2twWówczas można interpretować jako funkcję rozkładu prawdopodobieństwa wystąpienia swobodnej cząstki dookoła punktu u, który jest środkiem okna, ogólniej:

( )( ) 2

21 twtw

Z cząstką można skojarzyć falę opisaną przez funkcję ( )tw

‘ Andrzej Kotyra

Page 79: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

40

Wtedy: ( )∫∞

∞−

= dttwtu 2 ogólniej: ( )

( )∫∞

∞−

= dttwttw

u 22

1

Miarą rozrzutu dookoła punktu u jest wariancja

( ) ( )∫∞

⋅−= dttwutt222σ

Funkcja gęstości prawdopodobieństwa jej momentu daje się zapisać jako:( )

( ) 22

1 ωWtw

∞−2tσ jest wtedy promieniem okna czasowego, natomiast - jego szerokością22 tσ

Ogólniej: ( ) ( ) ( )∫

∞−

⋅−==Δ dttwuttwtw

222 1σ Średniokwadratowy czas trwania sygnału analogowego

Korzystając z równości Parservala można analogiczne rozważania przeprowadzić w dziedzinie częstości ω

ŚŚrodek okna w dziedzinie częstości wynosi:

( )∫∞

∞−

= ωωωπ

ξ dW 2

21 ( )ωW - transformata Fouriera funkcji okna ( )tw

( ) ( )∫∞

∞−

⋅−= dtW 222

21 ωξωπ

σω ( ) ( ) ( )∫∞

∞−

⋅−==Δ dtWtw

222

21 ωξω

πσωω

‘ Andrzej Kotyra

4122 ≥ωσσ t

Wariancja jest miarą koncentracji energii wokół punktu u (w dziedzinie czasu), podobnie2tσ

2ωσ jest miarą koncentracji energii wokół punktu ξ (w dziedzinie częstości),natomiast:

Zasada nieoznaczoności Heisenberga

Zakładając: u = 0 ξ 0:

( )( ) ( )

( )( ) ( )∫∫

∫∫∞

∞−

∞−

∞−

∞−

′⋅

=⋅⋅=

dttwdttwttw

dWdttwttw

t

224

224

22

1

21 ωωω

πσσ ω

Zakładając: u = 0, ξ = 0:

( ) ( )∫ ∫∞

∞−

∞−

= ωωπ

djWdttw 22

21

( ) ( )∫ ∫∞

∞−

∞−

⋅=′ ωωωπ

djWjdttw 22

21

⇓ z nierówności Schwarza

równanie Parservala

( ) ( ) ( ) ( )∫∫∫∞∞∞

≥ dttgtfdttgdttf 222

( )( ) ( )

( )( ) ( ) ( ) ( )[ ]

( )( )

41

41

211

22

4

2

**4

2

*4

22

=⎥⎦

⎤⎢⎣

≥⎥⎦

⎤⎢⎣

⎡′+′≥⎥

⎤⎢⎣

⎡′⋅≥

∫∫∞

∞−

∞−

∞−

dttwtw

dttwtwtwtwttw

dttwtwttw

t ωσσ

całkując przez części i zakładając, że ( ) 0lim =∞→

ttwt

∫∫∫∞−∞−∞−

‘ Andrzej Kotyra

Page 80: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

40

Wtedy: ( )∫∞

∞−

= dttwtu 2 ogólniej: ( )

( )∫∞

∞−

= dttwttw

u 22

1

Miarą rozrzutu dookoła punktu u jest wariancja

( ) ( )∫∞

⋅−= dttwutt222σ

Funkcja gęstości prawdopodobieństwa jej momentu daje się zapisać jako:( )

( ) 22

1 ωWtw

∞−2tσ jest wtedy promieniem okna czasowego, natomiast - jego szerokością22 tσ

Ogólniej: ( ) ( ) ( )∫

∞−

⋅−==Δ dttwuttwtw

222 1σ Średniokwadratowy czas trwania sygnału analogowego

Korzystając z równości Parservala można analogiczne rozważania przeprowadzić w dziedzinie częstości ω

ŚŚrodek okna w dziedzinie częstości wynosi:

( )∫∞

∞−

= ωωωπ

ξ dW 2

21 ( )ωW - transformata Fouriera funkcji okna ( )tw

( ) ( )∫∞

∞−

⋅−= dtW 222

21 ωξωπ

σω ( ) ( ) ( )∫∞

∞−

⋅−==Δ dtWtw

222

21 ωξω

πσωω

‘ Andrzej Kotyra

4122 ≥ωσσ t

Wariancja jest miarą koncentracji energii wokół punktu u (w dziedzinie czasu), podobnie2tσ

2ωσ jest miarą koncentracji energii wokół punktu ξ (w dziedzinie częstości),natomiast:

Zasada nieoznaczoności Heisenberga

Zakładając: u = 0 ξ 0:

( )( ) ( )

( )( ) ( )∫∫

∫∫∞

∞−

∞−

∞−

∞−

′⋅

=⋅⋅=

dttwdttwttw

dWdttwttw

t

224

224

22

1

21 ωωω

πσσ ω

Zakładając: u = 0, ξ = 0:

( ) ( )∫ ∫∞

∞−

∞−

= ωωπ

djWdttw 22

21

( ) ( )∫ ∫∞

∞−

∞−

⋅=′ ωωωπ

djWjdttw 22

21

⇓ z nierówności Schwarza

równanie Parservala

( ) ( ) ( ) ( )∫∫∫∞∞∞

≥ dttgtfdttgdttf 222

( )( ) ( )

( )( ) ( ) ( ) ( )[ ]

( )( )

41

41

211

22

4

2

**4

2

*4

22

=⎥⎦

⎤⎢⎣

≥⎥⎦

⎤⎢⎣

⎡′+′≥⎥

⎤⎢⎣

⎡′⋅≥

∫∫∞

∞−

∞−

∞−

dttwtw

dttwtwtwtwttw

dttwtwttw

t ωσσ

całkując przez części i zakładając, że ( ) 0lim =∞→

ttwt

∫∫∫∞−∞−∞−

‘ Andrzej Kotyra

Page 81: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

41

Równość w zasadzie nieoznaczoności Heisenberga występuje wówczas, jeśli istnieje takie b

( ) ( )twbttw ⋅−=′ 2

⇓( ) 2

e btatw −=a,b - zespolone

istnieje takie a

ω

tb1

ξ1

ξ2

b2

2Δt

2Δ ω

Kostki Heisenberga

• Rozdzielczość w dziedzinie czasu i częstotliwości jest ograniczona• Im większa rozdzielczość w dziedzinie czasu (mniejsza szerokość okna w dziedzinie czasu), tym mniejsza w dziedzinie częstotliwości (szersze okno w dziedzinie częstotliwości) i na odwrót.• Największa rozdzielczość w dziedzinie czasu i częstotliwości - najmniejsze pole kostki Heisenberga- uzyskuje się dla okna Gaussa - transformata Gabora.

‘ Andrzej Kotyra

Krótkoczasowa transformata Fouriera dla przykładowego sygnału okna prostokątne o różnych długościach

Okno 512

0

20

40 200500

1000

60

80

0

10

20

30

100

0

0

10

20

30

‘ Andrzej Kotyra

Page 82: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

41

Równość w zasadzie nieoznaczoności Heisenberga występuje wówczas, jeśli istnieje takie b

( ) ( )twbttw ⋅−=′ 2

⇓( ) 2

e btatw −=a,b - zespolone

istnieje takie a

ω

tb1

ξ1

ξ2

b2

2Δt

2Δ ω

Kostki Heisenberga

• Rozdzielczość w dziedzinie czasu i częstotliwości jest ograniczona• Im większa rozdzielczość w dziedzinie czasu (mniejsza szerokość okna w dziedzinie czasu), tym mniejsza w dziedzinie częstotliwości (szersze okno w dziedzinie częstotliwości) i na odwrót.• Największa rozdzielczość w dziedzinie czasu i częstotliwości - najmniejsze pole kostki Heisenberga- uzyskuje się dla okna Gaussa - transformata Gabora.

‘ Andrzej Kotyra

Krótkoczasowa transformata Fouriera dla przykładowego sygnału okna prostokątne o różnych długościach

Okno 512

0

20

40 200500

1000

60

80

0

10

20

30

100

0

0

10

20

30

‘ Andrzej Kotyra

Page 83: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

42

Okno 2048

Krótkoczasowa transformata Fouriera dla przykładowego sygnału okna prostokątne o różnych długościach

0

20

40

60

100

200

300

60

80

0

10

20

30

40

20

400

0

10

20

30

40

‘ Andrzej Kotyra

Okno 8192

Krótkoczasowa transformata Fouriera dla przykładowego sygnału okna prostokątne o różnych długościach

10

15

20

40

60

80

0

20

40

0

20

40

60

5

10

0

20

40

60

60

80

‘ Andrzej Kotyra

Page 84: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

42

Okno 2048

Krótkoczasowa transformata Fouriera dla przykładowego sygnału okna prostokątne o różnych długościach

0

20

40

60

100

200

300

60

80

0

10

20

30

40

20

400

0

10

20

30

40

‘ Andrzej Kotyra

Okno 8192

Krótkoczasowa transformata Fouriera dla przykładowego sygnału okna prostokątne o różnych długościach

10

15

20

40

60

80

0

20

40

0

20

40

60

5

10

0

20

40

60

60

80

‘ Andrzej Kotyra

Page 85: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

43

Transformata falkowa (wavelet transform)

( ) ( )∫∞

∞−

= dtttfsf s ττ ,*ψ)(,W Ciągła transformata falkowa

Analizowana funkcja powinna być całkowalna z kwadratem tzn:

( ) { } RR ∈−∈⎟⎠⎞

⎜⎝⎛ −= ττ

τ ,0 ,ψ1ψ , ss

ts

ts

( ) ∞<∫∞

∞−

dttf 2

Sygnał powinien charakteryzować się skończoną energią. Warunku tego nie spełnia np. zarówno sygnał stały, jaki i sinusoida

Zbiór funkcji generowanych z tzw. prototypu funkcji - falki matki (mother wavelet)

s - współczynnik skali τ - współczynnik przesunięcia (translacji)

‘ Andrzej Kotyra

( ) ( )∫ ∫∞

∞−

⎟⎠⎞

⎜⎝⎛ −

Ψ=a

ddsss

ts

sc

tf τττ 2

1ψ1,1odwrotna ciągła transformata falkowa

przy czym( )

∫∞ Ψ

=2

ωω

dc ∫0 ω

Ψ(ω) - transformata Fouriera falki ψ(t).

stt ='Wprowadzając nawą zmienną otrzymujemy zależność:

⎞⎛( ) ( ) ''ψ', dta

tatfaa ∫ ⎟⎠⎞

⎜⎝⎛ −=Ψ

ττ

skalowaniu może podlegać zarówno falka jak i analizowana funkcja.

‘ Andrzej Kotyra

Page 86: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

43

Transformata falkowa (wavelet transform)

( ) ( )∫∞

∞−

= dtttfsf s ττ ,*ψ)(,W Ciągła transformata falkowa

Analizowana funkcja powinna być całkowalna z kwadratem tzn:

( ) { } RR ∈−∈⎟⎠⎞

⎜⎝⎛ −= ττ

τ ,0 ,ψ1ψ , ss

ts

ts

( ) ∞<∫∞

∞−

dttf 2

Sygnał powinien charakteryzować się skończoną energią. Warunku tego nie spełnia np. zarówno sygnał stały, jaki i sinusoida

Zbiór funkcji generowanych z tzw. prototypu funkcji - falki matki (mother wavelet)

s - współczynnik skali τ - współczynnik przesunięcia (translacji)

‘ Andrzej Kotyra

( ) ( )∫ ∫∞

∞−

⎟⎠⎞

⎜⎝⎛ −

Ψ=a

ddsss

ts

sc

tf τττ 2

1ψ1,1odwrotna ciągła transformata falkowa

przy czym( )

∫∞ Ψ

=2

ωω

dc ∫0 ω

Ψ(ω) - transformata Fouriera falki ψ(t).

stt ='Wprowadzając nawą zmienną otrzymujemy zależność:

⎞⎛( ) ( ) ''ψ', dta

tatfaa ∫ ⎟⎠⎞

⎜⎝⎛ −=Ψ

ττ

skalowaniu może podlegać zarówno falka jak i analizowana funkcja.

‘ Andrzej Kotyra

Page 87: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

44

Funkcje używane jako falki mają następujące właściwości:

1. Nie mogą mieć składowej stałej co odpowiada warunkom:

( )∫∞

( ) 0=∫∞−

tdtψ Ψ(ω) = 0 dla ω = 0

2. Warunek admisyjności (warunek konieczny istnienia transformaty odwrotnej)

( )∞<

Ψ∫

+∞

∞−

ωωω

d2

3. Powinny dostatecznie szybko zmierzać do zera (lokalizacja w dziedzinie czasu) (warunek ten nie zawsze musi być spełniony).

‘ Andrzej Kotyra

‘ Andrzej Kotyra

Page 88: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

44

Funkcje używane jako falki mają następujące właściwości:

1. Nie mogą mieć składowej stałej co odpowiada warunkom:

( )∫∞

( ) 0=∫∞−

tdtψ Ψ(ω) = 0 dla ω = 0

2. Warunek admisyjności (warunek konieczny istnienia transformaty odwrotnej)

( )∞<

Ψ∫

+∞

∞−

ωωω

d2

3. Powinny dostatecznie szybko zmierzać do zera (lokalizacja w dziedzinie czasu) (warunek ten nie zawsze musi być spełniony).

‘ Andrzej Kotyra

‘ Andrzej Kotyra

Page 89: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

45

Rozdzielczość czasowo-częstotliwościowa

Jeżeli oznaczają odpowiednio środek i szerokość okna ψ(t).ψΔ2 ,*t

Wówczas dla falki ψτ,s(t) środek okna będzie przypadał w punkcie *stb +

szerokość w dziedzinie czasu ψΔs2

Sygnał f(t) określony jest w oknie czasowym:

[ ]Δ++Δ−+ sstbsstb ** ,ψ

Korzystając z równania Parservala można obliczyć rozmiary odpowiedniego okna w dziedzinie częstotliwości - dla transformaty Fouriera falki ψτ,s(t):

⎥⎦

⎤⎢⎣

⎡Δ+Δ− ΨΨ s

sss

ss1,1 ** ωω

ΨΔ2 ,*ω oznaczają odpowiednio środek i szerokość okna Ψ(ω).

Okno czasowo-częstotliwościowe (kostka Heisenberga) falki ψτ,s(t) wynosi zatem:

[ ] ⎥⎦

⎤⎢⎣

⎡Δ+Δ−×Δ++Δ−+ ΨΨ s

sss

sssstbsstb 1,1,

**** ωω

ψ

‘ Andrzej Kotyra

ω

ω∗

2s1Δψ

s1

s12Δψ

|ψ (ω)|s ,τ

t

ω∗2s2Δ ψ

s2

|ψ (ω)|s ,τ

t* t*

s2

2Δψ

Wnioski:• Dla małych wartości skali s szerokość kostki Heisenbrga w dziedzinie czasu jest mała a duża w dziedzinie częstotliwości

• Dla dużych wartości skali s szerokość kostki Heisenbrga w dziedzinie czasu jest duża a• Dla dużych wartości skali s szerokość kostki Heisenbrga w dziedzinie czasu jest duża a mała w dziedzinie częstotliwości

• Jeżeli sygnał f(t) zostanie poddany przekształceniu falkowemu, to na płaszczyźnieczasowo-częstotliwościowej zawarta jest informacja o zawartości wolno- i szybkozmiennychskładowych oraz ich lokalizacji w czasie z rozdzielczością zdeterminowaną przez kostkęHeisenberga

‘ Andrzej Kotyra

Page 90: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

45

Rozdzielczość czasowo-częstotliwościowa

Jeżeli oznaczają odpowiednio środek i szerokość okna ψ(t).ψΔ2 ,*t

Wówczas dla falki ψτ,s(t) środek okna będzie przypadał w punkcie *stb +

szerokość w dziedzinie czasu ψΔs2

Sygnał f(t) określony jest w oknie czasowym:

[ ]Δ++Δ−+ sstbsstb ** ,ψ

Korzystając z równania Parservala można obliczyć rozmiary odpowiedniego okna w dziedzinie częstotliwości - dla transformaty Fouriera falki ψτ,s(t):

⎥⎦

⎤⎢⎣

⎡Δ+Δ− ΨΨ s

sss

ss1,1 ** ωω

ΨΔ2 ,*ω oznaczają odpowiednio środek i szerokość okna Ψ(ω).

Okno czasowo-częstotliwościowe (kostka Heisenberga) falki ψτ,s(t) wynosi zatem:

[ ] ⎥⎦

⎤⎢⎣

⎡Δ+Δ−×Δ++Δ−+ ΨΨ s

sss

sssstbsstb 1,1,

**** ωω

ψ

‘ Andrzej Kotyra

ω

ω∗

2s1Δψ

s1

s12Δψ

|ψ (ω)|s ,τ

t

ω∗2s2Δ ψ

s2

|ψ (ω)|s ,τ

t* t*

s2

2Δψ

Wnioski:• Dla małych wartości skali s szerokość kostki Heisenbrga w dziedzinie czasu jest mała a duża w dziedzinie częstotliwości

• Dla dużych wartości skali s szerokość kostki Heisenbrga w dziedzinie czasu jest duża a• Dla dużych wartości skali s szerokość kostki Heisenbrga w dziedzinie czasu jest duża a mała w dziedzinie częstotliwości

• Jeżeli sygnał f(t) zostanie poddany przekształceniu falkowemu, to na płaszczyźnieczasowo-częstotliwościowej zawarta jest informacja o zawartości wolno- i szybkozmiennychskładowych oraz ich lokalizacji w czasie z rozdzielczością zdeterminowaną przez kostkęHeisenberga

‘ Andrzej Kotyra

Page 91: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

46

Rozwinięcie w szereg falkowy

Współczynniki skali i przesunięcia - zdyskretyzowane, sygnał przetwarzany - ciągły

Zbiór falek tworzy bazę ortogonalną (najczęściej)

∫∞

⋅= dtttfc kjkj )()( ,, ψ rozwinięcie falkowe sygnału ciągłego f(t) o skończonej energii

Diadyczna siatka próbkowania

dyskretny zbiór falek

∫∞−

( ) ⎟⎟⎠

⎞⎜⎜⎝

⎛ −= j

j

jkj sskt

st

0

00

0, ψ1ψ τ

Zazwyczaj 1 ,2 00 == τs

współczynniki skali zmieniają się co oktawę, czyli z całkowitą wielokrotnością potęgi 2

)( - ),()( ,, tfctcctx kjj k

kj sygnału od niezależna stałaψ⋅= ∑∑Rekonstrukcja sygnału:

‘ Andrzej Kotyra

s

S0

2S0

Diadyczna siatka próbkowania

τ

4S0

Warunkiem koniecznym bezstratnej rekonstrukcji sygnału jest warunek stabilności

( ) ( ) ( ) ( )22

,2 ψ, tfBttftfA s ≤≤ ∑ τ( ) ( ) ( ) ( )

,,

kjs∑ τ

A, B liczby dodatnie, takie że ∞<≤< BA0

Rodzinę falek ψτ,s(t) taką że spełnia w/w warunek nazywa się rozpięciem (frame) o

granicach A, B

‘ Andrzej Kotyra

Page 92: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

46

Rozwinięcie w szereg falkowy

Współczynniki skali i przesunięcia - zdyskretyzowane, sygnał przetwarzany - ciągły

Zbiór falek tworzy bazę ortogonalną (najczęściej)

∫∞

⋅= dtttfc kjkj )()( ,, ψ rozwinięcie falkowe sygnału ciągłego f(t) o skończonej energii

Diadyczna siatka próbkowania

dyskretny zbiór falek

∫∞−

( ) ⎟⎟⎠

⎞⎜⎜⎝

⎛ −= j

j

jkj sskt

st

0

00

0, ψ1ψ τ

Zazwyczaj 1 ,2 00 == τs

współczynniki skali zmieniają się co oktawę, czyli z całkowitą wielokrotnością potęgi 2

)( - ),()( ,, tfctcctx kjj k

kj sygnału od niezależna stałaψ⋅= ∑∑Rekonstrukcja sygnału:

‘ Andrzej Kotyra

s

S0

2S0

Diadyczna siatka próbkowania

τ

4S0

Warunkiem koniecznym bezstratnej rekonstrukcji sygnału jest warunek stabilności

( ) ( ) ( ) ( )22

,2 ψ, tfBttftfA s ≤≤ ∑ τ( ) ( ) ( ) ( )

,,

kjs∑ τ

A, B liczby dodatnie, takie że ∞<≤< BA0

Rodzinę falek ψτ,s(t) taką że spełnia w/w warunek nazywa się rozpięciem (frame) o

granicach A, B

‘ Andrzej Kotyra

Page 93: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

47

Jeśli A, B wówczas rozpięcie jest rozpięciem ciasnym (tight frame), a zbiór falek tworzy bazę ortonormalną:

( ) ( )⎩⎨⎧

≠≠⇔==⇔

=∫∞

∞− i 0 i 1

ψψ ,, nkmjnkmj

tt nmkj

( )oraz ( ) 1ψ , =tkj

Jeśli A≠B bezstratna rekonstrukcja jest możliwa, ale do dekompozycji i rekonstrukcji

używane są różne rodziny falek - rozpięcie dualne.

Ortogonalność bazy falkowej zapewnia uniknięcie nadmiaru informacji o sygnale w jego rozwinięciu falkowym - nie jest to bezwględnie wymagane

wada rozwinięcia w szereg falkowy: brak tzw. niezmienności przesunięcia (shift invariance)

‘ Andrzej Kotyra

t

tx

sjτ0

W t ś i i i ć f lk h i t h l d i bi łóWartości rozwinięć falkowych przesuniętych względem siebie sygnałów mogą mieć różne wartości.

‘ Andrzej Kotyra

Page 94: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

47

Jeśli A, B wówczas rozpięcie jest rozpięciem ciasnym (tight frame), a zbiór falek tworzy bazę ortonormalną:

( ) ( )⎩⎨⎧

≠≠⇔==⇔

=∫∞

∞− i 0 i 1

ψψ ,, nkmjnkmj

tt nmkj

( )oraz ( ) 1ψ , =tkj

Jeśli A≠B bezstratna rekonstrukcja jest możliwa, ale do dekompozycji i rekonstrukcji

używane są różne rodziny falek - rozpięcie dualne.

Ortogonalność bazy falkowej zapewnia uniknięcie nadmiaru informacji o sygnale w jego rozwinięciu falkowym - nie jest to bezwględnie wymagane

wada rozwinięcia w szereg falkowy: brak tzw. niezmienności przesunięcia (shift invariance)

‘ Andrzej Kotyra

t

tx

sjτ0

W t ś i i i ć f lk h i t h l d i bi łóWartości rozwinięć falkowych przesuniętych względem siebie sygnałów mogą mieć różne wartości.

‘ Andrzej Kotyra

Page 95: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

48

Dyskretna transformata falkowa

Ciągłe p.f. - ciągły sygnał, nieskończenie wiele falekRozwinięcie w szereg falkowy - sygnał dyskretny, nieskończenie wiele falek

Realizacja praktyczna jest niemożliwa do osiągnięcia (w sensie przedstawionych zależności)

widmo funkcji skalującej - φ

widma falek dyskretnych

Widmo falki - filtr pasmowoprzepustowy o szerokości zmieniającej się wraz ze zmianą skali

Zwiększenie skali 2-krotne - 2 krotne zmniejszenie pasma falki i przesunięcie w stronę składowej stałej

Wprowadzenie tzw. funkcji skalującej - filtr dolnoprzepustowy

ωωn/8 ωn/4 ωn /2 ω n

j=n+1 j=n

widma falek dyskretnych

j=n+2j=n+3

‘ Andrzej Kotyra

Sygnał

Aproksymacja

Detale

Detale

2

22

W1

Analiza wielorozdzielcza (multiresolution analysis)

Aproksymacja

Aproksymacja

Detale

2

2

2

2

2 ...

V1W2

W3V2

j = 1 j = 2 j = 3

R o z d z i e l c z o ś ć

...V3

‘ Andrzej Kotyra

Page 96: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

48

Dyskretna transformata falkowa

Ciągłe p.f. - ciągły sygnał, nieskończenie wiele falekRozwinięcie w szereg falkowy - sygnał dyskretny, nieskończenie wiele falek

Realizacja praktyczna jest niemożliwa do osiągnięcia (w sensie przedstawionych zależności)

widmo funkcji skalującej - φ

widma falek dyskretnych

Widmo falki - filtr pasmowoprzepustowy o szerokości zmieniającej się wraz ze zmianą skali

Zwiększenie skali 2-krotne - 2 krotne zmniejszenie pasma falki i przesunięcie w stronę składowej stałej

Wprowadzenie tzw. funkcji skalującej - filtr dolnoprzepustowy

ωωn/8 ωn/4 ωn /2 ω n

j=n+1 j=n

widma falek dyskretnych

j=n+2j=n+3

‘ Andrzej Kotyra

Sygnał

Aproksymacja

Detale

Detale

2

22

W1

Analiza wielorozdzielcza (multiresolution analysis)

Aproksymacja

Aproksymacja

Detale

2

2

2

2

2 ...

V1W2

W3V2

j = 1 j = 2 j = 3

R o z d z i e l c z o ś ć

...V3

‘ Andrzej Kotyra

Page 97: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

49

Przegląd wybranych falek

Falki Daubechies

Nazwa od nazwiska Ingrid Daubechies - pierwsze falki o zwartym nośniku - o skończonej liczbie niezerowych współczynników filtrów cyfrowych - analiza falkowa mogła być realizowana na rzeczywistych (dyskretnych) sygnałach. Rząd N falki związany jest liczbą momentów zanikających, która wynosi 2N+1. - (M.Z. miara stromości w dziedzinie t i fją y , y (

Moment falki:( )∫

∞−

= dtttM pp ψ

Rozwinięcie transformaty w szereg Taylora przy pomocy momentów falki:

( ) ( )( )( ) ( )( ) ( )( ) ( )⎥

⎤⎢⎣

⎡++++= ++ 21

13

1

22

1

1

0 !0

!20

!1001,0 nn

n

sOsMn

fsMfsMfsMfs

sWf K⎦⎣

Na podstawie warunku admisyjności pierwszy człon w nawiasie [ ] zanika. Jeśli tak dobierze się falkę, że pozostałe momenty również znikają, wówczas rozwinięcie falkowe będzie malało jak 2+ns

‘ Andrzej Kotyra

‘ Andrzej Kotyra

Page 98: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

49

Przegląd wybranych falek

Falki Daubechies

Nazwa od nazwiska Ingrid Daubechies - pierwsze falki o zwartym nośniku - o skończonej liczbie niezerowych współczynników filtrów cyfrowych - analiza falkowa mogła być realizowana na rzeczywistych (dyskretnych) sygnałach. Rząd N falki związany jest liczbą momentów zanikających, która wynosi 2N+1. - (M.Z. miara stromości w dziedzinie t i fją y , y (

Moment falki:( )∫

∞−

= dtttM pp ψ

Rozwinięcie transformaty w szereg Taylora przy pomocy momentów falki:

( ) ( )( )( ) ( )( ) ( )( ) ( )⎥

⎤⎢⎣

⎡++++= ++ 21

13

1

22

1

1

0 !0

!20

!1001,0 nn

n

sOsMn

fsMfsMfsMfs

sWf K⎦⎣

Na podstawie warunku admisyjności pierwszy człon w nawiasie [ ] zanika. Jeśli tak dobierze się falkę, że pozostałe momenty również znikają, wówczas rozwinięcie falkowe będzie malało jak 2+ns

‘ Andrzej Kotyra

‘ Andrzej Kotyra

Page 99: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

50

F lki d i D b hi i t ( ó D b hi 1) t lFalki z rodziny Daubechies są niesymetryczne (oprócz Daubechies1), ortogonalne, a związane z nimi funkcje skalujące są filtrami minimalnofazowymi.

Falka Daubechies 1 (1 moment zanikający; długość nośnika wynosi 1) nazywana jest także falką Haara - zwarta postać analityczna

‘ Andrzej Kotyra

Falki Symlet

Falki Symlet stanowią modyfikację falek Daubechies, która ma na celu poprawienie ich symetrii. Pozostałe właściwości (długość nośnika, ortogonalność itp.) są takie same jak w przypadku falek Daubechies.

‘ Andrzej Kotyra

Page 100: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

50

F lki d i D b hi i t ( ó D b hi 1) t lFalki z rodziny Daubechies są niesymetryczne (oprócz Daubechies1), ortogonalne, a związane z nimi funkcje skalujące są filtrami minimalnofazowymi.

Falka Daubechies 1 (1 moment zanikający; długość nośnika wynosi 1) nazywana jest także falką Haara - zwarta postać analityczna

‘ Andrzej Kotyra

Falki Symlet

Falki Symlet stanowią modyfikację falek Daubechies, która ma na celu poprawienie ich symetrii. Pozostałe właściwości (długość nośnika, ortogonalność itp.) są takie same jak w przypadku falek Daubechies.

‘ Andrzej Kotyra

Page 101: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

51

Falki Meyera

Baza falkowa jest ortogonalna, ale falki Meyera nie posiadają zwartego nośnika

(dł ść ś ik j t i k ń i i lk ) N bli i d k t j

Falki Meyera (nazwa pochodzi od nazwiaska Y. Meyera) wraz z ich funkcjami skalującymi otrzymywane są na podstawie odpowiadąjcych im transformat Fouriera

(długość nośnika jest nieskończenie wielka). Numeryczne obliczenie dyskretnej

transformaty falkowej dla falek Meyera jest możliwe, ale nie za pomocą algorytmu,

którego struktura jest analogiczna do struktury algorytmu stosowanego przy obliczaniu

szybkiej transformaty Fouriera.

Falki Meyera można aproksymować za pomocą filtrów cyfrowych o skończonej

odpowiedzi (FIR)

Falki Meyera są symetryczne, charakteryzując się przy tym ograniczoną szerokością w dziedzinie widma

‘ Andrzej Kotyra

‘ Andrzej Kotyra

Page 102: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

51

Falki Meyera

Baza falkowa jest ortogonalna, ale falki Meyera nie posiadają zwartego nośnika

(dł ść ś ik j t i k ń i i lk ) N bli i d k t j

Falki Meyera (nazwa pochodzi od nazwiaska Y. Meyera) wraz z ich funkcjami skalującymi otrzymywane są na podstawie odpowiadąjcych im transformat Fouriera

(długość nośnika jest nieskończenie wielka). Numeryczne obliczenie dyskretnej

transformaty falkowej dla falek Meyera jest możliwe, ale nie za pomocą algorytmu,

którego struktura jest analogiczna do struktury algorytmu stosowanego przy obliczaniu

szybkiej transformaty Fouriera.

Falki Meyera można aproksymować za pomocą filtrów cyfrowych o skończonej

odpowiedzi (FIR)

Falki Meyera są symetryczne, charakteryzując się przy tym ograniczoną szerokością w dziedzinie widma

‘ Andrzej Kotyra

‘ Andrzej Kotyra

Page 103: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

52

Falki Spline (Battle–Lemarié)

Nazwa tych falek pochodzi od sposobu ich konstrukcji. Są one funkcjami sklejanymi z odcinków aproksymowanych wielomianami stopnia m

Falka Spline posiada m+1 momentów zanikających i charakteryzuje się szybkim zanikaniem w dziedzinie czasu. Mniej regularna od f. Meyera ale szybciej zanika.

‘ Andrzej Kotyra

Nazwa falki Opis matematyczny

falki

Transformata Fouriera

falki

Uwagi

Zmodulowana

funkcja Gaussa

(falka Morleta)

( ) 20 ttj eet −= ωψ( )

( )2

20

2ωω

πω−

=Ψ e( ) 00 ≡Ψ

dla ω0>>1

Druga pochodna

funkcji Gaussa ( ) ( ) 221 tett −−=ψ( ) 22

2

ωπω e=Ψ

Inne falki i ich transformaty Fouriera

„Mexican hat”( ) ( )ψ

(rys. 4.15)

Funkcja Harra ( )⎪⎩

⎪⎨

⎧=tψ ( ) ( )

44sin 2

2

ωωω

ωjje

−=Ψ

Funkcja

Shannona ( ) ( ) ( )tt ππ

πψ 5,1cos5,0

5,0sin=

( )

⎩⎨⎧

=

=Ψ ω

1 dla 0 ≤ t ≤ 0,5-1 dla 0,5 ≤ t < 1 0 dla t < 0 i t ≥ 1

1 dla π < |ω| < 2π0 dla |ω| < π i |ω| ≥ 2π

Wycinek

sinusoidy o

szerokości T

( ) ( )tt 0cos ωψ = ( ) ( )20

2sin

ωωωωω

−=Ψ

t

Zależności

słuszne dla:

ω0T = 2πm

m = 1,2,3...

‘ Andrzej Kotyra

Page 104: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

52

Falki Spline (Battle–Lemarié)

Nazwa tych falek pochodzi od sposobu ich konstrukcji. Są one funkcjami sklejanymi z odcinków aproksymowanych wielomianami stopnia m

Falka Spline posiada m+1 momentów zanikających i charakteryzuje się szybkim zanikaniem w dziedzinie czasu. Mniej regularna od f. Meyera ale szybciej zanika.

‘ Andrzej Kotyra

Nazwa falki Opis matematyczny

falki

Transformata Fouriera

falki

Uwagi

Zmodulowana

funkcja Gaussa

(falka Morleta)

( ) 20 ttj eet −= ωψ( )

( )2

20

2ωω

πω−

=Ψ e( ) 00 ≡Ψ

dla ω0>>1

Druga pochodna

funkcji Gaussa ( ) ( ) 221 tett −−=ψ( ) 22

2

ωπω e=Ψ

Inne falki i ich transformaty Fouriera

„Mexican hat”( ) ( )ψ

(rys. 4.15)

Funkcja Harra ( )⎪⎩

⎪⎨

⎧=tψ ( ) ( )

44sin 2

2

ωωω

ωjje

−=Ψ

Funkcja

Shannona ( ) ( ) ( )tt ππ

πψ 5,1cos5,0

5,0sin=

( )

⎩⎨⎧

=

=Ψ ω

1 dla 0 ≤ t ≤ 0,5-1 dla 0,5 ≤ t < 1 0 dla t < 0 i t ≥ 1

1 dla π < |ω| < 2π0 dla |ω| < π i |ω| ≥ 2π

Wycinek

sinusoidy o

szerokości T

( ) ( )tt 0cos ωψ = ( ) ( )20

2sin

ωωωωω

−=Ψ

t

Zależności

słuszne dla:

ω0T = 2πm

m = 1,2,3...

‘ Andrzej Kotyra

Page 105: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

53

100 200 300 400 500 600 700 800 900 10000

0.5

1

1.5

2Analyzed Signal (length = 1024)

Ca,b Coefficients - Coloration mode : init + by scale + abs

Symlet4 wavelet

25

29

33

37

41

4549

5357

61

Scale of colors from MIN to MAX

1

5

913

1721

‘ Andrzej Kotyra

100 200 300 400 500 600 700 800 900 1000

0.2

0.4

0.6

0.8

1Analyzed Signal (length = 1000)

Ca,b Coefficients - Coloration mode : init + by scale + abs

Coiflet4 wavelet

25

29

33

37

41

45

49

5357

61

Scale of colors from MIN to MAX

1

5

9

13

1721

‘ Andrzej Kotyra

Page 106: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

53

100 200 300 400 500 600 700 800 900 10000

0.5

1

1.5

2Analyzed Signal (length = 1024)

Ca,b Coefficients - Coloration mode : init + by scale + abs

Symlet4 wavelet

25

29

33

37

41

4549

5357

61

Scale of colors from MIN to MAX

1

5

913

1721

‘ Andrzej Kotyra

100 200 300 400 500 600 700 800 900 1000

0.2

0.4

0.6

0.8

1Analyzed Signal (length = 1000)

Ca,b Coefficients - Coloration mode : init + by scale + abs

Coiflet4 wavelet

25

29

33

37

41

45

49

5357

61

Scale of colors from MIN to MAX

1

5

9

13

1721

‘ Andrzej Kotyra

Page 107: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

54

100 200 300 400 500 600 700 800 900 1000

0.2

0.4

0.6

0.8

1Analyzed Signal (length = 1000)

Ca,b Coefficients - Coloration mode : init + by scale + abs

Haar wavelet

25

29

33

37

41

4549

5357

61

Scale of colors from MIN to MAX

1

5

913

1721

‘ Andrzej Kotyra

100 200 300 400 500 600 700 800 900 1000

-0.5

0

0.5

Analyzed Signal (length = 1000)

Ca,b Coefficients - Coloration mode : init + by scale + abs

Coiflet4 wavelet

25

29

33

37

41

4549

5357

61

Scale of colors from MIN to MAX

1

5

913

1721

‘ Andrzej Kotyra

Page 108: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

54

100 200 300 400 500 600 700 800 900 1000

0.2

0.4

0.6

0.8

1Analyzed Signal (length = 1000)

Ca,b Coefficients - Coloration mode : init + by scale + abs

Haar wavelet

25

29

33

37

41

4549

5357

61

Scale of colors from MIN to MAX

1

5

913

1721

‘ Andrzej Kotyra

100 200 300 400 500 600 700 800 900 1000

-0.5

0

0.5

Analyzed Signal (length = 1000)

Ca,b Coefficients - Coloration mode : init + by scale + abs

Coiflet4 wavelet

25

29

33

37

41

4549

5357

61

Scale of colors from MIN to MAX

1

5

913

1721

‘ Andrzej Kotyra

Page 109: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

55

100 200 300 400 500 600 700 800 900 1000

-0.5

0

0.5

Analyzed Signal (length = 1000)

Ca,b Coefficients - Coloration mode : init + by scale + abs

Daubechies5 wavelet

25

29

33

37

41

4549

53

57

61

Scale of colors from MIN to MAX

1

5

9

13

1721

‘ Andrzej Kotyra

-1

0

1a

5

-1

0

1s

Decomposition at level 5 : s = a5 + d5 + d4 + d3 + d2 + d1 . Daubechies5 wavelet

0 5

0

0.5d

3

-101

d4

-0.20

0.2d

5

100 200 300 400 500 600 700 800 900 1000-0.2

00.20.4

d1

-0.10

0.10.2

d2

-0.5

‘ Andrzej Kotyra

Page 110: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

55

100 200 300 400 500 600 700 800 900 1000

-0.5

0

0.5

Analyzed Signal (length = 1000)

Ca,b Coefficients - Coloration mode : init + by scale + abs

Daubechies5 wavelet

25

29

33

37

41

4549

53

57

61

Scale of colors from MIN to MAX

1

5

9

13

1721

‘ Andrzej Kotyra

-1

0

1a

5

-1

0

1s

Decomposition at level 5 : s = a5 + d5 + d4 + d3 + d2 + d1 . Daubechies5 wavelet

0 5

0

0.5d

3

-101

d4

-0.20

0.2d

5

100 200 300 400 500 600 700 800 900 1000-0.2

00.20.4

d1

-0.10

0.10.2

d2

-0.5

‘ Andrzej Kotyra

Page 111: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

56

358638104034Skala

11221346157017942018224224662690291431383362

2 226 450 674 898

Skala kolorów MIN MAX

0 32 64

Czas [s]Haar

‘ Andrzej Kotyra

358638104034Skala

11221346157017942018224224662690291431383362

2 226 450 674 898

Skal a kolorów MIN MAX

0 32 64Czas [s]Daubechies4

‘ Andrzej Kotyra

Page 112: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

56

358638104034Skala

11221346157017942018224224662690291431383362

2 226 450 674 898

Skala kolorów MIN MAX

0 32 64

Czas [s]Haar

‘ Andrzej Kotyra

358638104034Skala

11221346157017942018224224662690291431383362

2 226 450 674 898

Skal a kolorów MIN MAX

0 32 64Czas [s]Daubechies4

‘ Andrzej Kotyra

Page 113: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

57

Haar

‘ Andrzej Kotyra

‘ Andrzej Kotyra

Page 114: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

57

Haar

‘ Andrzej Kotyra

‘ Andrzej Kotyra

Page 115: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

58

1000

2000

50 100 150 200 250

1000

2000

Współczynniki rozwinięcia falkowego dla wybranych współczynników skali

5 10 15 20 25 30

-1000 -2000

-1000

500

1000

100

200

200 400 600 800 1000

-1000

-500

1000 2000 3000 4000

-200

-100

‘ Andrzej Kotyra

Przekształcenie Z

Definicja:

( ) [ ]( ) [ ] n

n

znxnxzX −∞

−∞=∑ ⋅== Z

dwustronne przekształcenie Z

( ) [ ] ωω jnj nxX −∞

∑ ⋅= ee przekształcenie Fouriera ciągu [ ]

zmienna zespolona

( ) [ ] n

n

znxz −∞

=∑ ⋅=

0

X jednostronne przekształcenie Z

( ) [ ]n

nxX−∞=

∑ ⋅= ee przekształcenie Fouriera ciągu x[n]

ωjrz e=Jeżeli

( ) [ ] ( ) [ ]( ) nj

n

nnj

n

j rnxrnxrX ωωω −∞

−∞=

−−∞

−∞=∑∑ ⋅=⋅= eee

Transformata Fouriera iloczynu ciągu x[n]i szeregu r -n

Jeśli r=1 → Transformata Fouriera ciągu x[n] (Dyskretna Transformata Fouriera)

‘ Andrzej Kotyra

Page 116: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

58

1000

2000

50 100 150 200 250

1000

2000

Współczynniki rozwinięcia falkowego dla wybranych współczynników skali

5 10 15 20 25 30

-1000 -2000

-1000

500

1000

100

200

200 400 600 800 1000

-1000

-500

1000 2000 3000 4000

-200

-100

‘ Andrzej Kotyra

Przekształcenie Z

Definicja:

( ) [ ]( ) [ ] n

n

znxnxzX −∞

−∞=∑ ⋅== Z

dwustronne przekształcenie Z

( ) [ ] ωω jnj nxX −∞

∑ ⋅= ee przekształcenie Fouriera ciągu [ ]

zmienna zespolona

( ) [ ] n

n

znxz −∞

=∑ ⋅=

0

X jednostronne przekształcenie Z

( ) [ ]n

nxX−∞=

∑ ⋅= ee przekształcenie Fouriera ciągu x[n]

ωjrz e=Jeżeli

( ) [ ] ( ) [ ]( ) nj

n

nnj

n

j rnxrnxrX ωωω −∞

−∞=

−−∞

−∞=∑∑ ⋅=⋅= eee

Transformata Fouriera iloczynu ciągu x[n]i szeregu r -n

Jeśli r=1 → Transformata Fouriera ciągu x[n] (Dyskretna Transformata Fouriera)

‘ Andrzej Kotyra

Page 117: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

59

Transformata Z jest przypadkiem ogólnym transformaty Fouriera ciągu x[n]

Obliczenie transformaty Z na okręgu jednostkowym →transformata Fouriera

0 1 =→= ωz

Im(z)

Re(z)ω

1

Zbiór takich z, że dla danego ciągu x[n] transformata Z jest zbieżna → obszar zbieżności

[ ] ∞<∑∞

−∞=

n

nrnx

2 πω =→= jzπω =→−= 1z

warunek zbieżności transformaty Z

okrągjednostkowy

Transformata Z może być zbieżna dla ciągów, których transformata Fouriera nie jest zbieżna

np. skok jednostkowy [ ] [ ]nunx =

[ ]∑∞

−∞=

n

nrnu jest zbieżny dla 1>r

w ogólnym przypadku nie jest absolutnie sumowalnyale gdy wówczas jest abs. sumowalny1>r

‘ Andrzej Kotyra

[ ] n

n

znx −∞

−∞=∑ ⋅ze zbieżność wynika, że [ ] ∞<⋅ −

−∞=∑ n

n

znx

Obszar zbieżności zależy tylko od z i zawiera wszystkie z dla których transformata Z istnieje

Obszarem zbieżności (OZ) jest pierścień Im(z)

na płaszczyźnie zespolonej, którego zewnętrzny promień może → ∞

Jeśli OZ zawiera okrąg jednostkowy, wówczas istnieje transformata (dyskretna) Fouriera

Re(z)

wielomian, miejsce zerowe - zera transformaty

( ) ( )( )

( )

( ) NN

NN

MM

MM

zqzqzqzqqzpzpzpzpp

zQzPzX −−−

−−−

−−−−

−−

++++++++++

== 11

22

110

11

22

110

K

K

Transformata Z zazwyczaj daje się wyrazić w postaci funkcji wymiernej:

‘ Andrzej Kotyra

Page 118: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

59

Transformata Z jest przypadkiem ogólnym transformaty Fouriera ciągu x[n]

Obliczenie transformaty Z na okręgu jednostkowym →transformata Fouriera

0 1 =→= ωz

Im(z)

Re(z)ω

1

Zbiór takich z, że dla danego ciągu x[n] transformata Z jest zbieżna → obszar zbieżności

[ ] ∞<∑∞

−∞=

n

nrnx

2 πω =→= jzπω =→−= 1z

warunek zbieżności transformaty Z

okrągjednostkowy

Transformata Z może być zbieżna dla ciągów, których transformata Fouriera nie jest zbieżna

np. skok jednostkowy [ ] [ ]nunx =

[ ]∑∞

−∞=

n

nrnu jest zbieżny dla 1>r

w ogólnym przypadku nie jest absolutnie sumowalnyale gdy wówczas jest abs. sumowalny1>r

‘ Andrzej Kotyra

[ ] n

n

znx −∞

−∞=∑ ⋅ze zbieżność wynika, że [ ] ∞<⋅ −

−∞=∑ n

n

znx

Obszar zbieżności zależy tylko od z i zawiera wszystkie z dla których transformata Z istnieje

Obszarem zbieżności (OZ) jest pierścień Im(z)

na płaszczyźnie zespolonej, którego zewnętrzny promień może → ∞

Jeśli OZ zawiera okrąg jednostkowy, wówczas istnieje transformata (dyskretna) Fouriera

Re(z)

wielomian, miejsce zerowe - zera transformaty

( ) ( )( )

( )

( ) NN

NN

MM

MM

zqzqzqzqqzpzpzpzpp

zQzPzX −−−

−−−

−−−−

−−

++++++++++

== 11

22

110

11

22

110

K

K

Transformata Z zazwyczaj daje się wyrazić w postaci funkcji wymiernej:

‘ Andrzej Kotyra

Page 119: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

60

( ) ( )( )

( )( )

( )( )∏

∏∏∏

=

=

=−

=−

−=

−== N

l lN

M

l lM

N

l l

M

l l

zqz

zpz

zq

zpzQzPzX

10

10

11

0

11

0

1

1

λ

γ

λ

γ

Wielomiany w liczniku i mianowniku dają się rozłożyć na czynniki:

W wielomianach występuje z-1 - kwestia przyjętej konwencji - zgodność z definicją transformaty Z

( ) ∏∏ == ll 11

pierwiastki licznika transformaty → zera transformatyMll K1 =γ

pierwiastki mianownika transformaty → bieguny transformatyNll K1 =λ

Im(z)bieguny

Re(z)

1

zera

‘ Andrzej Kotyra

Przykład 7 : Znaleźć transformatę Z i OZ dla ciągu prawostronnego [ ] [ ]nuanx n=

( ) [ ] ( )∑∑∞

=

−−∞

−∞=

=⋅=0

1

n

nn

n

n azznuazX

jest zbieżna jeśli( )zX ∞<∑∞

=

0

1

n

naz az >obszar zbieżności

( ) ( )∑∞

=−

−=

−==

01

1

11

n

n

azz

azazzX

zero (z=0)

biegun (z=a)

Im(z)

Re(z)

okrągjednostkowy

Re(z)

1a

‘ Andrzej Kotyra

Page 120: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

60

( ) ( )( )

( )( )

( )( )∏

∏∏∏

=

=

=−

=−

−=

−== N

l lN

M

l lM

N

l l

M

l l

zqz

zpz

zq

zpzQzPzX

10

10

11

0

11

0

1

1

λ

γ

λ

γ

Wielomiany w liczniku i mianowniku dają się rozłożyć na czynniki:

W wielomianach występuje z-1 - kwestia przyjętej konwencji - zgodność z definicją transformaty Z

( ) ∏∏ == ll 11

pierwiastki licznika transformaty → zera transformatyMll K1 =γ

pierwiastki mianownika transformaty → bieguny transformatyNll K1 =λ

Im(z)bieguny

Re(z)

1

zera

‘ Andrzej Kotyra

Przykład 7 : Znaleźć transformatę Z i OZ dla ciągu prawostronnego [ ] [ ]nuanx n=

( ) [ ] ( )∑∑∞

=

−−∞

−∞=

=⋅=0

1

n

nn

n

n azznuazX

jest zbieżna jeśli( )zX ∞<∑∞

=

0

1

n

naz az >obszar zbieżności

( ) ( )∑∞

=−

−=

−==

01

1

11

n

n

azz

azazzX

zero (z=0)

biegun (z=a)

Im(z)

Re(z)

okrągjednostkowy

Re(z)

1a

‘ Andrzej Kotyra

Page 121: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

61

[ ] [ ]1−−−= nuanx n

( ) [ ] ( )∑∑∑∑∞

=

−∞

=

−−

−∞=

−−∞

−∞=

−=−==⋅−−−=0

1

1

1

11n

n

n

nn

n

nnn

n

n zazazaznuazX

Zbieżność transformaty zachodzi jeśli azza <⇒<− 11

Przykład 8 : Znaleźć transformatę Z i OZ dla ciągu lewostronnego

ciąg lewostronny

Zbieżność transformaty zachodzi jeśli azza <⇒< 1

( ) ( )az

zza

zaza

zazXn

n

−=

−−−

=−

−=−= −

=

−∑ 1

1

10

1

111

1111

zero (z=0)

biegun (z=a)

Im(z)okrągjednostkowy

Wynik jest taki sam jak dla ciągu

Re(z)

1a

prawostronnego, ale OZ są różne !

‘ Andrzej Kotyra

[ ] [ ] [ ]nununxnn

⎟⎠⎞

⎜⎝⎛−+⎟

⎠⎞

⎜⎝⎛=

31

21

( ) [ ] [ ] [ ] [ ] =⎟⎠⎞

⎜⎝⎛−+⎟

⎠⎞

⎜⎝⎛=

⎪⎭

⎪⎬⎫

⎪⎩

⎪⎨⎧

⎟⎠⎞

⎜⎝⎛−+⎟

⎠⎞

⎜⎝⎛=

−∞=

−∞=

−−−∞

−∞=∑ ∑∑ 3

121

31

21 znuznuznunuzX

n n

nn

nn

n

n

nn

Przykład 9 : Znaleźć transformatę Z i OZ sumy szeregów

⎟⎠⎞

⎜⎝⎛ +⎟

⎠⎞

⎜⎝⎛ −

⎟⎠⎞

⎜⎝⎛ −

=⎟⎠⎞

⎜⎝⎛ +⎟

⎠⎞

⎜⎝⎛ −

⎟⎠⎞

⎜⎝⎛ −

=⎟⎠⎞

⎜⎝⎛ +⎟

⎠⎞

⎜⎝⎛ −

++−=

++

−=⎟

⎠⎞

⎜⎝⎛−+⎟

⎠⎞

⎜⎝⎛

−−

−−

−−

−−

=

−∞

=

− ∑∑

31

21

1212

311

211

12112

311

211

311

211

311

1

211

131

21

11

1

11

11

110

1

0

1

zz

zz

zz

z

zz

zz

zzzz

n

n

n

n

zera: 0; 1/12⎟⎠

⎜⎝

⎟⎠

⎜⎝

⎟⎠

⎜⎝

⎟⎠

⎜⎝ 3232

bieguny: 1/2; -1/3

Obszar zbieżnościzzzz <<⇒<−< −−

31 i

21 1

31 i 1

21 11

‘ Andrzej Kotyra

Page 122: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

61

[ ] [ ]1−−−= nuanx n

( ) [ ] ( )∑∑∑∑∞

=

−∞

=

−−

−∞=

−−∞

−∞=

−=−==⋅−−−=0

1

1

1

11n

n

n

nn

n

nnn

n

n zazazaznuazX

Zbieżność transformaty zachodzi jeśli azza <⇒<− 11

Przykład 8 : Znaleźć transformatę Z i OZ dla ciągu lewostronnego

ciąg lewostronny

Zbieżność transformaty zachodzi jeśli azza <⇒< 1

( ) ( )az

zza

zaza

zazXn

n

−=

−−−

=−

−=−= −

=

−∑ 1

1

10

1

111

1111

zero (z=0)

biegun (z=a)

Im(z)okrągjednostkowy

Wynik jest taki sam jak dla ciągu

Re(z)

1a

prawostronnego, ale OZ są różne !

‘ Andrzej Kotyra

[ ] [ ] [ ]nununxnn

⎟⎠⎞

⎜⎝⎛−+⎟

⎠⎞

⎜⎝⎛=

31

21

( ) [ ] [ ] [ ] [ ] =⎟⎠⎞

⎜⎝⎛−+⎟

⎠⎞

⎜⎝⎛=

⎪⎭

⎪⎬⎫

⎪⎩

⎪⎨⎧

⎟⎠⎞

⎜⎝⎛−+⎟

⎠⎞

⎜⎝⎛=

−∞=

−∞=

−−−∞

−∞=∑ ∑∑ 3

121

31

21 znuznuznunuzX

n n

nn

nn

n

n

nn

Przykład 9 : Znaleźć transformatę Z i OZ sumy szeregów

⎟⎠⎞

⎜⎝⎛ +⎟

⎠⎞

⎜⎝⎛ −

⎟⎠⎞

⎜⎝⎛ −

=⎟⎠⎞

⎜⎝⎛ +⎟

⎠⎞

⎜⎝⎛ −

⎟⎠⎞

⎜⎝⎛ −

=⎟⎠⎞

⎜⎝⎛ +⎟

⎠⎞

⎜⎝⎛ −

++−=

++

−=⎟

⎠⎞

⎜⎝⎛−+⎟

⎠⎞

⎜⎝⎛

−−

−−

−−

−−

=

−∞

=

− ∑∑

31

21

1212

311

211

12112

311

211

311

211

311

1

211

131

21

11

1

11

11

110

1

0

1

zz

zz

zz

z

zz

zz

zzzz

n

n

n

n

zera: 0; 1/12⎟⎠

⎜⎝

⎟⎠

⎜⎝

⎟⎠

⎜⎝

⎟⎠

⎜⎝ 3232

bieguny: 1/2; -1/3

Obszar zbieżnościzzzz <<⇒<−< −−

31 i

21 1

31 i 1

21 11

‘ Andrzej Kotyra

Page 123: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

62

Im(z)

Re(z)

11

Im(z)

Re(z)

11

obszar zbieżności dla [ ]nun

⎟⎠⎞

⎜⎝⎛−

31[ ]nu

n

⎟⎠⎞

⎜⎝⎛

21

obszar zbieżności dla

112

Im(z)

3

Re(z)

112

13

112[ ] [ ]nunu

nn

⎟⎠⎞

⎜⎝⎛−+⎟

⎠⎞

⎜⎝⎛

31

21

obszar zbieżności dla

‘ Andrzej Kotyra

[ ] [ ] [ ]121

31

−−⎟⎠⎞

⎜⎝⎛−⎟

⎠⎞

⎜⎝⎛−= nununx

nn

[ ]31

311

131

1>

+=

⎪⎭

⎪⎬⎫

⎪⎩

⎪⎨⎧

⎟⎠⎞

⎜⎝⎛−

−z

znu

n

Z [ ]21

211

1121

1<

−=

⎪⎭

⎪⎬⎫

⎪⎩

⎪⎨⎧

−−⎟⎠⎞

⎜⎝⎛−

−z

znu

n

Z

Przykład 10 : Znaleźć transformatę Z i OZ sumy szeregów

[ ] [ ]21 ,

31

211

1

311

1121

31

11<>

−+

+=

⎪⎭

⎪⎬⎫

⎪⎩

⎪⎨⎧

−−⎟⎠⎞

⎜⎝⎛−⎟

⎠⎞

⎜⎝⎛−

−−zz

zznunu

nn

Z

⎞⎛⎞⎛

⎟⎠⎞

⎜⎝⎛ −

=⎞⎛⎞⎛

⎟⎠⎞

⎜⎝⎛ −

=

1212

12112 1 zzz

Im(z)

⎟⎠⎞

⎜⎝⎛ +⎟

⎠⎞

⎜⎝⎛ −⎟

⎠⎞

⎜⎝⎛ +⎟

⎠⎞

⎜⎝⎛ − −−

31

21

311

211 11 zzzz

wynik taki sam jak w przykładzie 9, ale inny jest OZ !

Re(z)

12

13 1

12

‘ Andrzej Kotyra

Page 124: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

62

Im(z)

Re(z)

11

Im(z)

Re(z)

11

obszar zbieżności dla [ ]nun

⎟⎠⎞

⎜⎝⎛−

31[ ]nu

n

⎟⎠⎞

⎜⎝⎛

21

obszar zbieżności dla

112

Im(z)

3

Re(z)

112

13

112[ ] [ ]nunu

nn

⎟⎠⎞

⎜⎝⎛−+⎟

⎠⎞

⎜⎝⎛

31

21

obszar zbieżności dla

‘ Andrzej Kotyra

[ ] [ ] [ ]121

31

−−⎟⎠⎞

⎜⎝⎛−⎟

⎠⎞

⎜⎝⎛−= nununx

nn

[ ]31

311

131

1>

+=

⎪⎭

⎪⎬⎫

⎪⎩

⎪⎨⎧

⎟⎠⎞

⎜⎝⎛−

−z

znu

n

Z [ ]21

211

1121

1<

−=

⎪⎭

⎪⎬⎫

⎪⎩

⎪⎨⎧

−−⎟⎠⎞

⎜⎝⎛−

−z

znu

n

Z

Przykład 10 : Znaleźć transformatę Z i OZ sumy szeregów

[ ] [ ]21 ,

31

211

1

311

1121

31

11<>

−+

+=

⎪⎭

⎪⎬⎫

⎪⎩

⎪⎨⎧

−−⎟⎠⎞

⎜⎝⎛−⎟

⎠⎞

⎜⎝⎛−

−−zz

zznunu

nn

Z

⎞⎛⎞⎛

⎟⎠⎞

⎜⎝⎛ −

=⎞⎛⎞⎛

⎟⎠⎞

⎜⎝⎛ −

=

1212

12112 1 zzz

Im(z)

⎟⎠⎞

⎜⎝⎛ +⎟

⎠⎞

⎜⎝⎛ −⎟

⎠⎞

⎜⎝⎛ +⎟

⎠⎞

⎜⎝⎛ − −−

31

21

311

211 11 zzzz

wynik taki sam jak w przykładzie 9, ale inny jest OZ !

Re(z)

12

13 1

12

‘ Andrzej Kotyra

Page 125: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

63

[ ]⎩⎨⎧ −≤≤

=hpozostałyc dla 0

10 Nnanx

n

( ) ( ) ( )∑∑−

=−−

−−−

= −−

=−

−===

1

011

11

1

0

11

1N

n

NN

N

Nnn

N

n

n

azaz

zazazazzazX

Przykład 11 : Znaleźć transformatę Z i OZ szeregu skończonego

0 , 1

0

1 ≠∞<⇒∞<∑−

=

− zaazN

n

n

obszar zbieżności

10 ,16 <<= aN zera transformaty: Im(z)

Re(z)

15-krotnybiegun π

8( ) 1, ,1 ,0 ,e 2 N-kaz Nkj

k K== π

OZ jest cała płaszczyzna zespolona

oprócz punktu 0

Re(z)

1

a

okrągjednostkowy

‘ Andrzej Kotyra

Przykład 12 : Znaleźć transformatę Z i OZ szeregu dwustronnego

[ ] ∞<<∞−= nanx n

[ ] [ ] [ ]1−−+== nuanuaanx nnn

[ ] [ ] [ ] ( )

( ) ( ) ( )∑∑∑∑

∑∑∑∑∞

=

−∞

=

−∞

=

−∞

=

−∞=

−∞

=

−−∞

−∞=

−∞

−∞=

−+=−=

=+=⋅−−+⋅=

0

1

0

1

10

1

1

0

1

1

1

n

n

n

n

n

nn

n

n

n

nn

n

nn

n

nn

n

n

zaazzaaz

zaazznuaznuazX

1>⇒<− 11azza <⇒<− 11azaz >⇒< 11

Nie istnieje obszar zbieżności dla dowolnego z – nie istnieje transformata ZNie istnieje z, dla którego transformata Z byłaby zbieżna

OZ

‘ Andrzej Kotyra

Page 126: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

63

[ ]⎩⎨⎧ −≤≤

=hpozostałyc dla 0

10 Nnanx

n

( ) ( ) ( )∑∑−

=−−

−−−

= −−

=−

−===

1

011

11

1

0

11

1N

n

NN

N

Nnn

N

n

n

azaz

zazazazzazX

Przykład 11 : Znaleźć transformatę Z i OZ szeregu skończonego

0 , 1

0

1 ≠∞<⇒∞<∑−

=

− zaazN

n

n

obszar zbieżności

10 ,16 <<= aN zera transformaty: Im(z)

Re(z)

15-krotnybiegun π

8( ) 1, ,1 ,0 ,e 2 N-kaz Nkj

k K== π

OZ jest cała płaszczyzna zespolona

oprócz punktu 0

Re(z)

1

a

okrągjednostkowy

‘ Andrzej Kotyra

Przykład 12 : Znaleźć transformatę Z i OZ szeregu dwustronnego

[ ] ∞<<∞−= nanx n

[ ] [ ] [ ]1−−+== nuanuaanx nnn

[ ] [ ] [ ] ( )

( ) ( ) ( )∑∑∑∑

∑∑∑∑∞

=

−∞

=

−∞

=

−∞

=

−∞=

−∞

=

−−∞

−∞=

−∞

−∞=

−+=−=

=+=⋅−−+⋅=

0

1

0

1

10

1

1

0

1

1

1

n

n

n

n

n

nn

n

n

n

nn

n

nn

n

nn

n

n

zaazzaaz

zaazznuaznuazX

1>⇒<− 11azza <⇒<− 11azaz >⇒< 11

Nie istnieje obszar zbieżności dla dowolnego z – nie istnieje transformata ZNie istnieje z, dla którego transformata Z byłaby zbieżna

OZ

‘ Andrzej Kotyra

Page 127: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

64

Przykład 12 : Znaleźć transformatę Z i OZ szeregu:

[ ] ( ) [ ]nunanx n0cos ω=

[ ] ( ) [ ] [ ]( ) ( )[ ]njnjn aanununanx 00 ee21cos 0

ωωω −+==

[ ] [ ]( ) [ ]( )

( ) ( )[ ] ( )

[ ] 2210

1

2211

1

110

1

0

1

11

ee1cos1

ee1ee2

21

e11

e11

21e

21e

21

e21e

21

0000

00

00

00

00

−−−

−−−−

−−

−−−

∞−−

∞−

−−∞

∞−

−∞

∞−

=++−

−=

+−−+−

=⎥⎦⎤

⎢⎣⎡

−+

−=+=

+=

∑∑

∑∑

zaazaz

zazazaaz

zazazaza

zanuzanuzX

jjjj

jj

jj

njnj

njnj

ωωωωω

ωω

ωωωω

ωω

[ ]( )

( ) 220

10

1

cos21cos1

eeee

−−

+−−

=zaaz

azaaaaa

ωω

OZ: zaazza jj <<< −−−− 1e 1e 11 00 ωω

‘ Andrzej Kotyra

Właściwości obszaru zbieżności transformaty Z

1. OZ ma kształt dysku lub pierścienia ze środkiem w środku układu współrzędnych na płaszczyźnie zespolonej z

2. Transformata Fouriera ciągu x[n] jest bezwzględnie zbieżna wtedy i tylko wtedy, jeśli OZ transformaty sygnału x[n] zawiera okrąg jednostkowyjeśli OZ transformaty sygnału x[n] zawiera okrąg jednostkowy

3. OZ nie zawiera żadnych biegunów

4. Jeśli x[n] jest ciągiem skończonym wówczas OZ jest cała płaszczyzna zespolona oprócz punktu z=0 lub z=∞

5. Jeśli x[n] jest nieskończonym ciągiem prawostronnym, OZ jest obszar położony na zewnątrz okręgu o promieniu równym modułowi największego bieguna Z{x[t]}

6. Jeśli x[n] jest nieskończonym ciągiem lewostronnym, OZ jest obszar położony wewnątrz okręgu o promieniu równym modułowi najmniejszego bieguna Z{x[t]}

7. Jeśli x[n] jest nieskończonym ciągiem dwustronnym, OZ będzie pierścieniem ograniczony biegunami (nie zawiera oczywiście wewnątrz żadnych biegunów)

8. OZ jest obszarem spójnym

‘ Andrzej Kotyra

Page 128: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

64

Przykład 12 : Znaleźć transformatę Z i OZ szeregu:

[ ] ( ) [ ]nunanx n0cos ω=

[ ] ( ) [ ] [ ]( ) ( )[ ]njnjn aanununanx 00 ee21cos 0

ωωω −+==

[ ] [ ]( ) [ ]( )

( ) ( )[ ] ( )

[ ] 2210

1

2211

1

110

1

0

1

11

ee1cos1

ee1ee2

21

e11

e11

21e

21e

21

e21e

21

0000

00

00

00

00

−−−

−−−−

−−

−−−

∞−−

∞−

−−∞

∞−

−∞

∞−

=++−

−=

+−−+−

=⎥⎦⎤

⎢⎣⎡

−+

−=+=

+=

∑∑

∑∑

zaazaz

zazazaaz

zazazaza

zanuzanuzX

jjjj

jj

jj

njnj

njnj

ωωωωω

ωω

ωωωω

ωω

[ ]( )

( ) 220

10

1

cos21cos1

eeee

−−

+−−

=zaaz

azaaaaa

ωω

OZ: zaazza jj <<< −−−− 1e 1e 11 00 ωω

‘ Andrzej Kotyra

Właściwości obszaru zbieżności transformaty Z

1. OZ ma kształt dysku lub pierścienia ze środkiem w środku układu współrzędnych na płaszczyźnie zespolonej z

2. Transformata Fouriera ciągu x[n] jest bezwzględnie zbieżna wtedy i tylko wtedy, jeśli OZ transformaty sygnału x[n] zawiera okrąg jednostkowyjeśli OZ transformaty sygnału x[n] zawiera okrąg jednostkowy

3. OZ nie zawiera żadnych biegunów

4. Jeśli x[n] jest ciągiem skończonym wówczas OZ jest cała płaszczyzna zespolona oprócz punktu z=0 lub z=∞

5. Jeśli x[n] jest nieskończonym ciągiem prawostronnym, OZ jest obszar położony na zewnątrz okręgu o promieniu równym modułowi największego bieguna Z{x[t]}

6. Jeśli x[n] jest nieskończonym ciągiem lewostronnym, OZ jest obszar położony wewnątrz okręgu o promieniu równym modułowi najmniejszego bieguna Z{x[t]}

7. Jeśli x[n] jest nieskończonym ciągiem dwustronnym, OZ będzie pierścieniem ograniczony biegunami (nie zawiera oczywiście wewnątrz żadnych biegunów)

8. OZ jest obszarem spójnym

‘ Andrzej Kotyra

Page 129: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

65

sygnał x(n) Transformata Z Obszar zbieżności

( )⎩⎨⎧

≠=

=0 ,00 ,1

nn

nδ1 ∞≤≤ z0

( )0nn −δ 0nz − ∞≤< z0

( )( )0nn +δ 0nz ∞<≤ z0

( )⎩⎨⎧

<≥

=0 ,00 ,1

nn

nu ( )111

−− z1>z

( )nun ⋅

( )21

1

1 −

− zz 1>z

( )11( )nun ⋅2 ( )( )31

11

11

−−

+

zzz 1>z

( )nun ⋅3 ( )( )41

211

141

−−−

++

zzzz 1>z

‘ Andrzej Kotyra

sygnał x(n) Transformata Z Obszar zbieżności

( )nuan ⋅ ( )111

−− azaz >

( )nunan ⋅( )21

1

1 −

−az az >( )11− az

( )nuan n ⋅2 ( )( )31

11

11

−−

+

azazaz az >

( ) ( )nuan n ⋅+1( )211

1−− az

az >

( )( ) ( ) ( )aknnn n+++ 21 1 az >( )( ) ( ) ( )nuk

aknnn⋅

+++!

21 K

( )kaz 11 −−az >

( )nunan

⋅!

zae 0>z

‘ Andrzej Kotyra

Page 130: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

65

sygnał x(n) Transformata Z Obszar zbieżności

( )⎩⎨⎧

≠=

=0 ,00 ,1

nn

nδ1 ∞≤≤ z0

( )0nn −δ 0nz − ∞≤< z0

( )( )0nn +δ 0nz ∞<≤ z0

( )⎩⎨⎧

<≥

=0 ,00 ,1

nn

nu ( )111

−− z1>z

( )nun ⋅

( )21

1

1 −

− zz 1>z

( )11( )nun ⋅2 ( )( )31

11

11

−−

+

zzz 1>z

( )nun ⋅3 ( )( )41

211

141

−−−

++

zzzz 1>z

‘ Andrzej Kotyra

sygnał x(n) Transformata Z Obszar zbieżności

( )nuan ⋅ ( )111

−− azaz >

( )nunan ⋅( )21

1

1 −

−az az >( )11− az

( )nuan n ⋅2 ( )( )31

11

11

−−

+

azazaz az >

( ) ( )nuan n ⋅+1( )211

1−− az

az >

( )( ) ( ) ( )aknnn n+++ 21 1 az >( )( ) ( ) ( )nuk

aknnn⋅

+++!

21 K

( )kaz 11 −−az >

( )nunan

⋅!

zae 0>z

‘ Andrzej Kotyra

Page 131: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

66

Własności przekształcenia Z

1. Liniowość

[ ] [ ]1

11 X

ZRzXnx :zbieżności Obszar↔ [ ] [ ]

2 22 X

ZRzXnx :zbieżności Obszar↔

[ ] [ ] [ ] [ ]zbXzaXnbxnaxZ

2121 +↔+

Obszar zbieżności jest co najmniej równy 21 XX RR ∩ jeżeli bieguny i zera nie znoszą się

[ ] [ ] [ ]⎩⎨⎧ −≤≤

=−−=hpozostałyc dla 0

10 NnaNnuanuanx

nnn

az > az >

obszary zbieżności

( ) ( )∑∑−

=

−−−

=

==1

0

11

0

N

n

nnN

n

n azzazX0 ,

1

0

1 ≠∞<⇒∞<∑−

=

− zaazN

n

n

Obszar zbieżności sumy ciągów jest większy niż obszary zbieżności poszczególnych ciągów

‘ Andrzej Kotyra

2. Przesunięcie w czasie

[ ] [ ]zXznnx nZ

100−↔− Obszar zbieżności może ulec zmianie

[ ] [ ]0nnxny −= ( ) [ ]∑∞

−−= nznnxzY 0 0nnm −=∑−∞=n

( ) [ ] ( ) [ ] ( )zXzzmxzzmxzY n

m

mn

m

nm 000 −∞

−∞=

−−∞

−∞=

+− === ∑∑

3. Mnożenie przez szereg wykładniczy

[ ] ⎥⎤

⎢⎡

↔⋅zXnxz

Zn 00 zrzzrrzr zwzw <<⇒<<[ ] ⎥

⎦⎢⎣

↔0

10 zXnxz

obszar zbieżności [ ]( )nxZ obszar zbieżności [ ]( )nxzn ⋅0Z

[ ]( ) [ ] [ ]n

n

nn

n

n

zznxzznxnxz

−∞

−∞=

−∞

−∞=⎟⎟⎠

⎞⎜⎜⎝

⎛⋅=⋅=⋅ ∑∑

000Z

‘ Andrzej Kotyra

Page 132: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

66

Własności przekształcenia Z

1. Liniowość

[ ] [ ]1

11 X

ZRzXnx :zbieżności Obszar↔ [ ] [ ]

2 22 X

ZRzXnx :zbieżności Obszar↔

[ ] [ ] [ ] [ ]zbXzaXnbxnaxZ

2121 +↔+

Obszar zbieżności jest co najmniej równy 21 XX RR ∩ jeżeli bieguny i zera nie znoszą się

[ ] [ ] [ ]⎩⎨⎧ −≤≤

=−−=hpozostałyc dla 0

10 NnaNnuanuanx

nnn

az > az >

obszary zbieżności

( ) ( )∑∑−

=

−−−

=

==1

0

11

0

N

n

nnN

n

n azzazX0 ,

1

0

1 ≠∞<⇒∞<∑−

=

− zaazN

n

n

Obszar zbieżności sumy ciągów jest większy niż obszary zbieżności poszczególnych ciągów

‘ Andrzej Kotyra

2. Przesunięcie w czasie

[ ] [ ]zXznnx nZ

100−↔− Obszar zbieżności może ulec zmianie

[ ] [ ]0nnxny −= ( ) [ ]∑∞

−−= nznnxzY 0 0nnm −=∑−∞=n

( ) [ ] ( ) [ ] ( )zXzzmxzzmxzY n

m

mn

m

nm 000 −∞

−∞=

−−∞

−∞=

+− === ∑∑

3. Mnożenie przez szereg wykładniczy

[ ] ⎥⎤

⎢⎡

↔⋅zXnxz

Zn 00 zrzzrrzr zwzw <<⇒<<[ ] ⎥

⎦⎢⎣

↔0

10 zXnxz

obszar zbieżności [ ]( )nxZ obszar zbieżności [ ]( )nxzn ⋅0Z

[ ]( ) [ ] [ ]n

n

nn

n

n

zznxzznxnxz

−∞

−∞=

−∞

−∞=⎟⎟⎠

⎞⎜⎜⎝

⎛⋅=⋅=⋅ ∑∑

000Z

‘ Andrzej Kotyra

Page 133: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

67

4. Różniczkowanie X(z)

[ ] ( )( )dz

zXdznxnZ

−↔⋅ Obszar zbieżności jest taki sam jak dla [ ]( )nxZ

( ) [ ] n

n

znxzX −∞

−∞=∑ ⋅=

( )( ) ( ) [ ] 1−−∞

−∞=∑ ⋅−= n

n

znxndz

zXd ( )( ) ( ) [ ] 1−−∞

−∞=∑ ⋅−−=− n

n

znxnzdz

zXdz

( ) [ ] [ ] [ ]( )nxnznxnznxnz n

n

n

n

⋅=⋅⋅=⋅−− −∞

−∞=

−−∞

−∞=∑∑ Z1

5. Sprzężenie szeregu zespolonegop ę g p g

[ ] [ ]*** zXnxZ

↔ Obszar zbieżności jest taki sam jak dla [ ]( )nxZ

‘ Andrzej Kotyra

6. Odwrócenie czasu

[ ] ⎥⎦⎤

⎢⎣⎡↔−

*1**z

XnxZ 0

11 zr

zr

rzrzw

zw <<⇒<<

[ ]( )nxZ obszar zbieżności [ ]( )nx −*Zobszar zbieżności

⎤⎡Jeżeli wyrazy szeregu są rzeczywiste [ ] ⎥⎦⎤

⎢⎣⎡↔−

zXnx

Z 1

7. Splot ciągów[ ] [ ] [ ] [ ]zXzXnxnx

Z

2121 ⋅↔∗

[ ] [ ] [ ] [ ] [ ]knxkxnxnxnyk

−⋅=∗= ∑∞

2121k −∞=

( ) [ ] [ ] [ ]

[ ] [ ] [ ] [ ] ( ) ( )zXzXzzmxkxzknxkx

zknxkxznyzY

km

mk

n

nk

n

n k

n

n

212121

21

⋅=⎭⎬⎫

⎩⎨⎧

⋅=⋅−=

=⋅⎭⎬⎫

⎩⎨⎧

−⋅=⋅=

−−∞

−∞=

−∞=

−∞

−∞=

−∞=

−∞

−∞=

−∞=

−∞

−∞=

∑∑∑∑

∑ ∑∑ knm −=

‘ Andrzej Kotyra

Page 134: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

67

4. Różniczkowanie X(z)

[ ] ( )( )dz

zXdznxnZ

−↔⋅ Obszar zbieżności jest taki sam jak dla [ ]( )nxZ

( ) [ ] n

n

znxzX −∞

−∞=∑ ⋅=

( )( ) ( ) [ ] 1−−∞

−∞=∑ ⋅−= n

n

znxndz

zXd ( )( ) ( ) [ ] 1−−∞

−∞=∑ ⋅−−=− n

n

znxnzdz

zXdz

( ) [ ] [ ] [ ]( )nxnznxnznxnz n

n

n

n

⋅=⋅⋅=⋅−− −∞

−∞=

−−∞

−∞=∑∑ Z1

5. Sprzężenie szeregu zespolonegop ę g p g

[ ] [ ]*** zXnxZ

↔ Obszar zbieżności jest taki sam jak dla [ ]( )nxZ

‘ Andrzej Kotyra

6. Odwrócenie czasu

[ ] ⎥⎦⎤

⎢⎣⎡↔−

*1**z

XnxZ 0

11 zr

zr

rzrzw

zw <<⇒<<

[ ]( )nxZ obszar zbieżności [ ]( )nx −*Zobszar zbieżności

⎤⎡Jeżeli wyrazy szeregu są rzeczywiste [ ] ⎥⎦⎤

⎢⎣⎡↔−

zXnx

Z 1

7. Splot ciągów[ ] [ ] [ ] [ ]zXzXnxnx

Z

2121 ⋅↔∗

[ ] [ ] [ ] [ ] [ ]knxkxnxnxnyk

−⋅=∗= ∑∞

2121k −∞=

( ) [ ] [ ] [ ]

[ ] [ ] [ ] [ ] ( ) ( )zXzXzzmxkxzknxkx

zknxkxznyzY

km

mk

n

nk

n

n k

n

n

212121

21

⋅=⎭⎬⎫

⎩⎨⎧

⋅=⋅−=

=⋅⎭⎬⎫

⎩⎨⎧

−⋅=⋅=

−−∞

−∞=

−∞=

−∞

−∞=

−∞=

−∞

−∞=

−∞=

−∞

−∞=

∑∑∑∑

∑ ∑∑ knm −=

‘ Andrzej Kotyra

Page 135: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

68

Odwrotne przekształcenie Z

Wyznaczenie ciągu x[n] na podstawie jego transformaty i informacji o obszarze zbieżności

[ ] ( ) dzzzXj

nx n 1

21 −

Γ

⋅= ∫πΓ zamknięty kontur całkowania zawierający

początek układu współrzędnych

⎩⎨⎧

≠=

=∫Γ

0 , 00 , 1

21 1

nn

dzzj

n

π Twierdzenie całkowe Cauche’ego

( ) ( ) ( ) ( )∫ ∑ ∫∑∫Γ

−∞= Γ

−+−−∞

−∞=

−−

Γ

=⎭⎬⎫

⎩⎨⎧

=⎭⎬⎫

⎩⎨⎧

=⋅k

nkn

k

kn nxdzzj

kxdzzzkxj

dzzzXj

111

21

21

21

πππ

Sposoby obliczania odwrotnej transformaty Z:

• bezpośrednio ze wzoru• metoda „długiego” dzielenia wielomianów• metoda rozkładu na ułamki proste• metoda residuów

‘ Andrzej Kotyra

( ) ( ) ( ) ( ) ( ) ( ) 43214321 432102436 −−−−−−−− ++++=++−+= zxzxzxzxxzzzzzX

( ) ∑=

−=2

1

n

nn

nn zbzX , przykładowo:

Bezpośrednio ze wzoru

stąd:

( ) 50 =x ( ) 31 =x ( ) 42 −=x ( ) 23 =x ( ) 14 =x

Metoda „długiego dzielenia wielomianów

dla pozostałych n ( ) 0=nx

( ) 1

21

122

−−

+++

=z

zzzX

‘ Andrzej Kotyra

Page 136: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

68

Odwrotne przekształcenie Z

Wyznaczenie ciągu x[n] na podstawie jego transformaty i informacji o obszarze zbieżności

[ ] ( ) dzzzXj

nx n 1

21 −

Γ

⋅= ∫πΓ zamknięty kontur całkowania zawierający

początek układu współrzędnych

⎩⎨⎧

≠=

=∫Γ

0 , 00 , 1

21 1

nn

dzzj

n

π Twierdzenie całkowe Cauche’ego

( ) ( ) ( ) ( )∫ ∑ ∫∑∫Γ

−∞= Γ

−+−−∞

−∞=

−−

Γ

=⎭⎬⎫

⎩⎨⎧

=⎭⎬⎫

⎩⎨⎧

=⋅k

nkn

k

kn nxdzzj

kxdzzzkxj

dzzzXj

111

21

21

21

πππ

Sposoby obliczania odwrotnej transformaty Z:

• bezpośrednio ze wzoru• metoda „długiego” dzielenia wielomianów• metoda rozkładu na ułamki proste• metoda residuów

‘ Andrzej Kotyra

( ) ( ) ( ) ( ) ( ) ( ) 43214321 432102436 −−−−−−−− ++++=++−+= zxzxzxzxxzzzzzX

( ) ∑=

−=2

1

n

nn

nn zbzX , przykładowo:

Bezpośrednio ze wzoru

stąd:

( ) 50 =x ( ) 31 =x ( ) 42 −=x ( ) 23 =x ( ) 14 =x

Metoda „długiego dzielenia wielomianów

dla pozostałych n ( ) 0=nx

( ) 1

21

122

−−

+++

=z

zzzX

‘ Andrzej Kotyra

Page 137: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

69

2122 −− ++ zz122 −+ z( )112 −+⋅ z )(−

wielomian licznika

wielomian mianownika pomnożony przez 2

2−z( )12 1 −− +⋅ zz 32 −− + zz)(−

różnica

wielomian mianownika 2−⋅ z3−− z różnica

( )13 1 −− +⋅− zz 43 −− −− zz)(−4−z

wielomian mianownika 3−−⋅ z

itd.

Zatem: ( ) K+−+−+= −−−− 54322 zzzzzX stąd,

( )

( )⎪⎪⎩

⎪⎪⎨

≥−

==<

=

2 ,1

1 ,00 ,20 ,0

n

nnn

nx

n( ) ( ) ( ) ( ) ( )nunnnx n11 −+−+= δδ

‘ Andrzej Kotyra

,0

00 a

bc = ,0

1011 a

acbc −= ,

0

201122 a

acacbc −−= ,

0

1

aacb

ck

i iikkk

∑ = −−=

∑∑∑=

=

−∞

=

− =N

n

nn

M

m

mm

k

kk zazbzc

000przy założeniu postaci transformaty Z

Metoda rozkładu na ułamki proste

( ) ( ) 1 ,1

111

111122

11

1

111

1

21

>+

++=+

++++=

+++

= −−

−−−

−−

zz

zz

zzzz

zzzX

Metoda wymaga umiejętności przekształcania wielomianów do postaci, pozwalające wykorzystać tablice.

Transformata o postaci wielomian/wielomian

Jeżeli stopień wielomianu w liczniku ma większy niż w mianowniku, wówczas:

( ) ( ) ( )∑

∑∑

=

=

=

− +=+= N

n

nn

M

m

mmK

k

kk

zc

zczczXzXzX

0

0

021 NM ≤

‘ Andrzej Kotyra

Page 138: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

69

2122 −− ++ zz122 −+ z( )112 −+⋅ z )(−

wielomian licznika

wielomian mianownika pomnożony przez 2

2−z( )12 1 −− +⋅ zz 32 −− + zz)(−

różnica

wielomian mianownika 2−⋅ z3−− z różnica

( )13 1 −− +⋅− zz 43 −− −− zz)(−4−z

wielomian mianownika 3−−⋅ z

itd.

Zatem: ( ) K+−+−+= −−−− 54322 zzzzzX stąd,

( )

( )⎪⎪⎩

⎪⎪⎨

≥−

==<

=

2 ,1

1 ,00 ,20 ,0

n

nnn

nx

n( ) ( ) ( ) ( ) ( )nunnnx n11 −+−+= δδ

‘ Andrzej Kotyra

,0

00 a

bc = ,0

1011 a

acbc −= ,

0

201122 a

acacbc −−= ,

0

1

aacb

ck

i iikkk

∑ = −−=

∑∑∑=

=

−∞

=

− =N

n

nn

M

m

mm

k

kk zazbzc

000przy założeniu postaci transformaty Z

Metoda rozkładu na ułamki proste

( ) ( ) 1 ,1

111

111122

11

1

111

1

21

>+

++=+

++++=

+++

= −−

−−−

−−

zz

zz

zzzz

zzzX

Metoda wymaga umiejętności przekształcania wielomianów do postaci, pozwalające wykorzystać tablice.

Transformata o postaci wielomian/wielomian

Jeżeli stopień wielomianu w liczniku ma większy niż w mianowniku, wówczas:

( ) ( ) ( )∑

∑∑

=

=

=

− +=+= N

n

nn

M

m

mmK

k

kk

zc

zczczXzXzX

0

0

021 NM ≤

‘ Andrzej Kotyra

Page 139: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

70

( )zX1

( ) ( )( )( ) ( )

( ) ( ) ( )112

21

1

10

112

11

22

110

22

110

2

111

111

−−−

−−−−−−

−−−

−++

−+

−+=

=−−−

=++++++++

=

zpc

zpc

zpcc

zpzpzpzB

zazazaazbzbzbbzX

N

N

NN

N

MM

K

KK

K

bezpośrednio z tablic

( ) ( ) ( )21 ppp N

N

N

abc =0

( )( ) NkzpzXckpzkk K 3, 2, 1, ,1 1 =−= =

Jeśli posiada m-krotnym biegun:( )zX1

( ) ∑∑−m l

lk zdcX1

( ) ( ) ( )∑∑=

−− +−

++−

+=j

lk

l

k k

k

zpzd

zpcczX

11102

11KK

( ) ( ) ( )kpz

ml

jm

jm

jl zpzX

dzd

jmd =−

⎥⎦

⎤⎢⎣

⎡ −⋅

−=

1!

1,

‘ Andrzej Kotyra

Metoda residuów

( )zXJeżeli jest funkcją wymierną (wielomian/wielomian), wówczas: ( ) ∑=k

knx ρ

kρ residua funkcji ( ) ( )zXzzF n 1−=

( ) ( )n zXzpz −= 1ρ ( ) ( )kpzkk zXzpz =−=ρ

( ) ( ) ( )[ ]kpz

mkm

m

k zFpzdzd

m =−

−⋅−

= 1

1

!11ρ

dla bieguna pojedynczego

dla bieguna m-krotnego

( ) ( )zzzz

zzzz

zzzzX

+++

=+

++=

+++

= −

−−

1122122

122 2

2

2

1

21

( )( ) ( )( )

( )zzzzz

zzzzzzF

nn

+++

=+

++= −

1122

1122

2

221

(podwójny)0,y)(pojedyncz 1 :bieguny dwa 00,1 pojedynczebieguny dwa 1

1 pojedynczybiegun jeden 2

21

21

1

=−===−==

−=≥

ppnppn

pn

‘ Andrzej Kotyra

Page 140: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

70

( )zX1

( ) ( )( )( ) ( )

( ) ( ) ( )112

21

1

10

112

11

22

110

22

110

2

111

111

−−−

−−−−−−

−−−

−++

−+

−+=

=−−−

=++++++++

=

zpc

zpc

zpcc

zpzpzpzB

zazazaazbzbzbbzX

N

N

NN

N

MM

K

KK

K

bezpośrednio z tablic

( ) ( ) ( )21 ppp N

N

N

abc =0

( )( ) NkzpzXckpzkk K 3, 2, 1, ,1 1 =−= =

Jeśli posiada m-krotnym biegun:( )zX1

( ) ∑∑−m l

lk zdcX1

( ) ( ) ( )∑∑=

−− +−

++−

+=j

lk

l

k k

k

zpzd

zpcczX

11102

11KK

( ) ( ) ( )kpz

ml

jm

jm

jl zpzX

dzd

jmd =−

⎥⎦

⎤⎢⎣

⎡ −⋅

−=

1!

1,

‘ Andrzej Kotyra

Metoda residuów

( )zXJeżeli jest funkcją wymierną (wielomian/wielomian), wówczas: ( ) ∑=k

knx ρ

kρ residua funkcji ( ) ( )zXzzF n 1−=

( ) ( )n zXzpz −= 1ρ ( ) ( )kpzkk zXzpz =−=ρ

( ) ( ) ( )[ ]kpz

mkm

m

k zFpzdzd

m =−

−⋅−

= 1

1

!11ρ

dla bieguna pojedynczego

dla bieguna m-krotnego

( ) ( )zzzz

zzzz

zzzzX

+++

=+

++=

+++

= −

−−

1122122

122 2

2

2

1

21

( )( ) ( )( )

( )zzzzz

zzzzzzF

nn

+++

=+

++= −

1122

1122

2

221

(podwójny)0,y)(pojedyncz 1 :bieguny dwa 00,1 pojedynczebieguny dwa 1

1 pojedynczybiegun jeden 2

21

21

1

=−===−==

−=≥

ppnppn

pn

‘ Andrzej Kotyra

Page 141: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

71

Obliczanie dyskretnego przekształcenia Fouriera dla (algorytm radix-2)

( ) ( ) ( ) ( ) ( ) ( )=⋅++⋅=⋅=

+−−

=

−−

=

−−

=∑∑∑

12212

0

2212

0

21

0e12e2e

nkN

j

N

n

nkN

j

N

n

knN

jN

nnxnxnxkX

πππ

1 , 2, ,1 ,0 −= Nk K

Można wydzielić dwa podciągi danych wejściowych: o indeksach parzystych i nieparzystych

nN 2=

Można wydzielić dwa podciągi danych wejściowych: o indeksach parzystych i nieparzystych

( ) ( ) ( ) ( )

( ) ( ) ( ) ( )=⋅+⋅+⋅=

=⋅⋅++⋅=

−−

−−−

−−−

=

−−

=

∑∑

∑∑

nkN

j

N

kN

jnkN

j

N

kN

jnkN

j

N

n

nkN

j

N

n

nxnx

nxnx

22122221

2

22212

0

2212

0

e12ee2

ee12e2

πππ

πππnie zależy od n

==∑∑nn 00

( ) ( ) nkN

N

n

kN

nkN

N

nWnxWWnx 2

12

0

21

2

0122 ⋅+⋅+⋅= ∑∑

=

=

Czynnik dla uproszczenia oznacza się jako NWNj π2

e−

‘ Andrzej Kotyra

12

22222 ee NN

jN

j

N WW ===−⋅⋅−

ππ

Ponieważ:

( ) ( ) ( )NN

nkN

N

n

kN

nkN

N

nWnxWWnxkX

12

12

21

2

0

21

2

0122 =⋅+⋅+⋅= ∑∑

−−

=

=

( ) ( ) nkN

n

kN

nkN

nWnxWWnx 2

2

02

2

0122 ⋅+⋅+⋅= ∑∑

==

Reasumując:

( ) ( ) ( ) ( ) nkN

N

n

kN

nkN

N

n

nkN

N

nWnxWWnxWnxkX 2

12

02

12

0

1

0122 ⋅+⋅+⋅=⋅= ∑∑∑

=

=

=

nkNW 2

czynnik występuje w obydwu sumach → można go obliczyć jeden raz

→ zmniejszenie czasu obliczeń

‘ Andrzej Kotyra

Page 142: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

71

Obliczanie dyskretnego przekształcenia Fouriera dla (algorytm radix-2)

( ) ( ) ( ) ( ) ( ) ( )=⋅++⋅=⋅=

+−−

=

−−

=

−−

=∑∑∑

12212

0

2212

0

21

0e12e2e

nkN

j

N

n

nkN

j

N

n

knN

jN

nnxnxnxkX

πππ

1 , 2, ,1 ,0 −= Nk K

Można wydzielić dwa podciągi danych wejściowych: o indeksach parzystych i nieparzystych

nN 2=

Można wydzielić dwa podciągi danych wejściowych: o indeksach parzystych i nieparzystych

( ) ( ) ( ) ( )

( ) ( ) ( ) ( )=⋅+⋅+⋅=

=⋅⋅++⋅=

−−

−−−

−−−

=

−−

=

∑∑

∑∑

nkN

j

N

kN

jnkN

j

N

kN

jnkN

j

N

n

nkN

j

N

n

nxnx

nxnx

22122221

2

22212

0

2212

0

e12ee2

ee12e2

πππ

πππnie zależy od n

==∑∑nn 00

( ) ( ) nkN

N

n

kN

nkN

N

nWnxWWnx 2

12

0

21

2

0122 ⋅+⋅+⋅= ∑∑

=

=

Czynnik dla uproszczenia oznacza się jako NWNj π2

e−

‘ Andrzej Kotyra

12

22222 ee NN

jN

j

N WW ===−⋅⋅−

ππ

Ponieważ:

( ) ( ) ( )NN

nkN

N

n

kN

nkN

N

nWnxWWnxkX

12

12

21

2

0

21

2

0122 =⋅+⋅+⋅= ∑∑

−−

=

=

( ) ( ) nkN

n

kN

nkN

nWnxWWnx 2

2

02

2

0122 ⋅+⋅+⋅= ∑∑

==

Reasumując:

( ) ( ) ( ) ( ) nkN

N

n

kN

nkN

N

n

nkN

N

nWnxWWnxWnxkX 2

12

02

12

0

1

0122 ⋅+⋅+⋅=⋅= ∑∑∑

=

=

=

nkNW 2

czynnik występuje w obydwu sumach → można go obliczyć jeden raz

→ zmniejszenie czasu obliczeń

‘ Andrzej Kotyra

Page 143: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

72

Obliczanie można rozdzielić, obliczając osobno dla( )kX 12

, 2, ,1 ,0 −=Nk K

oraz dla , wtedy:

( )kX

1 , 2,2

,12

,2

−++= NNNNk K

( ) ( ) ⎟⎠⎞

⎜⎝⎛ ++=

2NkXkXkX

1 , 2, ,1 ,0 −= Nk K 12

, 2, ,1 ,0 −=Nk K

( ) ( ) ( ) ( )22

12

0

222

12

0122

2Nkn

N

N

n

NkN

NknN

N

nWnxWWnxNkX +

=

++

=

⋅+⋅+⋅=⎟⎠⎞

⎜⎝⎛ + ∑∑

12

, 2, ,1 ,0 −=Nk K

( ) njnkNn

Nj

nkNnnkNkn WWWWW 22

22

22 ee ===⋅= ⋅−⋅⋅−

⋅+ ππ

2

( ) ( )[ ] nkN

nkN

NNNNN

WnjnW

WWWWW

22

22222

2sin2cos

ee

=−⋅=

===⋅=

ππ

‘ Andrzej Kotyra

( ) ( )[ ] kN

kN

jkN

NN

jkN

NN

kN

NkN WjWWWWWW −=−⋅=⋅=⋅=⋅= −⋅−+ πππ

π

sincosee 22

22

( ) ( ) nkN

N

kN

nkN

N

WnxWWnxNkX 2

12

02

12

0122

2⋅+⋅−⋅=⎟

⎠⎞

⎜⎝⎛ + ∑∑

−−

Stąd:

( ) ( ) nkN

N

kN

nkN

N

WnxWWnxNkX 2

12

02

12

0

1222

⋅+⋅−⋅=⎟⎠⎞

⎜⎝⎛ + ∑∑

−−

nn 002 ⎠⎝ ==

( ) ( ) ( ) ( ) nkN

N

n

kN

nkN

N

n

nkN

N

nWnxWWnxWnxkX 2

12

02

12

0

1

0122 ⋅+⋅+⋅=⋅= ∑∑∑

=

=

=

Zatem:

12

, 2, ,1 ,0 −=Nk K

444 3444 2144 344 21 nn 002 ⎠⎝ ==

( )kA ( )kB

( ) ( ) ( )kBWkAkX kN ⋅+= ( ) ( )kBWkANkX k

N ⋅−=⎟⎠⎞

⎜⎝⎛ +

2

‘ Andrzej Kotyra

Page 144: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

72

Obliczanie można rozdzielić, obliczając osobno dla( )kX 12

, 2, ,1 ,0 −=Nk K

oraz dla , wtedy:

( )kX

1 , 2,2

,12

,2

−++= NNNNk K

( ) ( ) ⎟⎠⎞

⎜⎝⎛ ++=

2NkXkXkX

1 , 2, ,1 ,0 −= Nk K 12

, 2, ,1 ,0 −=Nk K

( ) ( ) ( ) ( )22

12

0

222

12

0122

2Nkn

N

N

n

NkN

NknN

N

nWnxWWnxNkX +

=

++

=

⋅+⋅+⋅=⎟⎠⎞

⎜⎝⎛ + ∑∑

12

, 2, ,1 ,0 −=Nk K

( ) njnkNn

Nj

nkNnnkNkn WWWWW 22

22

22 ee ===⋅= ⋅−⋅⋅−

⋅+ ππ

2

( ) ( )[ ] nkN

nkN

NNNNN

WnjnW

WWWWW

22

22222

2sin2cos

ee

=−⋅=

===⋅=

ππ

‘ Andrzej Kotyra

( ) ( )[ ] kN

kN

jkN

NN

jkN

NN

kN

NkN WjWWWWWW −=−⋅=⋅=⋅=⋅= −⋅−+ πππ

π

sincosee 22

22

( ) ( ) nkN

N

kN

nkN

N

WnxWWnxNkX 2

12

02

12

0122

2⋅+⋅−⋅=⎟

⎠⎞

⎜⎝⎛ + ∑∑

−−

Stąd:

( ) ( ) nkN

N

kN

nkN

N

WnxWWnxNkX 2

12

02

12

0

1222

⋅+⋅−⋅=⎟⎠⎞

⎜⎝⎛ + ∑∑

−−

nn 002 ⎠⎝ ==

( ) ( ) ( ) ( ) nkN

N

n

kN

nkN

N

n

nkN

N

nWnxWWnxWnxkX 2

12

02

12

0

1

0122 ⋅+⋅+⋅=⋅= ∑∑∑

=

=

=

Zatem:

12

, 2, ,1 ,0 −=Nk K

444 3444 2144 344 21 nn 002 ⎠⎝ ==

( )kA ( )kB

( ) ( ) ( )kBWkAkX kN ⋅+= ( ) ( )kBWkANkX k

N ⋅−=⎟⎠⎞

⎜⎝⎛ +

2

‘ Andrzej Kotyra

Page 145: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

73

W celu obliczenia N - punktowej dyskretnej transformaty Fouriera wystarczy obliczyć dwie N/2punktowe transformaty dla , a następnie wykorzystać je do 12,2,,1,0 −= Nk K

Aby obliczyć drugą połowę widma można wykorzystać obliczenia dokonywane przy obliczaniu

pierwszej połowy, zmieniając znak stojący przy drugim członie wyrażenia.

p y , ęp y y j

obliczenia N - punktowej transformaty Fouriera12, 2,,1,0 Nk K

Niech N = 8

( ) ( ) ( ) 3 2, ,1 ,0 223

04

12

02 =⋅=⋅= ∑∑

=

=

kWnxWnxkAn

nk

N

n

nkN

( ) ( ) ( ) 3 2, ,1 ,0 12123

04

12

02 =⋅+=⋅+= ∑∑

=

=

kWnxWnxkBn

nk

N

n

nkN

‘ Andrzej Kotyra

x(0)

x(2)

x(4)

(6)

A(0)

A(2)

A(4)

A(6)

X(0)

X(1)

X(2)

X(3)

N = 4

( ) ( ) 3 2, ,1 ,0 23

04 =⋅= ∑

=

kWnxkAn

nk( ) ( ) ( )kAWkAkX nk 8 ⋅+=

x(6) ( ) X(3)

( ) ( ) 3 2, ,1 ,0 123

04 =⋅+= ∑

=

kWnxkBn

nk

( ) ( ) ( )kAWkAkX nk 4 8 ⋅−=+

x(1)

x(2)

x(5)

x(7)

B(0)

B(1)

B(2)

B(3)

−1W 08

W 18

W 28

W 38

−1

−1

−1

X(4)

X(5)

X(6)

X(7)

N = 4

‘ Andrzej Kotyra

Page 146: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

73

W celu obliczenia N - punktowej dyskretnej transformaty Fouriera wystarczy obliczyć dwie N/2punktowe transformaty dla , a następnie wykorzystać je do 12,2,,1,0 −= Nk K

Aby obliczyć drugą połowę widma można wykorzystać obliczenia dokonywane przy obliczaniu

pierwszej połowy, zmieniając znak stojący przy drugim członie wyrażenia.

p y , ęp y y j

obliczenia N - punktowej transformaty Fouriera12, 2,,1,0 Nk K

Niech N = 8

( ) ( ) ( ) 3 2, ,1 ,0 223

04

12

02 =⋅=⋅= ∑∑

=

=

kWnxWnxkAn

nk

N

n

nkN

( ) ( ) ( ) 3 2, ,1 ,0 12123

04

12

02 =⋅+=⋅+= ∑∑

=

=

kWnxWnxkBn

nk

N

n

nkN

‘ Andrzej Kotyra

x(0)

x(2)

x(4)

(6)

A(0)

A(2)

A(4)

A(6)

X(0)

X(1)

X(2)

X(3)

N = 4

( ) ( ) 3 2, ,1 ,0 23

04 =⋅= ∑

=

kWnxkAn

nk( ) ( ) ( )kAWkAkX nk 8 ⋅+=

x(6) ( ) X(3)

( ) ( ) 3 2, ,1 ,0 123

04 =⋅+= ∑

=

kWnxkBn

nk

( ) ( ) ( )kAWkAkX nk 4 8 ⋅−=+

x(1)

x(2)

x(5)

x(7)

B(0)

B(1)

B(2)

B(3)

−1W 08

W 18

W 28

W 38

−1

−1

−1

X(4)

X(5)

X(6)

X(7)

N = 4

‘ Andrzej Kotyra

Page 147: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

74

N /2- punktowa dyskretnej transformata Fouriera może być podzielona na dwie N /4 punktowe

dyskretne transformaty Fouriera.

( ) ( ) ( ) ( ) ( )∑∑∑−

=

+

=

=

=⋅++⋅=⋅=1

4

0

122

14

0

22

12

02 2442

N

n

knN

N

n

nkN

N

n

nkN WnxWnxWnxkA

( ) ( )∑∑−

=

=

⋅++⋅1

4

0

222

14

0

22 244

N

n

nkN

kN

N

n

nkN WnxWWnx 12 , 2, ,1 ,0 −= Nk K

nkN

nkN

jnkN

jnk

N WW 44

222

22

2 ee ===⋅−⋅−

ππ

Ponieważ:

( ) ( ) ( )∑∑−

=

=

⋅++⋅=1

4

042

14

04 244

N

n

nkN

kN

N

n

nkN WnxWWnxkAReasumując:

‘ Andrzej Kotyra

Podobnie, jak w przypadku obliczania również można rozbić na dwa ciągi składowe

dla oraz dla ( ) 14 , 2, ,1 ,0 −= Nk K ( ) ( ) ( ) 12 , 2,4 ,14 ,4 −++= NNNNk K

( )kX ( )kA

( ) ( ) ⎟⎠⎞

⎜⎝⎛ ++=

2NkAkAkA

( ) 12 , 2, ,1 ,0 −= Nk K 14

, 2, ,1 ,0 −=Nk K

( ) ( ) ( ) ( )44

14

0

42

44

14

0244

4Nkn

N

N

n

NkN

NknN

N

nWnxWWnxNkA +

=

++

=

⋅+⋅+⋅=⎟⎠⎞

⎜⎝⎛ + ∑∑

4

( ) njnkN

NnN

jnk

NNn

Nnk

NNkn

N WWWWW 24

44

2

44

444

4 ee ===⋅= ⋅−⋅⋅−

⋅+ ππ

( ) ( )[ ] nkN

nkN WnjnW 44 2sin2cos =−⋅= ππ

( ) ( )[ ] kN

kN

jkN

NN

jk

NN

Nk

NNk

N WjWWWWWW 22242

2

24

224

2 sincosee −=−⋅=⋅=⋅=⋅= −⋅−

+ ππππ

‘ Andrzej Kotyra

Page 148: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

74

N /2- punktowa dyskretnej transformata Fouriera może być podzielona na dwie N /4 punktowe

dyskretne transformaty Fouriera.

( ) ( ) ( ) ( ) ( )∑∑∑−

=

+

=

=

=⋅++⋅=⋅=1

4

0

122

14

0

22

12

02 2442

N

n

knN

N

n

nkN

N

n

nkN WnxWnxWnxkA

( ) ( )∑∑−

=

=

⋅++⋅1

4

0

222

14

0

22 244

N

n

nkN

kN

N

n

nkN WnxWWnx 12 , 2, ,1 ,0 −= Nk K

nkN

nkN

jnkN

jnk

N WW 44

222

22

2 ee ===⋅−⋅−

ππ

Ponieważ:

( ) ( ) ( )∑∑−

=

=

⋅++⋅=1

4

042

14

04 244

N

n

nkN

kN

N

n

nkN WnxWWnxkAReasumując:

‘ Andrzej Kotyra

Podobnie, jak w przypadku obliczania również można rozbić na dwa ciągi składowe

dla oraz dla ( ) 14 , 2, ,1 ,0 −= Nk K ( ) ( ) ( ) 12 , 2,4 ,14 ,4 −++= NNNNk K

( )kX ( )kA

( ) ( ) ⎟⎠⎞

⎜⎝⎛ ++=

2NkAkAkA

( ) 12 , 2, ,1 ,0 −= Nk K 14

, 2, ,1 ,0 −=Nk K

( ) ( ) ( ) ( )44

14

0

42

44

14

0244

4Nkn

N

N

n

NkN

NknN

N

nWnxWWnxNkA +

=

++

=

⋅+⋅+⋅=⎟⎠⎞

⎜⎝⎛ + ∑∑

4

( ) njnkN

NnN

jnk

NNn

Nnk

NNkn

N WWWWW 24

44

2

44

444

4 ee ===⋅= ⋅−⋅⋅−

⋅+ ππ

( ) ( )[ ] nkN

nkN WnjnW 44 2sin2cos =−⋅= ππ

( ) ( )[ ] kN

kN

jkN

NN

jk

NN

Nk

NNk

N WjWWWWWW 22242

2

24

224

2 sincosee −=−⋅=⋅=⋅=⋅= −⋅−

+ ππππ

‘ Andrzej Kotyra

Page 149: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

75

Ostatecznie otrzymujemy:

( ) ( ) nkN

N

n

kN

nkN

N

nWnxWWnxNkA 2

14

024

14

0244

4⋅+⋅−⋅=⎟

⎠⎞

⎜⎝⎛ + ∑∑

=

=

NN 14

14

−−Zatem:

( ) ( ) ( ) nkN

n

kN

nkN

nWnxWWnxkA 4

4

024

4

0244 ⋅+⋅+⋅= ∑∑

==

14

, 2, ,1 ,0 −=Nk K

( )kC ( )kD

( ) ( )444 3444 2144 344 21

nkN

N

n

kN

nkN

N

nWnxWWnxNkA 4

14

024

14

0244

4⋅+⋅−⋅=⎟

⎠⎞

⎜⎝⎛ + ∑∑

=

=

Podobnie można postąpić z rozbijając na dwie składowe ( )kB ( ) ( )kFkE ,→

‘ Andrzej Kotyra

x(0)

x(4)

C(0)

C(1)

A(0)

A(1)N = 2

( ) ( ) 1 ,0 ,4 4

1

0

=⋅= ∑=

kWnxkC nkN

n

( ) ( ) 1,0 ,24 4

1

=⋅+= ∑ kWnxkD nkN

( ) ( ) ( )kDWkCkA k ⋅+= 4

( ) ( ) ( )kDWkCkA k ⋅+=+ 42

x(1)

x(5)

E(0)

E(1)

B(0)

B(1)N = 2

x(2)

x(6)

D(0)

D(1)

−1W 04

W 14 −1

A(2)

A(3)N = 2

( ) ( ) 40

∑=

Nn

( ) ( ) ( )kDWkCkA ++ 42

x(5)

x(3)

x(7)

F(0)

F(1)

−1W 04

W 14 −1

B(1)

B(2)

B(3)N = 2

‘ Andrzej Kotyra

Page 150: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

75

Ostatecznie otrzymujemy:

( ) ( ) nkN

N

n

kN

nkN

N

nWnxWWnxNkA 2

14

024

14

0244

4⋅+⋅−⋅=⎟

⎠⎞

⎜⎝⎛ + ∑∑

=

=

NN 14

14

−−Zatem:

( ) ( ) ( ) nkN

n

kN

nkN

nWnxWWnxkA 4

4

024

4

0244 ⋅+⋅+⋅= ∑∑

==

14

, 2, ,1 ,0 −=Nk K

( )kC ( )kD

( ) ( )444 3444 2144 344 21

nkN

N

n

kN

nkN

N

nWnxWWnxNkA 4

14

024

14

0244

4⋅+⋅−⋅=⎟

⎠⎞

⎜⎝⎛ + ∑∑

=

=

Podobnie można postąpić z rozbijając na dwie składowe ( )kB ( ) ( )kFkE ,→

‘ Andrzej Kotyra

x(0)

x(4)

C(0)

C(1)

A(0)

A(1)N = 2

( ) ( ) 1 ,0 ,4 4

1

0

=⋅= ∑=

kWnxkC nkN

n

( ) ( ) 1,0 ,24 4

1

=⋅+= ∑ kWnxkD nkN

( ) ( ) ( )kDWkCkA k ⋅+= 4

( ) ( ) ( )kDWkCkA k ⋅+=+ 42

x(1)

x(5)

E(0)

E(1)

B(0)

B(1)N = 2

x(2)

x(6)

D(0)

D(1)

−1W 04

W 14 −1

A(2)

A(3)N = 2

( ) ( ) 40

∑=

Nn

( ) ( ) ( )kDWkCkA ++ 42

x(5)

x(3)

x(7)

F(0)

F(1)

−1W 04

W 14 −1

B(1)

B(2)

B(3)N = 2

‘ Andrzej Kotyra

Page 151: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

76

x(0) C(0)

−1W 02x(4) C(1)

x(2) D(0)

x k( )

Pojedynczy motylek dla N = 2

( ) (0)

−1W 02x(6) D(1)

x(1) E(0)

−1W 02x(5) E(1)

−1W 02x k N( + /2)

1e0

22

02 ==

⋅−πj

W

Ponieważ:

1W 2x(5) ( )

x(3) F(0)

−1W 02x(7) F(1)

x k( )

−1x k N( + /2)

Ostatecznie:

‘ Andrzej Kotyra

x(0)

−1W 02

x(4)

x(2)W 1D(0)

C(0)

C(1)

A(2)

A(0)

A(1)

X(0)

X(1)

X(2)

8 - punktowa FFT (algorytm radix-2)

−1W 02

x(6)

x(1)

−1W 02

x(5)

−1

−1

W 04

W 14

E(0)

E(1)

( )

D(1)

B(0)

B(1)

( )

A(3)

W 18

W 08

−1

−1

X(3)

X(4)

X(5)

I etap II etap III etap

x(3) F(0)

−1W 02

x(7) F(1)−1

−1

W 04

W 14

B(1)

B(3)W 3

8

W 28

−1

−1X(6)

X(7)

‘ Andrzej Kotyra

Page 152: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

76

x(0) C(0)

−1W 02x(4) C(1)

x(2) D(0)

x k( )

Pojedynczy motylek dla N = 2

( ) (0)

−1W 02x(6) D(1)

x(1) E(0)

−1W 02x(5) E(1)

−1W 02x k N( + /2)

1e0

22

02 ==

⋅−πj

W

Ponieważ:

1W 2x(5) ( )

x(3) F(0)

−1W 02x(7) F(1)

x k( )

−1x k N( + /2)

Ostatecznie:

‘ Andrzej Kotyra

x(0)

−1W 02

x(4)

x(2)W 1D(0)

C(0)

C(1)

A(2)

A(0)

A(1)

X(0)

X(1)

X(2)

8 - punktowa FFT (algorytm radix-2)

−1W 02

x(6)

x(1)

−1W 02

x(5)

−1

−1

W 04

W 14

E(0)

E(1)

( )

D(1)

B(0)

B(1)

( )

A(3)

W 18

W 08

−1

−1

X(3)

X(4)

X(5)

I etap II etap III etap

x(3) F(0)

−1W 02

x(7) F(1)−1

−1

W 04

W 14

B(1)

B(3)W 3

8

W 28

−1

−1X(6)

X(7)

‘ Andrzej Kotyra

Page 153: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

77

Wynik obliczeń w

-tym kroku( )1−m

( )pX m 1− ( )pX m

Wynik obliczeń

w -tym krokum

Ogólniej:

−1W kN

( )qX m 1− ( )qX m

( ) ( ) ( )pXWpXpX mk

Nmm 11 −− ⋅+=

( ) ( ) ( )qXWqXqX mk

Nmm 11 −− ⋅−=( ) ( ) ( )qqq mNmm 11

Każdy motylek wymaga jednego mnożenia zespolonego i dwóch dodawań zespolonych

W każdym kroku algorytmu radix-2 obliczanych jest motylków2N

‘ Andrzej Kotyra

Im(z)

W 5

W 68 W 7

8

W 34

kNW − współczynnik obrotu k

Njk

NW⋅−

=π2

e

Re(z)

W 08

W 18

W 28

W 38

W 48

W8

W 04 W 0

2

W 24W 1

2

8

W 14

Uwzględnienie nadmiarowości współczynników obrotu umożliwia dalsze zmniejszenie

liczby wykonywanych operacji

‘ Andrzej Kotyra

Page 154: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

77

Wynik obliczeń w

-tym kroku( )1−m

( )pX m 1− ( )pX m

Wynik obliczeń

w -tym krokum

Ogólniej:

−1W kN

( )qX m 1− ( )qX m

( ) ( ) ( )pXWpXpX mk

Nmm 11 −− ⋅+=

( ) ( ) ( )qXWqXqX mk

Nmm 11 −− ⋅−=( ) ( ) ( )qqq mNmm 11

Każdy motylek wymaga jednego mnożenia zespolonego i dwóch dodawań zespolonych

W każdym kroku algorytmu radix-2 obliczanych jest motylków2N

‘ Andrzej Kotyra

Im(z)

W 5

W 68 W 7

8

W 34

kNW − współczynnik obrotu k

Njk

NW⋅−

=π2

e

Re(z)

W 08

W 18

W 28

W 38

W 48

W8

W 04 W 0

2

W 24W 1

2

8

W 14

Uwzględnienie nadmiarowości współczynników obrotu umożliwia dalsze zmniejszenie

liczby wykonywanych operacji

‘ Andrzej Kotyra

Page 155: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

78

Zysk obliczeniowy algorytmu radix-2

W każdym kroku algorytmu FFT wymagane jest przeprowadzenie N/2 mnożeń zespolonych oraz N sumowań zespolonych

Każdy dodatkowy współczynnik w potędze liczby 2 przy ilości N próbek wymaga przeprowadzenia osobnego kroku algorytmu FFT Zatem zawsze mamy B = log N kroków wprzeprowadzenia osobnego kroku algorytmu FFT. Zatem zawsze mamy B log2N kroków w algorytmie FFT.

W całym algorytmie przeprowadzanych jest N/2⋅log2N mnożeń zespolonych oraz N⋅log2N

sumowań zespolonych.

‘ Andrzej Kotyra

Obliczanie odwrotnego dyskretnego przekształcenia Fouriera z wykorzystaniem FFT

[ ] [ ] nN

jkN

nnx

NkX

π21

0

e1 −−

=∑ ⋅=

Proste dyskretne przekształcenie Fouriera

[ ] [ ] nN

jkN

kkXnx

π21

0

e∑−

=

⋅=

Odwrotne dyskretne przekształcenie FourieraProste dyskretne przekształcenie Fouriera Odwrotne dyskretne przekształcenie Fouriera

[ ] [ ]*21

0

* e ⎟⎟⎠

⎞⎜⎜⎝

⎛⋅= ∑

=

nN

jkN

kkXnx

π ( ) *** baba +=+ ( ) *** baab =

[ ] [ ] nN

jkN

k

kXnxπ21

0

** e−−

=∑ ⋅= [ ] [ ]

*21

0

* e ⎟⎟⎠

⎞⎜⎜⎝

⎛⋅=

−−

=∑

nN

jkN

k

kXnxπ

1. Obliczyć wartości szeregu X*[k]2. Obliczyć proste przekształcenie Fouriera sprzężonego szeregu na podstawie algorytmu FFT3. Pomnożyć każdy składnik przez N4. Obliczyć sprzężenie wartości uzyskanych wyników aby otrzymać szereg wartości x [n]

‘ Andrzej Kotyra

Page 156: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

78

Zysk obliczeniowy algorytmu radix-2

W każdym kroku algorytmu FFT wymagane jest przeprowadzenie N/2 mnożeń zespolonych oraz N sumowań zespolonych

Każdy dodatkowy współczynnik w potędze liczby 2 przy ilości N próbek wymaga przeprowadzenia osobnego kroku algorytmu FFT Zatem zawsze mamy B = log N kroków wprzeprowadzenia osobnego kroku algorytmu FFT. Zatem zawsze mamy B log2N kroków w algorytmie FFT.

W całym algorytmie przeprowadzanych jest N/2⋅log2N mnożeń zespolonych oraz N⋅log2N

sumowań zespolonych.

‘ Andrzej Kotyra

Obliczanie odwrotnego dyskretnego przekształcenia Fouriera z wykorzystaniem FFT

[ ] [ ] nN

jkN

nnx

NkX

π21

0

e1 −−

=∑ ⋅=

Proste dyskretne przekształcenie Fouriera

[ ] [ ] nN

jkN

kkXnx

π21

0

e∑−

=

⋅=

Odwrotne dyskretne przekształcenie FourieraProste dyskretne przekształcenie Fouriera Odwrotne dyskretne przekształcenie Fouriera

[ ] [ ]*21

0

* e ⎟⎟⎠

⎞⎜⎜⎝

⎛⋅= ∑

=

nN

jkN

kkXnx

π ( ) *** baba +=+ ( ) *** baab =

[ ] [ ] nN

jkN

k

kXnxπ21

0

** e−−

=∑ ⋅= [ ] [ ]

*21

0

* e ⎟⎟⎠

⎞⎜⎜⎝

⎛⋅=

−−

=∑

nN

jkN

k

kXnxπ

1. Obliczyć wartości szeregu X*[k]2. Obliczyć proste przekształcenie Fouriera sprzężonego szeregu na podstawie algorytmu FFT3. Pomnożyć każdy składnik przez N4. Obliczyć sprzężenie wartości uzyskanych wyników aby otrzymać szereg wartości x [n]

‘ Andrzej Kotyra

Page 157: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

79

Filtry cyfrowe

Filtr cyfrowy - algorytm (zrealizowany programowo lub sprzętowo) przekształcający sygnał wejściowy x[n] w sygnał wyjściowy y[n], który posiada pożądane właściwości zależne od konkretnego zastosowania - np. redukcja szumu, eliminacja nadmiaru informacji w sygnale akustycznym w celu jego kompresji etc.

Zalety filtrów cyfrowych

à Bardzo dobre parametry charakterystyk częstotliwościowych – poziomy tłumienia są dużo większe niż w przypadku f. analogowych tego samego rzędu – większe stromości – pasmo przejściowe pozbawione zafalowań.à Możliwość uzyskania filtru o liniowej fazie w paśmie przepustowym (brak zniekształceń fazowych w paśmie użytecznym)à Parametry filtru są stałe w czasie (nie istnieje problem starzenia elementów)à Współczynniki filtru oraz jego struktura może być łatwo zmodyfikowana – i to bez zmiany sprzętowejà Możliwość projektowania filtrów adaptacyjnych – dostosowujących swoje charakterystyki do aktualnego sygnału na wejściu.

‘ Andrzej Kotyra

Wady filtrów cyfrowych

Œ Pasmo przenoszenia ograniczone od połowy częstotliwości próbkowania użytej w układzie.Œ Mały przedział dostępnych amplitud (np. wartość skuteczna napięcia szumów dla 16-bitowego przetwornika A/C wynosi ok. 10μV – typowy układ filtru analogowego na WO ok. 2μV) – Wynik mnożenia dwóch liczb umieszczany w rejestrze jest ucinany – dodatkowe źródło szumu

[ ] [ ]∑∑==

−−−=M

kk

N

ll knyalnxbny

10

][

[ ] [ ]∑∑ −=−+N

l

M

k lnxbknyany ][ [ ] [ ]∑∑ −=−N

l

M

k lnxbknya

Rozpatrywane będą filtry cyfrowe należące do grupy układów LTI.

Œ Mniejsza szybkość działania - górna częstotliwość jest rzędu MHz,w przypadku f. analogowych - setki MHz

( ) ( )( ) ∑

=

=

+== M

k

kk

N

l

ll

za

zb

zXzYzH

1

0

1

== lk 01 == lk 00

transformata Z

( ) ( )∑∑=

=

− ⋅=⋅N

l

ll

M

k

kk zXzbzYza

00

, transmitancja filtru:

‘ Andrzej Kotyra

Page 158: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

79

Filtry cyfrowe

Filtr cyfrowy - algorytm (zrealizowany programowo lub sprzętowo) przekształcający sygnał wejściowy x[n] w sygnał wyjściowy y[n], który posiada pożądane właściwości zależne od konkretnego zastosowania - np. redukcja szumu, eliminacja nadmiaru informacji w sygnale akustycznym w celu jego kompresji etc.

Zalety filtrów cyfrowych

à Bardzo dobre parametry charakterystyk częstotliwościowych – poziomy tłumienia są dużo większe niż w przypadku f. analogowych tego samego rzędu – większe stromości – pasmo przejściowe pozbawione zafalowań.à Możliwość uzyskania filtru o liniowej fazie w paśmie przepustowym (brak zniekształceń fazowych w paśmie użytecznym)à Parametry filtru są stałe w czasie (nie istnieje problem starzenia elementów)à Współczynniki filtru oraz jego struktura może być łatwo zmodyfikowana – i to bez zmiany sprzętowejà Możliwość projektowania filtrów adaptacyjnych – dostosowujących swoje charakterystyki do aktualnego sygnału na wejściu.

‘ Andrzej Kotyra

Wady filtrów cyfrowych

Œ Pasmo przenoszenia ograniczone od połowy częstotliwości próbkowania użytej w układzie.Œ Mały przedział dostępnych amplitud (np. wartość skuteczna napięcia szumów dla 16-bitowego przetwornika A/C wynosi ok. 10μV – typowy układ filtru analogowego na WO ok. 2μV) – Wynik mnożenia dwóch liczb umieszczany w rejestrze jest ucinany – dodatkowe źródło szumu

[ ] [ ]∑∑==

−−−=M

kk

N

ll knyalnxbny

10

][

[ ] [ ]∑∑ −=−+N

l

M

k lnxbknyany ][ [ ] [ ]∑∑ −=−N

l

M

k lnxbknya

Rozpatrywane będą filtry cyfrowe należące do grupy układów LTI.

Œ Mniejsza szybkość działania - górna częstotliwość jest rzędu MHz,w przypadku f. analogowych - setki MHz

( ) ( )( ) ∑

=

=

+== M

k

kk

N

l

ll

za

zb

zXzYzH

1

0

1

== lk 01 == lk 00

transformata Z

( ) ( )∑∑=

=

− ⋅=⋅N

l

ll

M

k

kk zXzbzYza

00

, transmitancja filtru:

‘ Andrzej Kotyra

Page 159: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

80

Filtry cyfrowefiltry cyfrowe nierekursywne

filtry cyfrowe rekursywne

klasyfikacja filtrów cyfrowych

Przynajmniej jeden ze współczynników ak mianownika transmitancji jest różny od zera.

Sygnał wyjściowy filtru rekursywnego zależy od próbek sygnału wejściowego i poprzednich wartości sygnału wejściowego.

Zazwyczaj odpowiedź impulsowa filtru rekursywnego jest nieskończona w czasie (z

Właściwości cyfrowych filtrów rekursywnych:

y j p p y g j (wyjątkiem przypadku, kiedy wszystkie bieguny kompensowane są przez zera) → filtry o

nieskończonej odpowiedzi impulsowej (NOI, IIR)

Przyczynowy filtr NOI jest stabilny, jeżeli bieguny jego transmitancji leżą wewnątrzokręgu jednostkowego

‘ Andrzej Kotyra

Właściwości cyfrowych filtrów nierekursywnych:

Wszystkie współczynniki ak mianownika transmitancji są równe zeru.

Sygnał wyjściowy filtru nierekursywnego zależy tylko od próbek sygnału wejściowego.Odpowiedź impulsowa filtru nierekursywnego jest zawsze skończona w czasie → filtry o

skończonej odpowiedzi impulsowej (SOI, FIR) są zawsze stabilnej p p j ( , ) ą

Nie każdy filtr SOI , jest nierekursywny

realizacja filtru cyfrowego: → przetworzenie sygnału wejściowego w sygnał wyjściowy →

np. na podstawie równania różnicowego

opis struktury filtru: schematy blokowe, grafy przepływu sygnałów, zapis macierzowy

Podstawowe elementy schematu blokowego

sumator układ mnożący układ opóźniający

x

y

x + y x

a

ax x n( ) x n ( 1)−z−1

‘ Andrzej Kotyra

Page 160: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

80

Filtry cyfrowefiltry cyfrowe nierekursywne

filtry cyfrowe rekursywne

klasyfikacja filtrów cyfrowych

Przynajmniej jeden ze współczynników ak mianownika transmitancji jest różny od zera.

Sygnał wyjściowy filtru rekursywnego zależy od próbek sygnału wejściowego i poprzednich wartości sygnału wejściowego.

Zazwyczaj odpowiedź impulsowa filtru rekursywnego jest nieskończona w czasie (z

Właściwości cyfrowych filtrów rekursywnych:

y j p p y g j (wyjątkiem przypadku, kiedy wszystkie bieguny kompensowane są przez zera) → filtry o

nieskończonej odpowiedzi impulsowej (NOI, IIR)

Przyczynowy filtr NOI jest stabilny, jeżeli bieguny jego transmitancji leżą wewnątrzokręgu jednostkowego

‘ Andrzej Kotyra

Właściwości cyfrowych filtrów nierekursywnych:

Wszystkie współczynniki ak mianownika transmitancji są równe zeru.

Sygnał wyjściowy filtru nierekursywnego zależy tylko od próbek sygnału wejściowego.Odpowiedź impulsowa filtru nierekursywnego jest zawsze skończona w czasie → filtry o

skończonej odpowiedzi impulsowej (SOI, FIR) są zawsze stabilnej p p j ( , ) ą

Nie każdy filtr SOI , jest nierekursywny

realizacja filtru cyfrowego: → przetworzenie sygnału wejściowego w sygnał wyjściowy →

np. na podstawie równania różnicowego

opis struktury filtru: schematy blokowe, grafy przepływu sygnałów, zapis macierzowy

Podstawowe elementy schematu blokowego

sumator układ mnożący układ opóźniający

x

y

x + y x

a

ax x n( ) x n ( 1)−z−1

‘ Andrzej Kotyra

Page 161: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

81

Przykład 12 Narysować schemat blokowy oraz graf przepływu filtru opisanego równaniem:

[ ] [ ] [ ]11][ −+−+= naynbxnxny

Schemat blokowy:

x n[ ] y n[ ]

Graf przepływu:

z−1b z−1

a

z−1

b z−1

a

x n[ ] y n[ ]

‘ Andrzej Kotyra

Przykład 12 Narysować schemat blokowy oraz graf przepływu filtru opisanego równaniem:

[ ] [ ] [ ]21][ 21 −+−+= nyanyanbxny

z−1a1

x n[ ] y n[ ]

z−1

y n[ 1]−

a2

⇔z−1

y n[ ]

z−1

a1

a2

x n[ ]

y n[ 2]−

‘ Andrzej Kotyra

Page 162: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

81

Przykład 12 Narysować schemat blokowy oraz graf przepływu filtru opisanego równaniem:

[ ] [ ] [ ]11][ −+−+= naynbxnxny

Schemat blokowy:

x n[ ] y n[ ]

Graf przepływu:

z−1b z−1

a

z−1

b z−1

a

x n[ ] y n[ ]

‘ Andrzej Kotyra

Przykład 12 Narysować schemat blokowy oraz graf przepływu filtru opisanego równaniem:

[ ] [ ] [ ]21][ 21 −+−+= nyanyanbxny

z−1a1

x n[ ] y n[ ]

z−1

y n[ 1]−

a2

⇔z−1

y n[ ]

z−1

a1

a2

x n[ ]

y n[ 2]−

‘ Andrzej Kotyra

Page 163: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

82

Podstawowe struktury sieci filtrów NOI (IIR)

Postać bezpośrednia typu I

Wynika wprost z równania różnicowego:

[ ] [ ]∑∑MN

knyalnxbny ][ [ ] [ ]∑∑==

−−−=k

kl

l knyalnxbny00

][

z−1

x n[ ] y n[ ]

z−1

z−1

z−1

b0

b1

b2

−a1

−a2NM =

Graf filtru przy założeniu, że

z−1z−1

2

bN

2

−aM

Ponieważ jest to układ LTI, można zamienić gałęzie miejscami

‘ Andrzej Kotyra

x n[ ] y n[ ]

z−1

z−1

b0

b1

b2

z−1

z−1

−a1

−a2

x n[ ] y n[ ]b0

b1

b2

z−1

z−1

−a1

−a2

Postać bezpośrednia typu II

z−1

2

bN

z−1

2

−aM

b2

bN

z−1

a2

−aM

Jest to struktura kanoniczna − w oparciu o taką strukturę potrzeba minimalną liczbę

układów opóźniających, sumatorów i układów mnożących.

Jeśli M = N, wówczas w strukturze kanonicznej występuje N, opóźnień, 2N, operacji dodawania oraz 2N + 1mnożeń.Transponowanie grafu − w grafie o jednym wejściu i jednym wyjściu jeśli zamianie ulegnie

wejście z wyjściem oraz kierunki we wszystkich gałęziach grafu ulegną odwróceniu, wówczas transmitancja opisana tym grafem nie ulegnie zmianie → struktura odwrócona II

‘ Andrzej Kotyra

Page 164: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

82

Podstawowe struktury sieci filtrów NOI (IIR)

Postać bezpośrednia typu I

Wynika wprost z równania różnicowego:

[ ] [ ]∑∑MN

knyalnxbny ][ [ ] [ ]∑∑==

−−−=k

kl

l knyalnxbny00

][

z−1

x n[ ] y n[ ]

z−1

z−1

z−1

b0

b1

b2

−a1

−a2NM =

Graf filtru przy założeniu, że

z−1z−1

2

bN

2

−aM

Ponieważ jest to układ LTI, można zamienić gałęzie miejscami

‘ Andrzej Kotyra

x n[ ] y n[ ]

z−1

z−1

b0

b1

b2

z−1

z−1

−a1

−a2

x n[ ] y n[ ]b0

b1

b2

z−1

z−1

−a1

−a2

Postać bezpośrednia typu II

z−1

2

bN

z−1

2

−aM

b2

bN

z−1

a2

−aM

Jest to struktura kanoniczna − w oparciu o taką strukturę potrzeba minimalną liczbę

układów opóźniających, sumatorów i układów mnożących.

Jeśli M = N, wówczas w strukturze kanonicznej występuje N, opóźnień, 2N, operacji dodawania oraz 2N + 1mnożeń.Transponowanie grafu − w grafie o jednym wejściu i jednym wyjściu jeśli zamianie ulegnie

wejście z wyjściem oraz kierunki we wszystkich gałęziach grafu ulegną odwróceniu, wówczas transmitancja opisana tym grafem nie ulegnie zmianie → struktura odwrócona II

‘ Andrzej Kotyra

Page 165: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

83

x n[ ] y n[ ]b0

b1

b2

z−1

z−1

−a1

−a2

Odwrócona struktura

bezpośrednia typu II dla M = N

Każdą transmitancję można

bNz−1

−aM

przedstawić w postaci:

( ) ( )( )

( )( ) MN

zd

zczXzYzH M

i i

N

i i ≤−

−==

∏∏

=−

=−

1

1

11

11

Zera i bieguny (zespolone) występują w parach sprzężonych, zatem lepiej zastosować czynniki stopnia drugiego

( ) ( )( ) NM

zzz

zzzz

zXzYzH

K

k kk

kkK

kkk

kk =++

++=

++++

== ∑∏=

−−

= −−

−−

11

11

22

11

110

01 22

11

22

11

ααγγγ

ααββ

K jest częścią całkowitą liczby M /2

struktura kaskadowa struktura równoległa

‘ Andrzej Kotyra

x n[ ] y n[ ]

b0

b1 1

b2 2

z−1

z−1

−a1 1

−a2 1

b1 K

b2 K

z−1

z−1

−a1 K

−a2 K

struktura kaskadowa

x n[ ]

b0

γ1 1z−1

z−1

−a1 1

−a2 1

γ1 0

γ0

y n[ ]

struktura równoległa

γ1 Kz−1

z−1

−a1 K

−a2 K

γ1 K

‘ Andrzej Kotyra

Page 166: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

83

x n[ ] y n[ ]b0

b1

b2

z−1

z−1

−a1

−a2

Odwrócona struktura

bezpośrednia typu II dla M = N

Każdą transmitancję można

bNz−1

−aM

przedstawić w postaci:

( ) ( )( )

( )( ) MN

zd

zczXzYzH M

i i

N

i i ≤−

−==

∏∏

=−

=−

1

1

11

11

Zera i bieguny (zespolone) występują w parach sprzężonych, zatem lepiej zastosować czynniki stopnia drugiego

( ) ( )( ) NM

zzz

zzzz

zXzYzH

K

k kk

kkK

kkk

kk =++

++=

++++

== ∑∏=

−−

= −−

−−

11

11

22

11

110

01 22

11

22

11

ααγγγ

ααββ

K jest częścią całkowitą liczby M /2

struktura kaskadowa struktura równoległa

‘ Andrzej Kotyra

x n[ ] y n[ ]

b0

b1 1

b2 2

z−1

z−1

−a1 1

−a2 1

b1 K

b2 K

z−1

z−1

−a1 K

−a2 K

struktura kaskadowa

x n[ ]

b0

γ1 1z−1

z−1

−a1 1

−a2 1

γ1 0

γ0

y n[ ]

struktura równoległa

γ1 Kz−1

z−1

−a1 K

−a2 K

γ1 K

‘ Andrzej Kotyra

Page 167: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

84

Podstawowe struktury sieci filtrów SOI (FIR) - nierekursywnych

( ) ( )( ) ∑

=

−==1

0

N

i

ii zb

zXzYzHTransmitancja nierekursywnego filtru SOI:

Niech oznacza odpowiedź impulsową filtru. Transformata Z tego filtru jest jednocześnie transmitancją układu:

( )nhtransmitancją układu:

( ) ( )( ) ( )∑

=

−⋅==N

i

izihzXzYzH

0

elementami filtru nierekursywnego jest ciąg odpowiedzi impulsowej

( ) ( ) ( )∑−

=

−⋅=1

0

N

i

inxihny Sygnał wyjściowy jest splotem jego współczynników i sygnału wejściowego

struktura bezpośrednia filtru SOI

( ) ( )∏=

−− ⋅+⋅+=K

kkkk zzzH

1

22

100 βββ

struktura kaskadowa filtru SOI

‘ Andrzej Kotyra

x n[ ] z−1

h(0) h(1)

z−1 z−1

h(2) h N( 2)− h N( 1)−

struktura bezpośrednia filtru SOI

y n[ ]

x n[ ]

y n[ ]z−1

βz−1

β

β0 1 β0 2

z−1

β

β0 K

struktura kaskadowa filtru SOI

z−1

β1 1

β2 1

z−1

β1 2

β2 2

z−1

β1 K

β2 K

‘ Andrzej Kotyra

Page 168: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

84

Podstawowe struktury sieci filtrów SOI (FIR) - nierekursywnych

( ) ( )( ) ∑

=

−==1

0

N

i

ii zb

zXzYzHTransmitancja nierekursywnego filtru SOI:

Niech oznacza odpowiedź impulsową filtru. Transformata Z tego filtru jest jednocześnie transmitancją układu:

( )nhtransmitancją układu:

( ) ( )( ) ( )∑

=

−⋅==N

i

izihzXzYzH

0

elementami filtru nierekursywnego jest ciąg odpowiedzi impulsowej

( ) ( ) ( )∑−

=

−⋅=1

0

N

i

inxihny Sygnał wyjściowy jest splotem jego współczynników i sygnału wejściowego

struktura bezpośrednia filtru SOI

( ) ( )∏=

−− ⋅+⋅+=K

kkkk zzzH

1

22

100 βββ

struktura kaskadowa filtru SOI

‘ Andrzej Kotyra

x n[ ] z−1

h(0) h(1)

z−1 z−1

h(2) h N( 2)− h N( 1)−

struktura bezpośrednia filtru SOI

y n[ ]

x n[ ]

y n[ ]z−1

βz−1

β

β0 1 β0 2

z−1

β

β0 K

struktura kaskadowa filtru SOI

z−1

β1 1

β2 1

z−1

β1 2

β2 2

z−1

β1 K

β2 K

‘ Andrzej Kotyra

Page 169: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

85

struktura filtru SOI z próbkami częstotliwości

( ) ( )( ) ( )∏ ∑

=

=−

−−==

1

0

1

01

1

11

N

k

N

k k

kn zz

AzzzXzYzH

Transmitancję filtru można wyrazić za pomocą wielomianu Lagrange’a, interpolującego transmitancję filtru w postaci:

( )( )∏

−−−

= 1

0

11N

nnk

kk

zz

zHA

≠=0

mnn

( )10 −≤≤ Nnzn stanowi N dowolnie wybranych punktów na płaszczyźnie zespolonej

Jeżeli punkty są równomiernie rozmieszczone na okręgu jednostkowym: nz

1 , .... ,2 ,0 e 2 −== ⋅ Nnz Nnjn

π

( ) ( )NN

k

Nnj zz −−

=

− −=−∏ 1e11

0

21 π ( )( )

( ) ( )NzH

zzzzHzzzHA kN

k

zzkNk

zzkkkk

=−

−=

−= −

→−−

∏ 11lim

1

1lim1

11

1

( )zzn

n−=

∏ 10

( ) ( )( ) ( ) ( ) ( )∑∑∏ ∑

=−

−−

=−

−−

=

=−

−−

=−

−=

−−==

1

021

1

021

21

0

1

01

1

e11

e1e1

11

N

kNj

NN

kNj

NjNN

k

N

k k

kn z

kHNz

zH

Nz

zzAzz

zXzYzH ππ

π

‘ Andrzej Kotyra

struktura z próbkami częstotliwości

‘ Andrzej Kotyra

Page 170: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

85

struktura filtru SOI z próbkami częstotliwości

( ) ( )( ) ( )∏ ∑

=

=−

−−==

1

0

1

01

1

11

N

k

N

k k

kn zz

AzzzXzYzH

Transmitancję filtru można wyrazić za pomocą wielomianu Lagrange’a, interpolującego transmitancję filtru w postaci:

( )( )∏

−−−

= 1

0

11N

nnk

kk

zz

zHA

≠=0

mnn

( )10 −≤≤ Nnzn stanowi N dowolnie wybranych punktów na płaszczyźnie zespolonej

Jeżeli punkty są równomiernie rozmieszczone na okręgu jednostkowym: nz

1 , .... ,2 ,0 e 2 −== ⋅ Nnz Nnjn

π

( ) ( )NN

k

Nnj zz −−

=

− −=−∏ 1e11

0

21 π ( )( )

( ) ( )NzH

zzzzHzzzHA kN

k

zzkNk

zzkkkk

=−

−=

−= −

→−−

∏ 11lim

1

1lim1

11

1

( )zzn

n−=

∏ 10

( ) ( )( ) ( ) ( ) ( )∑∑∏ ∑

=−

−−

=−

−−

=

=−

−−

=−

−=

−−==

1

021

1

021

21

0

1

01

1

e11

e1e1

11

N

kNj

NN

kNj

NjNN

k

N

k k

kn z

kHNz

zH

Nz

zzAzz

zXzYzH ππ

π

‘ Andrzej Kotyra

struktura z próbkami częstotliwości

‘ Andrzej Kotyra

Page 171: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

86

Projektowanie filtrów NOI

( ) ( )( ) ( )

∑∑

=

=

−∞

= +=⋅== M

k

kk

N

l

ll

n

n za

zbznh

zXzYzH

1

0

0 1

Transmitancja filtru NOI

h(n) – odpowiedź impulsowa filtru NOI

Odpowiedź będzie nieskończona w czasie, jeżeli przynajmniej

1) jeden ze współczynników mianownika ak będzie różny od zera,2) nie wszystkie bieguny mianownika zostaną skompensowane przez zera licznika

transmitancji

Metody projektowania filtrów NOI

Na podstawie wzorca analogowego –przekształcenie filtru analogowego o transmitancji Ha(s) w filtr cyfrowy o transmitancji H (z)

Bezpośrednia synteza transmitancji H (z)filtru cyfrowego – na podstawie pożądanej odpowiedzi impulsowej lub charaktery-styki częstotliwościowej

‘ Andrzej Kotyra

Projektowanie filtrów NOI na podstawie wzorca analogowego

Metoda polega na takim przekształceniu płaszczyzny s w płaszczyznę z, aby ze stabilnego filtru analogowego uzyskać stabilny filtr cyfrowy, przy czym:

osi urojonej na płaszczyźnie s odpowiada okrąg jednostkowy na płaszczyźnie zlewej półpłaszczyźnie s odpowiada wnętrze koła o promieniu jednostkowym na płaszczyźnie z

Cel ten można (najczęściej) uzyskać stosując metody:niezmienności odpowiedzi impulsowejtransformacji biliniowej (dwuliniowej)

Metoda niezmienności odpowiedzi impulsowej

Odpowiedź impulsowa filtru cyfrowego stanowią próbki odpowiedzi impulsowej filtru analogowego.Zakładając, że transmitancja filtru analogowego posiada M pojedynczych biegunów, oraz rząd licznika transmitancji < rząd mianownika, transmitancję filtru analogowego Ha(s) można rozłożyć na ułamki proste:

( ) ∑= −

=M

k k

ka ds

csH1

( ) ( )kadsk dssHck

−⋅=→lim, gdzie:

‘ Andrzej Kotyra

Page 172: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

86

Projektowanie filtrów NOI

( ) ( )( ) ( )

∑∑

=

=

−∞

= +=⋅== M

k

kk

N

l

ll

n

n za

zbznh

zXzYzH

1

0

0 1

Transmitancja filtru NOI

h(n) – odpowiedź impulsowa filtru NOI

Odpowiedź będzie nieskończona w czasie, jeżeli przynajmniej

1) jeden ze współczynników mianownika ak będzie różny od zera,2) nie wszystkie bieguny mianownika zostaną skompensowane przez zera licznika

transmitancji

Metody projektowania filtrów NOI

Na podstawie wzorca analogowego –przekształcenie filtru analogowego o transmitancji Ha(s) w filtr cyfrowy o transmitancji H (z)

Bezpośrednia synteza transmitancji H (z)filtru cyfrowego – na podstawie pożądanej odpowiedzi impulsowej lub charaktery-styki częstotliwościowej

‘ Andrzej Kotyra

Projektowanie filtrów NOI na podstawie wzorca analogowego

Metoda polega na takim przekształceniu płaszczyzny s w płaszczyznę z, aby ze stabilnego filtru analogowego uzyskać stabilny filtr cyfrowy, przy czym:

osi urojonej na płaszczyźnie s odpowiada okrąg jednostkowy na płaszczyźnie zlewej półpłaszczyźnie s odpowiada wnętrze koła o promieniu jednostkowym na płaszczyźnie z

Cel ten można (najczęściej) uzyskać stosując metody:niezmienności odpowiedzi impulsowejtransformacji biliniowej (dwuliniowej)

Metoda niezmienności odpowiedzi impulsowej

Odpowiedź impulsowa filtru cyfrowego stanowią próbki odpowiedzi impulsowej filtru analogowego.Zakładając, że transmitancja filtru analogowego posiada M pojedynczych biegunów, oraz rząd licznika transmitancji < rząd mianownika, transmitancję filtru analogowego Ha(s) można rozłożyć na ułamki proste:

( ) ∑= −

=M

k k

ka ds

csH1

( ) ( )kadsk dssHck

−⋅=→lim, gdzie:

‘ Andrzej Kotyra

Page 173: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

87

( ) 0 ,e1

≥= ∑=

tcthM

k

tdk

k odpowiedź impulsowa filtru analogowego

( ) K 2, 1, 0, ,e1

== ∑=

ncnThM

k

nTdk

k spróbkowana z odstępem T odpowiedź impulsowa filtru

( )∞∞∞ MMM c( ) ( ) ( ) ∑∑∑∑∑∑

=−

=

== =

=

−==⋅=⋅=

kTd

k

n

nTd

kk

n k

nnTdk

n

n

zczczcznThzH

k

kk

11

0

1

10 10 e1ee

( ) ∑= −

=M

k k

ka ds

csH1

Transmitancję filtru cyfrowego H(z) można uzyskać na podstawie transmitancji filtru analogowego Ha(s) wykonując podstawienie mianownika, o ile transmitancję Ha(s) można rozłożyć na ułamki proste:proste:

1e1 −−→− zds Tdk

k

biegun o wartości d na płaszczyźnie zespolonej s przechodzi w biegun edT na płaszczyźnie zespolonej z (zer to nie dotyczy)

‘ Andrzej Kotyra

Jeżeli bieguny są zespolone sprzężone parami, wówczas współczynniki ck będą zespolone i sprzężone

11*

*

*

e1e1 −− −+

−→

−+

− zc

zc

dsc

dsc

Tdk

Tdk

k

k

k

k

kk

Jeżeli odpowiedź impulsowa filtru analogowego o charakterystyce Ha(s) zostanie spróbkowana, wówczas charakterystyka filtru cyfrowego wynosi:

( ) ∑∞

−∞=⎟⎠⎞

⎜⎝⎛ −=

ka

j kT

jjHT

H πωω 21e

Aby nie zachodziło zjawisko aliasingu, musi zachodzić warunek:

( )T

jHaπωω >= 0 dla

Metody niezmienności odpowiedzi impulsowej nie można stosować do przekształcania ó t filt l fgórnoprzepustowego filtru analogowego w cyfrowy.

W celu zachowania wzmocnienia, na ogół przyjmuje się, że transmitancja projektowanego filtru cyfrowego z wykorzystaniem wzorca analogowego metodą niezmienności odpowiedzi impulsowej ma postać:

( ) ∑=

−−⋅

=M

kTdk

zecTzHk

111

‘ Andrzej Kotyra

Page 174: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

87

( ) 0 ,e1

≥= ∑=

tcthM

k

tdk

k odpowiedź impulsowa filtru analogowego

( ) K 2, 1, 0, ,e1

== ∑=

ncnThM

k

nTdk

k spróbkowana z odstępem T odpowiedź impulsowa filtru

( )∞∞∞ MMM c( ) ( ) ( ) ∑∑∑∑∑∑

=−

=

== =

=

−==⋅=⋅=

kTd

k

n

nTd

kk

n k

nnTdk

n

n

zczczcznThzH

k

kk

11

0

1

10 10 e1ee

( ) ∑= −

=M

k k

ka ds

csH1

Transmitancję filtru cyfrowego H(z) można uzyskać na podstawie transmitancji filtru analogowego Ha(s) wykonując podstawienie mianownika, o ile transmitancję Ha(s) można rozłożyć na ułamki proste:proste:

1e1 −−→− zds Tdk

k

biegun o wartości d na płaszczyźnie zespolonej s przechodzi w biegun edT na płaszczyźnie zespolonej z (zer to nie dotyczy)

‘ Andrzej Kotyra

Jeżeli bieguny są zespolone sprzężone parami, wówczas współczynniki ck będą zespolone i sprzężone

11*

*

*

e1e1 −− −+

−→

−+

− zc

zc

dsc

dsc

Tdk

Tdk

k

k

k

k

kk

Jeżeli odpowiedź impulsowa filtru analogowego o charakterystyce Ha(s) zostanie spróbkowana, wówczas charakterystyka filtru cyfrowego wynosi:

( ) ∑∞

−∞=⎟⎠⎞

⎜⎝⎛ −=

ka

j kT

jjHT

H πωω 21e

Aby nie zachodziło zjawisko aliasingu, musi zachodzić warunek:

( )T

jHaπωω >= 0 dla

Metody niezmienności odpowiedzi impulsowej nie można stosować do przekształcania ó t filt l fgórnoprzepustowego filtru analogowego w cyfrowy.

W celu zachowania wzmocnienia, na ogół przyjmuje się, że transmitancja projektowanego filtru cyfrowego z wykorzystaniem wzorca analogowego metodą niezmienności odpowiedzi impulsowej ma postać:

( ) ∑=

−−⋅

=M

kTdk

zecTzHk

111

‘ Andrzej Kotyra

Page 175: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

88

Metoda wykorzystująca transformację biliniową

Metoda transformacji biliniowej pozwala na uniknięcie problemów związanych z aliasingiem

Im s

j T3 /π

j Tπ/

Im z każdy pas o szerokości jest przekształcany w

całą płaszczyznę zTπ2

Re s

− πj T/

− πj T3 /

Re z

Im s Im s’

przekształcenie płaszczyzny s w płaszczyznę z dla metody niezmienności odpowiedzi impulsowej

zss →→ 'Transformacja biliniowa:

Niejednoznaczność

Re s Re s’

− πj T/

j Tπ/

‘ Andrzej Kotyra

⎟⎠⎞

⎜⎝⎛=

2arctgh

2

' sTTs⎟⎠⎞

⎜⎝⎛=

2arctgh2' sT

Ts ⎟⎟

⎞⎜⎜⎝

⎛=

2tgh2 'Ts

Ts

( )zT

sz Ts ln1 e ''

=⇒=podstawiamy: ( )⎟⎠⎞

⎜⎝⎛=

2lntgh2 z

Ts

xxx 2e1ee −−( )

12ln2

12e12 −⋅−z

z

ponieważ: ( ) xxxx 2e1e1

eeeetgh −− +

−=

+−

= ( ) 12

ln2

2

112

e1

e12−⋅− +

−⋅=

+

−⋅=

zz

TTs z

( )( )1

1

112

+−

=zz

Ts

( )( )sT

sTz−+

=22

Ω+→ js σ

⇒( )( )

Ω++=

jTz σ2 ⇒Ω++

=jT

zσ2

j ( )Ω−− jT σ2 Ω−− jT σ2

jeśli (punkty położone na osi urojonej)0=σ 1=⇒ z odwzorowanie w okrąg jednostkowy

jeśli (punkty położone w lewej półpłaszczyźnie)0<σ 1<⇒ zodwzorowanie we wnętrze okręgu jednostkowego

‘ Andrzej Kotyra

Page 176: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

88

Metoda wykorzystująca transformację biliniową

Metoda transformacji biliniowej pozwala na uniknięcie problemów związanych z aliasingiem

Im s

j T3 /π

j Tπ/

Im z każdy pas o szerokości jest przekształcany w

całą płaszczyznę zTπ2

Re s

− πj T/

− πj T3 /

Re z

Im s Im s’

przekształcenie płaszczyzny s w płaszczyznę z dla metody niezmienności odpowiedzi impulsowej

zss →→ 'Transformacja biliniowa:

Niejednoznaczność

Re s Re s’

− πj T/

j Tπ/

‘ Andrzej Kotyra

⎟⎠⎞

⎜⎝⎛=

2arctgh

2

' sTTs⎟⎠⎞

⎜⎝⎛=

2arctgh2' sT

Ts ⎟⎟

⎞⎜⎜⎝

⎛=

2tgh2 'Ts

Ts

( )zT

sz Ts ln1 e ''

=⇒=podstawiamy: ( )⎟⎠⎞

⎜⎝⎛=

2lntgh2 z

Ts

xxx 2e1ee −−( )

12ln2

12e12 −⋅−z

z

ponieważ: ( ) xxxx 2e1e1

eeeetgh −− +

−=

+−

= ( ) 12

ln2

2

112

e1

e12−⋅− +

−⋅=

+

−⋅=

zz

TTs z

( )( )1

1

112

+−

=zz

Ts

( )( )sT

sTz−+

=22

Ω+→ js σ

⇒( )( )

Ω++=

jTz σ2 ⇒Ω++

=jT

zσ2

j ( )Ω−− jT σ2 Ω−− jT σ2

jeśli (punkty położone na osi urojonej)0=σ 1=⇒ z odwzorowanie w okrąg jednostkowy

jeśli (punkty położone w lewej półpłaszczyźnie)0<σ 1<⇒ zodwzorowanie we wnętrze okręgu jednostkowego

‘ Andrzej Kotyra

Page 177: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

89

Wnioski:

transmitancja filtru cyfrowego:

nie ma aliasingu – cała oś urojona zostaje przekształcona w okrąg jednostkowy

zależność pomiędzy częstotliwością analogową Ω i cyfrową ω nie jest liniowa

( ) ( ) ( )( )1

1

112

+−

==

zTzsa sHzH

ωjzjs e , =Ω→jeśli ( )( )

( )( ) ( )2tg2

eeee2

e1e12

22

22

TT

jTT

j TjTj

TjTj

Tj

Tj

ωωω

ωω

ω

ω

=+−

=+−

=Ω −

( )2tg2 TT

ω=ΩRozbieżność pomiędzy częstotliwością analogową i cyfrową jest większa dla dużych Ω

ωπ

Ω

−π

‘ Andrzej Kotyra

Transformacje częstotliwościowe dolnoprzepustowego filtru cyfrowego

Projektowanie filtru cyfrowego na podstawie wzorca analogowego można przeprowadzić w dwojaki sposób:

dolnoprzepustowy analogowy filtr dolnoprzepustowydolnoprzepustowy wzorzec

analogowyfiltr cyfrowy

dolnoprzepustowy cyfrowy filtr dolnoprzepustowy→ cyfrowy filtr o pożądanym typie

analogowy filtr dolnoprzepustowy→ analogowy filtr o pożądanym

typie przepustowości

wzorzec analogowy

→ cyfrowy filtr o pożądanym typie przepustowości

filtr cyfrowy

Transformacja polega na podstawieniu za każdą zmienną z−1 odpowiedniego wyrażenia

‘ Andrzej Kotyra

Page 178: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

89

Wnioski:

transmitancja filtru cyfrowego:

nie ma aliasingu – cała oś urojona zostaje przekształcona w okrąg jednostkowy

zależność pomiędzy częstotliwością analogową Ω i cyfrową ω nie jest liniowa

( ) ( ) ( )( )1

1

112

+−

==

zTzsa sHzH

ωjzjs e , =Ω→jeśli ( )( )

( )( ) ( )2tg2

eeee2

e1e12

22

22

TT

jTT

j TjTj

TjTj

Tj

Tj

ωωω

ωω

ω

ω

=+−

=+−

=Ω −

( )2tg2 TT

ω=ΩRozbieżność pomiędzy częstotliwością analogową i cyfrową jest większa dla dużych Ω

ωπ

Ω

−π

‘ Andrzej Kotyra

Transformacje częstotliwościowe dolnoprzepustowego filtru cyfrowego

Projektowanie filtru cyfrowego na podstawie wzorca analogowego można przeprowadzić w dwojaki sposób:

dolnoprzepustowy analogowy filtr dolnoprzepustowydolnoprzepustowy wzorzec

analogowyfiltr cyfrowy

dolnoprzepustowy cyfrowy filtr dolnoprzepustowy→ cyfrowy filtr o pożądanym typie

analogowy filtr dolnoprzepustowy→ analogowy filtr o pożądanym

typie przepustowości

wzorzec analogowy

→ cyfrowy filtr o pożądanym typie przepustowości

filtr cyfrowy

Transformacja polega na podstawieniu za każdą zmienną z−1 odpowiedniego wyrażenia

‘ Andrzej Kotyra

Page 179: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

90

cyfrowy filtr dolnoprzepustowy → cyfrowy filtr dolnoprzepustowy

( )[ ]( )[ ]2sin

2sin ,

1 1

11

TT

zzz

up

up

⋅+⋅−

=⋅−−

→ −

−−

ωωωω

αα

α

−pω pulsacja graniczna dolnoprzepustowego filtru cyfrowego (transformowanego)−ω pożądana pulsacja granicznauω pożądana pulsacja graniczna

cyfrowy filtr dolnoprzepustowy → cyfrowy filtr górnoprzepustowy

( )[ ]( )[ ]2sin

2sin ,

1 1

11

TT

zzz

l

lp

⋅−

⋅+−=

⋅−−

→ −

−−

ωωωω

αα

α( )[ ]2sin1 Tz lp ωωα

−pω pulsacja graniczna dolnoprzepustowego filtru cyfrowego (transformowanego)−lω pożądana pulsacja graniczna

‘ Andrzej Kotyra

cyfrowy filtr dolnoprzepustowy → cyfrowy filtr pasmowoprzepustowy

( ) ( )[ ]( )[ ]2sin

2sincos ,1

12

11

11

12

012

12

1

TTT

zk

kzkk

kkz

kkz

zlu

lu

⋅−⋅+

==+

+⋅−

+−

+−+

+⋅−

−→−−

−−

ωωωωωαα

α

−ω pulsacja graniczna dolnoprzepustowego filtru cyfrowego (transformowanego)

( )[ ] ( )2tg2ctg TTk plu ⋅⋅⋅−= ωωω

pω pulsacja graniczna dolnoprzepustowego filtru cyfrowego (transformowanego)

−ul ωω , dolna pulsacja graniczna, górna pulsacja graniczna −lω pulsacja środkowa pasma przepustowego

cyfrowy filtr dolnoprzepustowy → cyfrowy filtr pasmowozaporowy

( ) ( )[ ]( )[ ]2i

2sincos ,2111

12

0

12

1

TTTkk

kkz

kkz

z lu ⋅+==+

−−+⋅−

−−

− ωωωαα

α

( ) ( )[ ]2sin11

211 0

12 Tzk

kzkk

lu ⋅−++⋅−

+−− −− ωωα

−pω pulsacja graniczna dolnoprzepustowego filtru cyfrowego (transformowanego)

−ul ωω , dolna pulsacja graniczna, górna pulsacja graniczna

( )[ ] ( )2tg2ctg TTk plu ⋅⋅⋅−= ωωω

−lω pulsacja środkowa pasma zaporowego

‘ Andrzej Kotyra

Page 180: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

90

cyfrowy filtr dolnoprzepustowy → cyfrowy filtr dolnoprzepustowy

( )[ ]( )[ ]2sin

2sin ,

1 1

11

TT

zzz

up

up

⋅+⋅−

=⋅−−

→ −

−−

ωωωω

αα

α

−pω pulsacja graniczna dolnoprzepustowego filtru cyfrowego (transformowanego)−ω pożądana pulsacja granicznauω pożądana pulsacja graniczna

cyfrowy filtr dolnoprzepustowy → cyfrowy filtr górnoprzepustowy

( )[ ]( )[ ]2sin

2sin ,

1 1

11

TT

zzz

l

lp

⋅−

⋅+−=

⋅−−

→ −

−−

ωωωω

αα

α( )[ ]2sin1 Tz lp ωωα

−pω pulsacja graniczna dolnoprzepustowego filtru cyfrowego (transformowanego)−lω pożądana pulsacja graniczna

‘ Andrzej Kotyra

cyfrowy filtr dolnoprzepustowy → cyfrowy filtr pasmowoprzepustowy

( ) ( )[ ]( )[ ]2sin

2sincos ,1

12

11

11

12

012

12

1

TTT

zk

kzkk

kkz

kkz

zlu

lu

⋅−⋅+

==+

+⋅−

+−

+−+

+⋅−

−→−−

−−

ωωωωωαα

α

−ω pulsacja graniczna dolnoprzepustowego filtru cyfrowego (transformowanego)

( )[ ] ( )2tg2ctg TTk plu ⋅⋅⋅−= ωωω

pω pulsacja graniczna dolnoprzepustowego filtru cyfrowego (transformowanego)

−ul ωω , dolna pulsacja graniczna, górna pulsacja graniczna −lω pulsacja środkowa pasma przepustowego

cyfrowy filtr dolnoprzepustowy → cyfrowy filtr pasmowozaporowy

( ) ( )[ ]( )[ ]2i

2sincos ,2111

12

0

12

1

TTTkk

kkz

kkz

z lu ⋅+==+

−−+⋅−

−−

− ωωωαα

α

( ) ( )[ ]2sin11

211 0

12 Tzk

kzkk

lu ⋅−++⋅−

+−− −− ωωα

−pω pulsacja graniczna dolnoprzepustowego filtru cyfrowego (transformowanego)

−ul ωω , dolna pulsacja graniczna, górna pulsacja graniczna

( )[ ] ( )2tg2ctg TTk plu ⋅⋅⋅−= ωωω

−lω pulsacja środkowa pasma zaporowego

‘ Andrzej Kotyra

Page 181: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

91

Projektowanie filtrów NOI na podstawie bezpośredniej syntezy transmitancji

Metoda ta pozwala na syntezę dowolnego kształtu charakterystyki amplitudowej – w odróżnieniu do metody wykorzystującej wzorzec analogowy.

Możliwe są dwa podejścia:

synteza w dziedzinie częstotliwościsynteza w dziedzinie czasu

Metoda wykorzystująca syntezę w dziedzinie częstotliwości

Metoda ta polega na wyznaczeniu wektora θ współczynników transmitancji H(z) poszukiwanego filtru, jeżeli znanych jest M wartości pożądanej charakterystyki amplitudowej |Hd(ejω)| dla dyskretnych pulsacji ωi (i = 1, 2, ... M)

Należy zminimalizować błąd średniokwadratowy poszukiwanej aproksymacji charakterystyki:

( ) ( ) ( )( )∑=

−=M

i

jd

j ii HHE1

2ee ωωθ

‘ Andrzej Kotyra

Istotnym jest wybór struktury filtru → najdogodniejsza jest struktura kaskadowa ze względu

na małą wrażliwość położenia biegunów transmitancji na zmianę współczynników mianownika :

( ) ∏=

−−

−−

++++

=K

k kk

kk

zdzczbzaAzH

121

21

11,θ

Wektor θ zawiera 4K+1 elementów: [A a b c d a b c d ]Wektor θ zawiera 4K+1 elementów: [A, a1, b1 , c1 , d1 , ... , aK, bK , cK , dK]

Funkcja błędu zależy liniowo tylko od A, → można obliczyć pochodną cząstkową błędu

względem i na tej podstawie wyznaczyć wartość optymalną dla której błąd jest minimalny:

A~A

( ) ( )

( )∑

∑=

⋅= M

j

M

i

jd

j

i

ii

H

HHA

2

11

e

e,e~

Φ

Φ

ω

ωω

( )∑=i

j iH1

1 ,e Φ

Φ jest wektorem [ a1, b1 , c1 , d1 , ... , aK, bK , cK , dK]

Poszczególne (optymalne) współczynniki wektora θ można wyznaczyć poprzez

znalezienie ekstremów funkcji błędu – metody iteracyjne.

‘ Andrzej Kotyra

Page 182: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

91

Projektowanie filtrów NOI na podstawie bezpośredniej syntezy transmitancji

Metoda ta pozwala na syntezę dowolnego kształtu charakterystyki amplitudowej – w odróżnieniu do metody wykorzystującej wzorzec analogowy.

Możliwe są dwa podejścia:

synteza w dziedzinie częstotliwościsynteza w dziedzinie czasu

Metoda wykorzystująca syntezę w dziedzinie częstotliwości

Metoda ta polega na wyznaczeniu wektora θ współczynników transmitancji H(z) poszukiwanego filtru, jeżeli znanych jest M wartości pożądanej charakterystyki amplitudowej |Hd(ejω)| dla dyskretnych pulsacji ωi (i = 1, 2, ... M)

Należy zminimalizować błąd średniokwadratowy poszukiwanej aproksymacji charakterystyki:

( ) ( ) ( )( )∑=

−=M

i

jd

j ii HHE1

2ee ωωθ

‘ Andrzej Kotyra

Istotnym jest wybór struktury filtru → najdogodniejsza jest struktura kaskadowa ze względu

na małą wrażliwość położenia biegunów transmitancji na zmianę współczynników mianownika :

( ) ∏=

−−

−−

++++

=K

k kk

kk

zdzczbzaAzH

121

21

11,θ

Wektor θ zawiera 4K+1 elementów: [A a b c d a b c d ]Wektor θ zawiera 4K+1 elementów: [A, a1, b1 , c1 , d1 , ... , aK, bK , cK , dK]

Funkcja błędu zależy liniowo tylko od A, → można obliczyć pochodną cząstkową błędu

względem i na tej podstawie wyznaczyć wartość optymalną dla której błąd jest minimalny:

A~A

( ) ( )

( )∑

∑=

⋅= M

j

M

i

jd

j

i

ii

H

HHA

2

11

e

e,e~

Φ

Φ

ω

ωω

( )∑=i

j iH1

1 ,e Φ

Φ jest wektorem [ a1, b1 , c1 , d1 , ... , aK, bK , cK , dK]

Poszczególne (optymalne) współczynniki wektora θ można wyznaczyć poprzez

znalezienie ekstremów funkcji błędu – metody iteracyjne.

‘ Andrzej Kotyra

Page 183: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

92

Metoda wykorzystująca syntezę w dziedzinie czasu

( ) MM

NN

zazazaazbzbzbbzH −−−

−−−

++++++++

=K

K2

21

10

22

110Niech H(z) oznacza transmitancję filtru NOI:

Metoda polega na wyznaczeniu współczynników ai bi, aby uzyskana w ten sposób odpowiedź impulsowa h(k) aproksymowała rzeczywistą odpowiedź impulsowa hd(k) z minimalnym błędem średniokwadratowym:

( ) ( )[ ]∑−

=

−=1

0

2K

kd khkhε

h(k) jest nieliniową funkcją ai bi → do ich wyznaczenia wykorzystuje się metody iteracyjne

Rozwiązanie może prowadzić do rozwiązania niestabilnego,Algorytm (Prony’ego) zaimplementowany jest w pakiecie MATLAB

‘ Andrzej Kotyra

Projektowanie filtrów SOI

Metoda okien czasowych

Metoda próbkowania w dziedzinie częstotliwości

Metody optymalne (w sensie Czebyszewa najmniejszych kwadratów)

Najczęściej stosowane metody projektowania filtrów cyfrowych SOI

Metody optymalne (w sensie Czebyszewa, najmniejszych kwadratów)

Metoda okien czasowych

Charakterystyka częstotliwościowa dowolnego filtru cyfrowego Hd(jω) jest okresowa, zatem

może być przedstawiona w postaci szeregu Fouriera:

( )∞

( )∞

( ) ( )∑−∞=

−=n

njd

jd nhH ωω ee ( ) ( )∑

−∞=

−=n

njjdd dHnh ωωω ee

odpowiedź impulsowa jest nieskończona w czasieodpowiedź zaczyna się w −ω

‘ Andrzej Kotyra

Page 184: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

92

Metoda wykorzystująca syntezę w dziedzinie czasu

( ) MM

NN

zazazaazbzbzbbzH −−−

−−−

++++++++

=K

K2

21

10

22

110Niech H(z) oznacza transmitancję filtru NOI:

Metoda polega na wyznaczeniu współczynników ai bi, aby uzyskana w ten sposób odpowiedź impulsowa h(k) aproksymowała rzeczywistą odpowiedź impulsowa hd(k) z minimalnym błędem średniokwadratowym:

( ) ( )[ ]∑−

=

−=1

0

2K

kd khkhε

h(k) jest nieliniową funkcją ai bi → do ich wyznaczenia wykorzystuje się metody iteracyjne

Rozwiązanie może prowadzić do rozwiązania niestabilnego,Algorytm (Prony’ego) zaimplementowany jest w pakiecie MATLAB

‘ Andrzej Kotyra

Projektowanie filtrów SOI

Metoda okien czasowych

Metoda próbkowania w dziedzinie częstotliwości

Metody optymalne (w sensie Czebyszewa najmniejszych kwadratów)

Najczęściej stosowane metody projektowania filtrów cyfrowych SOI

Metody optymalne (w sensie Czebyszewa, najmniejszych kwadratów)

Metoda okien czasowych

Charakterystyka częstotliwościowa dowolnego filtru cyfrowego Hd(jω) jest okresowa, zatem

może być przedstawiona w postaci szeregu Fouriera:

( )∞

( )∞

( ) ( )∑−∞=

−=n

njd

jd nhH ωω ee ( ) ( )∑

−∞=

−=n

njjdd dHnh ωωω ee

odpowiedź impulsowa jest nieskończona w czasieodpowiedź zaczyna się w −ω

‘ Andrzej Kotyra

Page 185: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

93

Rozwiązanie problemu:

☺ ucięcie nieskończonej odpowiedzi:

( )( )

⎩⎨⎧

>

≤≤−=

MnMnMnh

nh d

, 0 ,

efekt Gibbsa

☺ przesunięcie o M otrzymanej odpowiedzi → odpowiedź jest wówczas przyczynowa

☺ zastosowanie

jednego z okien czasowych → w(n)

→→

Typ okna Max. szerokość listka głównego

Szerokość listka głównego (pulsacja znorm.)

Szerokość pasma przejściowego (pulsacja znorm.)

Minimalne tłumienie filtru w paśmie zaporowym [dB]

Prostokątne −13 4π/N 0,9/N −21

( ) ( ) ( )nhnwnh d⋅=Odpowiedź impulsowa projektowanego filtru →

von Hanna −31 8π/N 3,1/N −44

Hamminga −41 8π/N 3,3/N −53

Blackamana (exact)

−57 12π/N 5,5/N−74

‘ Andrzej Kotyra

Etapy projektowania filtru SOI metodą okien czasowych:

1. Opisać pożądany filtr w dziedzinie częstotliwości → Hd(ejω). Uwzględnić należy zależności fazową → Zazwyczaj przyjmowana jest zależność liniowa, można przyjąć

fazę zerową.2 Obliczyć odpowiedź impulsową filtru → ( ) ( )∑

∞jj2. Obliczyć odpowiedź impulsową filtru →

3. Uciąć otrzymaną odpowiedź impulsową → przyjąć Ν. Jeżeli przyjęto fazę zerową należy przesunąć odpowiedź impulsową w prawo o → przyczynowość

4. Wyznaczyć charakterystykę częstotliwościową (FFT) z wyznaczonych współczynników filtru (uzupełnionych zerami)

5. Jeżeli zaprojektowany filtr nie spełnia założeń, należy zwiększyć Ν (pasmo

( ) ( )∑−∞=

−=n

njjdd dHnh ωωω ee

2/N

przejściowe jest zbyt szerokie) lub zmienić okno (jeśli tłumienie w paśmie jest za małe)

6. Powtarzać pkt. 4 i 5, aż wymagania projektowe zostaną spełnione.

‘ Andrzej Kotyra

Page 186: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

93

Rozwiązanie problemu:

☺ ucięcie nieskończonej odpowiedzi:

( )( )

⎩⎨⎧

>

≤≤−=

MnMnMnh

nh d

, 0 ,

efekt Gibbsa

☺ przesunięcie o M otrzymanej odpowiedzi → odpowiedź jest wówczas przyczynowa

☺ zastosowanie

jednego z okien czasowych → w(n)

→→

Typ okna Max. szerokość listka głównego

Szerokość listka głównego (pulsacja znorm.)

Szerokość pasma przejściowego (pulsacja znorm.)

Minimalne tłumienie filtru w paśmie zaporowym [dB]

Prostokątne −13 4π/N 0,9/N −21

( ) ( ) ( )nhnwnh d⋅=Odpowiedź impulsowa projektowanego filtru →

von Hanna −31 8π/N 3,1/N −44

Hamminga −41 8π/N 3,3/N −53

Blackamana (exact)

−57 12π/N 5,5/N−74

‘ Andrzej Kotyra

Etapy projektowania filtru SOI metodą okien czasowych:

1. Opisać pożądany filtr w dziedzinie częstotliwości → Hd(ejω). Uwzględnić należy zależności fazową → Zazwyczaj przyjmowana jest zależność liniowa, można przyjąć

fazę zerową.2 Obliczyć odpowiedź impulsową filtru → ( ) ( )∑

∞jj2. Obliczyć odpowiedź impulsową filtru →

3. Uciąć otrzymaną odpowiedź impulsową → przyjąć Ν. Jeżeli przyjęto fazę zerową należy przesunąć odpowiedź impulsową w prawo o → przyczynowość

4. Wyznaczyć charakterystykę częstotliwościową (FFT) z wyznaczonych współczynników filtru (uzupełnionych zerami)

5. Jeżeli zaprojektowany filtr nie spełnia założeń, należy zwiększyć Ν (pasmo

( ) ( )∑−∞=

−=n

njjdd dHnh ωωω ee

2/N

przejściowe jest zbyt szerokie) lub zmienić okno (jeśli tłumienie w paśmie jest za małe)

6. Powtarzać pkt. 4 i 5, aż wymagania projektowe zostaną spełnione.

‘ Andrzej Kotyra

Page 187: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

94

Metoda próbkowania w dziedzinie częstotliwości

Metoda próbkowania w dziedzinie częstotliwości polega na:

określeniu pożądanej charakterystyki częstotliwościowej (prototypu charakterystyki) → H(z),

spróbkowaniu H(z) w N punktach,wyznaczeniu współczynników struktury równoległej filtru albo znalezieniu wyznaczeniu współczynników struktury równoległej filtru albo znalezieniu

odpowiedzi czasowej projektowanego filtru (odwrotna DTF) → zastosowanie

typowej struktury SOI.

Istotą metody jest takie kształtowanie pasma przejściowego, aby zwiększyć tłumienie w paśmie zaporowym

( ) ( )∑−

=−

−⋅

−=

1

021 e1

1 N

kNj

dN

zkH

NzzH πTransmitancja filtru z próbkami częstotliwości →

H (k) → próbki częstotliwości rozłożone równomiernie na okręgu jednostkowym:Hd(k) → próbki częstotliwości rozłożone równomiernie na okręgu jednostkowym:

( ) ( )Nk

jdd HkH

πω

ω

2e

==

( )( ) ( ) ( )

( )∑−

=

−⋅=

1

0

21

2sin2sineee

N

k

Nkjd

Njj

NkNkH

NH

πωωωω

ω

‘ Andrzej Kotyra

Zazwyczaj projektantowi zależy na liniowej zależności od fazy

( ) ( ) ( )kjdd kHkH θe⋅= 1 , .... ,2 ,0 −= Nk

1. charakterystyka amplitudowa → symetryczna (względem

osi rzędnych) ; 2. charakterystyka fazowa → antysymetryczna (symetria

względem początku układu współrzędnych)

Jeżeli współczynniki filtrusą rzeczywiste →

Ad1. ( ) ( )kNHkH dd −= 1 , .... ,2 ,0 −= Nk

‘ Andrzej Kotyra

Page 188: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

94

Metoda próbkowania w dziedzinie częstotliwości

Metoda próbkowania w dziedzinie częstotliwości polega na:

określeniu pożądanej charakterystyki częstotliwościowej (prototypu charakterystyki) → H(z),

spróbkowaniu H(z) w N punktach,wyznaczeniu współczynników struktury równoległej filtru albo znalezieniu wyznaczeniu współczynników struktury równoległej filtru albo znalezieniu

odpowiedzi czasowej projektowanego filtru (odwrotna DTF) → zastosowanie

typowej struktury SOI.

Istotą metody jest takie kształtowanie pasma przejściowego, aby zwiększyć tłumienie w paśmie zaporowym

( ) ( )∑−

=−

−⋅

−=

1

021 e1

1 N

kNj

dN

zkH

NzzH πTransmitancja filtru z próbkami częstotliwości →

H (k) → próbki częstotliwości rozłożone równomiernie na okręgu jednostkowym:Hd(k) → próbki częstotliwości rozłożone równomiernie na okręgu jednostkowym:

( ) ( )Nk

jdd HkH

πω

ω

2e

==

( )( ) ( ) ( )

( )∑−

=

−⋅=

1

0

21

2sin2sineee

N

k

Nkjd

Njj

NkNkH

NH

πωωωω

ω

‘ Andrzej Kotyra

Zazwyczaj projektantowi zależy na liniowej zależności od fazy

( ) ( ) ( )kjdd kHkH θe⋅= 1 , .... ,2 ,0 −= Nk

1. charakterystyka amplitudowa → symetryczna (względem

osi rzędnych) ; 2. charakterystyka fazowa → antysymetryczna (symetria

względem początku układu współrzędnych)

Jeżeli współczynniki filtrusą rzeczywiste →

Ad1. ( ) ( )kNHkH dd −= 1 , .... ,2 ,0 −= Nk

‘ Andrzej Kotyra

Page 189: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

95

( )

( )⎪⎪⎪

⎪⎪⎪

−+=⎟⎠⎞

⎜⎝⎛ −

=

−=⎟⎠⎞

⎜⎝⎛ −

=

1 , 1,2 ,2

122 ,0

12 , 1, 0, ,2

12

NNkNkNN

Nk

NkNkN

K

K

π

π

ωθ jeśli N jest parzyste

jeśli N jest nieparzyste( )( )

⎪⎪⎩

⎪⎪⎨

−+

=⎟⎠⎞

⎜⎝⎛ −

−=⎟

⎠⎞

⎜⎝⎛ −

−=

1 , ,2

1 0, ,2

122

1 , 1, 0, ,2

12

NNkNkNN

NkNkN

K

K

π

π

ωθ

( ) ( ) ( ) ( )( ) ⎥

⎤⎢⎣

⎡+−

−+

++

−−

= ∑−

=−−

−−

− 12

021

1

11

0

2cos211

1e

1e1 N

kk

jd

jd

N

zzNkzB

zH

zH

NzzH

π

π

stąd:

( ) ( )[ ]NknkHB dk 1cos2 −⋅= π

‘ Andrzej Kotyra

Metoda optymalna w sensie Czebyszewa (algorytm Remeza)

Najczęściej stosowany algorytm projektowania filtrów cyfrowych

Aproksymacji podlega pożądana charakterystyka amplitudowa → Hd(Ω).

Minimalizowana jest funkcja błędu → ε(Ω) określona następująco:

( ) ( ) ( ) ( )∑=

Ω−Ω⋅Ω=ΩM

ndn HncW

0cosε

W(Ω) → dowolna dodatnia funkcja wagowa

Istnieje zawsze zbiór pulsacji taka że, funkcja błędu ε(Ω) dla tych { }221 , , , +ΩΩΩ MK

Ω → pulsacja znormalizowana

probkωω

wartości przyjmuje wartości tylko ±ε (ale nie wiadomo jaki i dlatego trzeba go wyznaczyć){ }221 +M

( ) ( ) ( ) ( ) εmM

nmdmnm HncW 1cos

0−=Ω−Ω⋅Ω ∑

=

‘ Andrzej Kotyra

Page 190: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

95

( )

( )⎪⎪⎪

⎪⎪⎪

−+=⎟⎠⎞

⎜⎝⎛ −

=

−=⎟⎠⎞

⎜⎝⎛ −

=

1 , 1,2 ,2

122 ,0

12 , 1, 0, ,2

12

NNkNkNN

Nk

NkNkN

K

K

π

π

ωθ jeśli N jest parzyste

jeśli N jest nieparzyste( )( )

⎪⎪⎩

⎪⎪⎨

−+

=⎟⎠⎞

⎜⎝⎛ −

−=⎟

⎠⎞

⎜⎝⎛ −

−=

1 , ,2

1 0, ,2

122

1 , 1, 0, ,2

12

NNkNkNN

NkNkN

K

K

π

π

ωθ

( ) ( ) ( ) ( )( ) ⎥

⎤⎢⎣

⎡+−

−+

++

−−

= ∑−

=−−

−−

− 12

021

1

11

0

2cos211

1e

1e1 N

kk

jd

jd

N

zzNkzB

zH

zH

NzzH

π

π

stąd:

( ) ( )[ ]NknkHB dk 1cos2 −⋅= π

‘ Andrzej Kotyra

Metoda optymalna w sensie Czebyszewa (algorytm Remeza)

Najczęściej stosowany algorytm projektowania filtrów cyfrowych

Aproksymacji podlega pożądana charakterystyka amplitudowa → Hd(Ω).

Minimalizowana jest funkcja błędu → ε(Ω) określona następująco:

( ) ( ) ( ) ( )∑=

Ω−Ω⋅Ω=ΩM

ndn HncW

0cosε

W(Ω) → dowolna dodatnia funkcja wagowa

Istnieje zawsze zbiór pulsacji taka że, funkcja błędu ε(Ω) dla tych { }221 , , , +ΩΩΩ MK

Ω → pulsacja znormalizowana

probkωω

wartości przyjmuje wartości tylko ±ε (ale nie wiadomo jaki i dlatego trzeba go wyznaczyć){ }221 +M

( ) ( ) ( ) ( ) εmM

nmdmnm HncW 1cos

0−=Ω−Ω⋅Ω ∑

=

‘ Andrzej Kotyra

Page 191: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

96

( ) ( ) ( )( ) ( ) ( )

( ) ( ) ( ) ( )( ) ( ) ( ) ( )

( )( )

( )( )⎥

⎥⎥⎥⎥⎥

⎢⎢⎢⎢⎢⎢

ΩΩ

ΩΩ

=

⎥⎥⎥⎥⎥⎥

⎢⎢⎢⎢⎢⎢

⎥⎥⎥⎥⎥⎥

⎢⎢⎢⎢⎢⎢

Ω−ΩΩΩ−ΩΩ

Ω−ΩΩΩΩΩ

++

+++ 1

2

1

1

0

1111

222

111

1coscos11coscos1

1coscos11coscos1

Md

d

d

MM

MM

MM

HH

HH

c

cc

WMWM

WMWM

MM

L

L

MMOMM

L

L

ε

Etapy algorytmu Remeza:

1. Należy przyjąć wejściowy zbiór pulsacji

2. Rozwiązać układ równań, obliczając c0, c1, ... cM oraz ε

3. Sprawdzić, czy amplituda oscylacji błędu jest większa niż ε. Jeśli tak to koniec obliczeń

{ }221 , , , +ΩΩΩ MK

( ) ( ) ( ) ( ) ( )⎥⎦⎢⎣ Ω⎥⎦⎢⎣⎦⎣ Ω−ΩΩ ++++ 2222 1coscos1 MdMMM HWML ε

4. Wyznaczenie nowego zbioru pulsacji dla których funkcja błędu posiada ekstrema. Powrót do pkt. 2.

{ }221 , , , +ΩΩΩ MK

Nowe wartości można wyznaczyć techniką interpolacji Lagrange’a { }221 , , , +ΩΩΩ MK

‘ Andrzej Kotyra

przetwarzanie dźwięku

Procesory sygnałowe

obszary zastosowań

- zmiana w dziedzinie częstotliwości (korektory graficzne) i fazy (regulatory panoramiczne)

- efekty specjalne (echo, pogłos, dodawanie głębi), - systemy dźwięku wielokoanałowego- usuwanie echa (telefony komórkowe, łączność cyfrowa)- kodowanie (CD, MP3, telefon i radio cyfrowe)- systemy rozpoznawania i syntezy mowy- systemy aktywnego wyciszania – usuwanie hałasu - echolokacja i lokalizacja bierna: sonary ultradźwiękowe, wykrywanie,

lokalizacja i identyfikacja obiektów

‘ Andrzej Kotyra

Page 192: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

96

( ) ( ) ( )( ) ( ) ( )

( ) ( ) ( ) ( )( ) ( ) ( ) ( )

( )( )

( )( )⎥

⎥⎥⎥⎥⎥

⎢⎢⎢⎢⎢⎢

ΩΩ

ΩΩ

=

⎥⎥⎥⎥⎥⎥

⎢⎢⎢⎢⎢⎢

⎥⎥⎥⎥⎥⎥

⎢⎢⎢⎢⎢⎢

Ω−ΩΩΩ−ΩΩ

Ω−ΩΩΩΩΩ

++

+++ 1

2

1

1

0

1111

222

111

1coscos11coscos1

1coscos11coscos1

Md

d

d

MM

MM

MM

HH

HH

c

cc

WMWM

WMWM

MM

L

L

MMOMM

L

L

ε

Etapy algorytmu Remeza:

1. Należy przyjąć wejściowy zbiór pulsacji

2. Rozwiązać układ równań, obliczając c0, c1, ... cM oraz ε

3. Sprawdzić, czy amplituda oscylacji błędu jest większa niż ε. Jeśli tak to koniec obliczeń

{ }221 , , , +ΩΩΩ MK

( ) ( ) ( ) ( ) ( )⎥⎦⎢⎣ Ω⎥⎦⎢⎣⎦⎣ Ω−ΩΩ ++++ 2222 1coscos1 MdMMM HWML ε

4. Wyznaczenie nowego zbioru pulsacji dla których funkcja błędu posiada ekstrema. Powrót do pkt. 2.

{ }221 , , , +ΩΩΩ MK

Nowe wartości można wyznaczyć techniką interpolacji Lagrange’a { }221 , , , +ΩΩΩ MK

‘ Andrzej Kotyra

przetwarzanie dźwięku

Procesory sygnałowe

obszary zastosowań

- zmiana w dziedzinie częstotliwości (korektory graficzne) i fazy (regulatory panoramiczne)

- efekty specjalne (echo, pogłos, dodawanie głębi), - systemy dźwięku wielokoanałowego- usuwanie echa (telefony komórkowe, łączność cyfrowa)- kodowanie (CD, MP3, telefon i radio cyfrowe)- systemy rozpoznawania i syntezy mowy- systemy aktywnego wyciszania – usuwanie hałasu - echolokacja i lokalizacja bierna: sonary ultradźwiękowe, wykrywanie,

lokalizacja i identyfikacja obiektów

‘ Andrzej Kotyra

Page 193: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

97

przetwarzanie obrazu

- regulacja parametrów (barwa, nasycenie, kontrast)- usuwanie szumu (kamery, aparaty cyfrowe)- PIP („obraz w obrazie”) w TV- elementy montażu nieliniowego (przejścia między scenami, dodawanie

napisów)- przechwytywanie i zatrzymywanie- kodowanie/kompresja (JPG, DIVX)- rozpoznawanie obrazów (medycyna, systemy widzenia maszynowego)- synteza obrazu (w prostszych systemach graficznych)- zmiana parametrów obrazu - rozdzielczość, interpolacja

‘ Andrzej Kotyra

Cechy szczególne procesów sygnałowych

o Intensywne obliczenia numeryczne wykonywane w petlacho Istotna jest jakość obliczeń numerycznych (reprezentacja liczb), co odzwierciedla podział na:

• procesory stałoprzecinkoweprocesory stałoprzecinkowe • procesory zmiennoprzecinkowe

o Wysoka przepustowość pamięcio Praca w czasie rzeczywistym

Powyższe wymagania powinny zostać spełnione przy minimalizacji:kosztówzużycia energiiilości niezbędnej pamięciczasu projektowania i wdrożenia

‘ Andrzej Kotyra

Page 194: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

97

przetwarzanie obrazu

- regulacja parametrów (barwa, nasycenie, kontrast)- usuwanie szumu (kamery, aparaty cyfrowe)- PIP („obraz w obrazie”) w TV- elementy montażu nieliniowego (przejścia między scenami, dodawanie

napisów)- przechwytywanie i zatrzymywanie- kodowanie/kompresja (JPG, DIVX)- rozpoznawanie obrazów (medycyna, systemy widzenia maszynowego)- synteza obrazu (w prostszych systemach graficznych)- zmiana parametrów obrazu - rozdzielczość, interpolacja

‘ Andrzej Kotyra

Cechy szczególne procesów sygnałowych

o Intensywne obliczenia numeryczne wykonywane w petlacho Istotna jest jakość obliczeń numerycznych (reprezentacja liczb), co odzwierciedla podział na:

• procesory stałoprzecinkoweprocesory stałoprzecinkowe • procesory zmiennoprzecinkowe

o Wysoka przepustowość pamięcio Praca w czasie rzeczywistym

Powyższe wymagania powinny zostać spełnione przy minimalizacji:kosztówzużycia energiiilości niezbędnej pamięciczasu projektowania i wdrożenia

‘ Andrzej Kotyra

Page 195: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

98

x n[ ] z−1

h(0) h(1)

z−1 z−1

h(2) h N( 2)− h N( 1)−

Przykład: Struktury filtrów są powtarzalne, np

SOI – s. bezpośrednia

x n[ ] y n[ ]

b0

b1 1z−1

−a1 1 b1 Kz−1

−a1 K

NOI – s. kaskadowa

y n[ ]

( ) ( ) ( ) ( ) ( )

b2 2z−1

−a2 1 b2 Kz−1

−a2 K

Zazwyczaj elementarna iteracja przy realizacji filtrów cyfrowych składa się z:

dwóch operacji czytania z pamięci

mnożeniaZ-1

mnożenia

akumulowania

zapis z opóźnieniem do pamięci

‘ Andrzej Kotyra

Realizacja filtru FIR w architekturze Von Neumanna

Problemy:loop:

mov *r0, x01 0

• Stosunkowo niska przepustowość

pamięci

• Powolna operacja mnożenia

• Duży nakład na adresowanie i kontrolę

kodu

mov *r1, x0mpy x0, y0, aadd a, bmov y0, *r2inc r0inc r1inc r2dec ctrdec ctrtst ctrjnz loop

‘ Andrzej Kotyra

Page 196: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

98

x n[ ] z−1

h(0) h(1)

z−1 z−1

h(2) h N( 2)− h N( 1)−

Przykład: Struktury filtrów są powtarzalne, np

SOI – s. bezpośrednia

x n[ ] y n[ ]

b0

b1 1z−1

−a1 1 b1 Kz−1

−a1 K

NOI – s. kaskadowa

y n[ ]

( ) ( ) ( ) ( ) ( )

b2 2z−1

−a2 1 b2 Kz−1

−a2 K

Zazwyczaj elementarna iteracja przy realizacji filtrów cyfrowych składa się z:

dwóch operacji czytania z pamięci

mnożeniaZ-1

mnożenia

akumulowania

zapis z opóźnieniem do pamięci

‘ Andrzej Kotyra

Realizacja filtru FIR w architekturze Von Neumanna

Problemy:loop:

mov *r0, x01 0

• Stosunkowo niska przepustowość

pamięci

• Powolna operacja mnożenia

• Duży nakład na adresowanie i kontrolę

kodu

mov *r1, x0mpy x0, y0, aadd a, bmov y0, *r2inc r0inc r1inc r2dec ctrdec ctrtst ctrjnz loop

‘ Andrzej Kotyra

Page 197: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

99

Realizacja filtru FIR w procesorze TMS32010

LT X4 ;Load T with x(n-4); ( )MPY H4 ;P=H4*X4LTD X3 ;Load T with x(n-3); x(n-4)= x(n-3)

;Acc = Acc + PMPY H3 ;P=H3*X3

LTD X2MPY H2

...

‘ Andrzej Kotyra

Porównanie procesorów DSP i ogólnego przeznaczenia

DSP Procesor ogólnego przeznaczenia

Pamięćprogramu

Procesor

• architektura harwardzka• 2-4 dostępy do pamięci w 1 cyklu• Brak pamięci podręcznej SRAM• Dedykowane bloki generujące adres

• architektura Von Neumanna• 1 dostęp /cykl (typowo)• Używanie pamięci SRAM• Instrukcje ogólnego przeznaczenia

Pamięćdanych

Procesor Procesor Pamięć

• Dedykowane bloki generujące adres• Specjalizowane tryby adresowania

(autoinkrementacja, modulo, odwracanie bitów (FFT))

• Instrukcje ogólnego przeznaczenia• Zazwyczaj brak osobnych bloków

generacji adresu• Tryby adresowania ogólnego

przeznaczenia

‘ Andrzej Kotyra

Page 198: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

99

Realizacja filtru FIR w procesorze TMS32010

LT X4 ;Load T with x(n-4); ( )MPY H4 ;P=H4*X4LTD X3 ;Load T with x(n-3); x(n-4)= x(n-3)

;Acc = Acc + PMPY H3 ;P=H3*X3

LTD X2MPY H2

...

‘ Andrzej Kotyra

Porównanie procesorów DSP i ogólnego przeznaczenia

DSP Procesor ogólnego przeznaczenia

Pamięćprogramu

Procesor

• architektura harwardzka• 2-4 dostępy do pamięci w 1 cyklu• Brak pamięci podręcznej SRAM• Dedykowane bloki generujące adres

• architektura Von Neumanna• 1 dostęp /cykl (typowo)• Używanie pamięci SRAM• Instrukcje ogólnego przeznaczenia

Pamięćdanych

Procesor Procesor Pamięć

• Dedykowane bloki generujące adres• Specjalizowane tryby adresowania

(autoinkrementacja, modulo, odwracanie bitów (FFT))

• Instrukcje ogólnego przeznaczenia• Zazwyczaj brak osobnych bloków

generacji adresu• Tryby adresowania ogólnego

przeznaczenia

‘ Andrzej Kotyra

Page 199: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

100

Najważniejsze rodziny procesorów DSP

- Texas Instruments: - stałoprzecinkowe 16-bitowe: TMSC32-2xx- zmiennoprzecinkowe 32-bitowe:

TMSC320 6xxTMSC320-6xx- dedykowane: TMS24xx, TMS28xx

- Analog Devices: - stałoprzecinkowe 16-bitowe: ADSP21xx- zmiennoprzecinkowe 32-bitowe:

ADSP21xxx- dedykowane: ADMCx01, ADMC2199x

- Motorola: t ł i k 24 bit DSP56- stałoprzecinkowe 24-bitowe: DSP56xxx

- mikroprocesory z dodatkową jednostką DSP: - jednostka MACC, architektura Harvard

‘ Andrzej Kotyra

Elementy procesora sygnałowego:

- układy arytmetyczno-logiczne ALU dostosowane do szybkiego wykonywania działań typu mnożenie i dodawanie (jednostka Multiple and Accumulate MACC)

- operowanie na sygnałach rzeczywistych (stało lub zmiennoprzecinkowych)p yg y y ( p y )- mechanizmy nasycania wyniku, zaokrąglania- mechanizmy do szybkiego indeksowania wektorów (tablic) i macierzy- szybka jednostka przesuwająca bity (Shifter) głównie procesory

stałoprzecinkowe- elementy wejścia i wyjścia (I/O): przetworniki analogowo/cyfrowe i

cyfrowo/analogowe, szybkie interfejsy szeregowe,- szybka pamięć typu Harvard

j d tk t j (CPU) t i l k t i- sprawna jednostka przetwarzająca (CPU) - często zwielokrotnione jednostki ALU

‘ Andrzej Kotyra

Page 200: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

100

Najważniejsze rodziny procesorów DSP

- Texas Instruments: - stałoprzecinkowe 16-bitowe: TMSC32-2xx- zmiennoprzecinkowe 32-bitowe:

TMSC320 6xxTMSC320-6xx- dedykowane: TMS24xx, TMS28xx

- Analog Devices: - stałoprzecinkowe 16-bitowe: ADSP21xx- zmiennoprzecinkowe 32-bitowe:

ADSP21xxx- dedykowane: ADMCx01, ADMC2199x

- Motorola: t ł i k 24 bit DSP56- stałoprzecinkowe 24-bitowe: DSP56xxx

- mikroprocesory z dodatkową jednostką DSP: - jednostka MACC, architektura Harvard

‘ Andrzej Kotyra

Elementy procesora sygnałowego:

- układy arytmetyczno-logiczne ALU dostosowane do szybkiego wykonywania działań typu mnożenie i dodawanie (jednostka Multiple and Accumulate MACC)

- operowanie na sygnałach rzeczywistych (stało lub zmiennoprzecinkowych)p yg y y ( p y )- mechanizmy nasycania wyniku, zaokrąglania- mechanizmy do szybkiego indeksowania wektorów (tablic) i macierzy- szybka jednostka przesuwająca bity (Shifter) głównie procesory

stałoprzecinkowe- elementy wejścia i wyjścia (I/O): przetworniki analogowo/cyfrowe i

cyfrowo/analogowe, szybkie interfejsy szeregowe,- szybka pamięć typu Harvard

j d tk t j (CPU) t i l k t i- sprawna jednostka przetwarzająca (CPU) - często zwielokrotnione jednostki ALU

‘ Andrzej Kotyra

Page 201: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

101

Pierwsza generacja DSP (1982) Texas Instruments TMS32010

Struktura pamięci

• Architektura harwardzkaArchitektura harwardzka,

• Stałopozycyjny, 16 bitowy,

• Specjalizowany zbiór instrukcji,

• 390ns czasu trwania operacji MAC (mnożenie i akumulacja)

(obecnie ok. 228ns)

‘ Andrzej Kotyra

Druga generacja DSP (1987) np. Motorola DSP56001, ADSP-2100 (Analog Devices), TMS320C50 (Texas Instruments)

Struktura pamięci• 24 bitowa długość danych, instrukcji,

• Podział pamięci na 3 części (X, Y, P)

• Sprzętowa realizacji pętli (jedno- i wielokrotnych)

• adresowanie modulo

• 75ns czasu trwania operacji MAC

(obecnie ok. 21ns)

‘ Andrzej Kotyra

Page 202: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

101

Pierwsza generacja DSP (1982) Texas Instruments TMS32010

Struktura pamięci

• Architektura harwardzkaArchitektura harwardzka,

• Stałopozycyjny, 16 bitowy,

• Specjalizowany zbiór instrukcji,

• 390ns czasu trwania operacji MAC (mnożenie i akumulacja)

(obecnie ok. 228ns)

‘ Andrzej Kotyra

Druga generacja DSP (1987) np. Motorola DSP56001, ADSP-2100 (Analog Devices), TMS320C50 (Texas Instruments)

Struktura pamięci• 24 bitowa długość danych, instrukcji,

• Podział pamięci na 3 części (X, Y, P)

• Sprzętowa realizacji pętli (jedno- i wielokrotnych)

• adresowanie modulo

• 75ns czasu trwania operacji MAC

(obecnie ok. 21ns)

‘ Andrzej Kotyra

Page 203: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

102

Trzecia generacja DSP (1995) np. Motorola DSP56301, TMS320C541 (Texas Instruments)

• dalsze ulepszenie konwencjonalnej struktury procesora DSP

• Zasilanie 3,0V lub 3,3V

• Więcej pamięci w strukturze

• Zastosowanie specyficznych dla obszaru zastosowań dodatkowych

bloków funkcjonalnych (koprocesory)

• Zaawansowane narzędzia uruchomieniowe (debugowanie)

• 20ns czasu trwania operacji MAC (obecnie ok. 10ns)

• Wiele procesorów na pojedynczej strukturze krzemowej – np.

Motorola MC68356, TI TMS320C80

‘ Andrzej Kotyra

Czwarta generacja DSP (1997 - 1998) TMS320C6201/6701 (Texas Instruments), ZSP16401, MMX Pentium

• SIMD (Single Instruction, Multiple Data) (np. MMX, AltiVec, MDMX)

• VLIW (Very Long Instruction Word)

• Więcej pamięci w strukturze

• Zastosowanie specyficznych dla obszaru zastosowań dodatkowych bloków

funkcjonalnych (koprocesory)

• Zaawansowane narzędzia uruchomieniowe (debugowanie)

• 20ns czasu trwania operacji MAC (obecnie ok. 10ns)

• Wiele procesorów na pojedynczej strukturze krzemowej – np. Motorola

MC68356, TI TMS320C80

‘ Andrzej Kotyra

Page 204: Cyfrowe przetwarzanie sygnałów 2008-01-16 - …polibuda.dyn.pl/id7/cyfrowe przetwarzanie sygnalow/CPS.pdf · 2008-01-16 1 Cyfrowe przetwarzanie sygnałów Dr inż. Andrzej Kotyra

2008-01-16

102

Trzecia generacja DSP (1995) np. Motorola DSP56301, TMS320C541 (Texas Instruments)

• dalsze ulepszenie konwencjonalnej struktury procesora DSP

• Zasilanie 3,0V lub 3,3V

• Więcej pamięci w strukturze

• Zastosowanie specyficznych dla obszaru zastosowań dodatkowych

bloków funkcjonalnych (koprocesory)

• Zaawansowane narzędzia uruchomieniowe (debugowanie)

• 20ns czasu trwania operacji MAC (obecnie ok. 10ns)

• Wiele procesorów na pojedynczej strukturze krzemowej – np.

Motorola MC68356, TI TMS320C80

‘ Andrzej Kotyra

Czwarta generacja DSP (1997 - 1998) TMS320C6201/6701 (Texas Instruments), ZSP16401, MMX Pentium

• SIMD (Single Instruction, Multiple Data) (np. MMX, AltiVec, MDMX)

• VLIW (Very Long Instruction Word)

• Więcej pamięci w strukturze

• Zastosowanie specyficznych dla obszaru zastosowań dodatkowych bloków

funkcjonalnych (koprocesory)

• Zaawansowane narzędzia uruchomieniowe (debugowanie)

• 20ns czasu trwania operacji MAC (obecnie ok. 10ns)

• Wiele procesorów na pojedynczej strukturze krzemowej – np. Motorola

MC68356, TI TMS320C80

‘ Andrzej Kotyra