72
Government Investment in Heterogeneous Integration Jeff Demmin Sr. Lead Scientist Booz Allen Hamilton September 11, 2019 [email protected] Image courtesy of Athena Group

Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

  • Upload
    others

  • View
    4

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

1

Government Investment in Heterogeneous Integration

Jeff Demmin

Sr. Lead Scientist

Booz Allen Hamilton

September 11, 2019

[email protected]

Image courtesy of Athena Group

Page 2: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

2

Government Investment in Heterogeneous Integration

▪ Past

−Background and history

−DAHI (Diverse Accessible Heterogeneous Integration)

▪ Present

−CHIPS (Common Heterogeneous Integration and IP Reuse

Strategies)

−ERI (Electronics Resurgence Initiative)

▪ Future

−Security and Trust Issues

−MINSEC (Microelectronics Innovation for National Security and

Economic Competitiveness)

−SHIP (State of the art Heterogeneous Integrated Packaging)

Page 3: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

Distribution Statement 3

Past

Page 4: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

No “Perfect” Material

Parameter Why? Unit Si GaAs InP1 GaN2 COSMOS / DAHI

Electron Mobility

Carrier velocity

103 cm2/V·s 1.4 8.5 12 <1 InP

Vpeak Transit time 107 cm/s 1 2 2.5 2.5 InP / GaN

EBK

Voltage swing

105 V/cm 5.7 6.4 4 40 GaN

Eg

Charge density

eV 1.12 1.42 0.74 3.4 GaN

Heat

removalW/cm·K 1.3 0.5 0.05 2.9 GaN / Si

MaturityCircuit

complexityExcellent Good OK Limited

Si + GaN + InP

(heterogeneous)

DARPA Investment ~$100M ~$600M ~$200M ~$300M ~$180M

ProgramsPortions of

GRATE, ADRT, LPE, and TEAM

MIMIC

SWIFT, TFAST, THz Electronics,

SMART

GaN Title III, WBGS-RF, NEXT, MPC, NJTT

COSMOS, DAHI

Materials and device parameters favor a diversity of semiconductors

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited) 4

device materials integration

1. InGaAs channel

2. SiC substrate

Page 5: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

5

End of Moore’s Law?

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Moore’s Law

Source: Electronics Magazine, Economist.com

Changes in silicon industry will be felt by compound semiconductors

Page 6: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

6

Moore’s paper INCLUDES Heterogeneous Integration

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 7: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

7

Why Heterogeneous Integration?

Best of breed technology

ADC

PA

DAC

LNA

~~

Si CMOS/SiGe BiCMOSInP HBTs/HEMTsGaN HEMTsRF MEMS/High-Q passives

Modular design

Density of integration

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)Sources: DARPA, UCSD

Page 8: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

8

DARPA’s long history of innovation in integration

E-PHI

CHIPS

VISA

ASEM

3D-IC

InP chiplet

Si base chip

HICM2M1 3 um Pitch

Silicon

InPBCB

MOABB

Si

1990s 2000s 2020s2010s

ASEM: Application Specific Electronic ModulesE-PHI: Electronic-Photonic Heterogeneous IntegrationVISA: Vertically Integrated Sensor ArraysCOSMOS: Compound Semiconductor Materials on SiliconDAHI: Diverse Accessible Heterogeneous IntegrationMOABB: Modular Optical Aperture Building BlocksCHIPS: Common Heterogeneous Integration and IP Reuse Strategies

COSMOS

DAHI

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)Sources: DARPA, Smithsonian Chips

Page 9: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

9

Vision for Representative Transceiver: 4+ Device Technologies

ADC

PA DAC

Legend:Si CMOS/SiGe BiCMOSInP HBTs/HEMTsGaN HEMTsRF MEMS/High-Q passives

LNA

~~

Pre-select filterHigh-Q MEMS

Low noise InP or GaNwith Si-enabled

control

Tunable filterInP HBTs for high gain-bandwidth

Local oscillator

High-speed InP T/H + Si-based interleaved ADCs

High-speed, high Vbr InP HBTs;Si CMOS for static and dynamic

error correction

GaN for high Vbr;

CMOS for digital assistance and reconfigurability

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 10: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

10

Heterogeneous Integration: DAHI Broadens the Device Material Options

SiGe HBT

InP HBT

ABCS HBT

ABCS HEMT

InP HEMT

Si MOSFET

104

103

102

101

100 101 102 103 104 105 106 107 108 109 1010

Terminology: InP = indium phosphide, GaN = gallium nitride, SiGe = silicon germanium, ABCS = antimonide-based compound semiconductorHBT = heterojunction bipolar transistor, HEMT = high electron mobility transistor, CMOS = complementary metal oxide semiconductorCOSMOS = Compound Semiconductor Materials on Silicon

Number of transistors

Jo

hn

so

n F

igu

re o

f M

eri

t (G

Hz*

Vo

lt)

GaN HEMT

GaAsMESFET

Si CMOS

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

DiverseAccessibleHeterogeneousIntegration

Page 11: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

COSMOS Program Showed the Promise of Heterogeneous Integration

D/A converter

100

1000

A/D converter

Transistor-scale Integration Technology

Yield Enhancement & Circuit Integration

Advanced Circuits

10

• ~10 heterogeneous interconnects (HICs)

• ≤ 5µm HIC length and pitch

• ~5 HBTs, 4 CMOS• ~500 HICs

• ~400 HBTs, 3200 CMOS.

COSMOS

Phase I completed

COSMOS

Phase II completed

FILTER

I/2 I I 2I 4I4I4I

R-2R

D16D15D10D9D8D1D0

CLK

DEGLITCHER

SWITCH DAC

VOUT

11

• ~1800 HICS.• ~1000HBTs, 18000 CMOS

Differential amplifier

6-BITFOLDING

ADCS/H 6

6

6-BITFOLDING

ADC

6

6-BITFOLDING

ADC

6

6-BITFOLDING

ADC

S/H

S/H

S/H

S/H

S/H

6SERIAL

INTERFACE

6SERIAL

INTERFACE

6SERIAL

INTERFACE

6SERIAL

INTERFACE

23 GHzCLK

OU

TP

UT

DA

TA

(2

4 B

its @

5.7

5 G

sp

s)

TIMINGGENERATOR CALIBRATION

AIN_A

AIN_B

# o

f H

ete

rogeneous

Inte

rconnect

s

Phase II/III: Demonstrated benefits of integration of completed devices.

FY07 FY08 FY09 FY10 FY11 FY12 FY13

COSMOS

Phase III completed ✓ ✓ ✓

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

1. Developed technology for intimate integration of III-Vs and Si.

2. Demonstrated world-record capabilities with heterogeneous circuits:

a. Differential amplifier gain-bandwidth

b. DAC SFDR

3. Clarified benefits of integration processes that:

a. are scalable,b. use finished

devices, and c. leverage industry

efforts.

1Compound Semiconductor Materials on Silicon

COSMOS1:

integration Phase I Phase II/III

monolithic X (yield)

epi printing X (yield)

chiplet

✓ ✓

Page 12: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

DARPA’s DAHI program: Silicon CMOS as integration platform

MPW0 MPW1 MPW2

MPW3 in Fab

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)Sources: DARPA, Northrop Grumman

6

MPW3

Page 13: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

13

DAHI snapshot: Excellent yield, demonstrated RF performance

300mm diameter Si CMOS wafer (45nm node)

Successful testing identified optimal S/H circuit for ADC

(>65dB SFDR @ 2GHz)

DAC with very low digital noise

(-70dBc)

0

20

40

60

80

R2

C3

M0

R3

C4

M0

R4

C3

M0

R5

C4

M0

R2

C3

M0

R3

C4

M0

R4

C3

M0

R5

C4

M0

R2

C3

M0

R3

C4

M0

R4

C3

M0

R5

C4

M0

R2

C3

M1

R3

C4

M1

R4

C3

M1

R5

C4

M1

R2

C3

M0

R3

C4

M0

R4

C3

M0

R5

C4

M0

R2

C3

M0

R3

C4

M0

R4

C3

M0

R5

C4

M0

AR_2 AR_2A AR_1 AR_1A AR_1B

HIC Redundancy: None HIC Redundancy: 2x

HB

T A

rray

-B

eta

at 1

mA

Beta_812_@1mA Beta_813_@1mA Beta_814_@1mA Beta_815_@1mA Beta_862_@1mA Beta_863_@1mA Beta_864_@1mA Beta_865_@1mA Beta_872_@1mA Beta_873_@1mA Beta_874_@1mA Beta_875_@1mA

High foundry integration yields; test

vehicles fully functional

99.94% HIC yield98% HBT post-integration

DAHI integration: Si (45nm), InP (TF5 HBT), GaN (GaN20 HEMT)

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Sources: DARPA, Northrop Grumman

Page 14: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

14

DAHI MPW1: Arbitrary Waveform Generator Enabled by Heterogeneity

0.01

0.1

1

10

1 10 100

Ou

tpu

t (V

pp

)

Sampling Frequency (GHz)

Output Swing

CMOS DACsSiGe DACsGaAs DACsInP DACsDAHI

DAHIHOTSOS

> 60X

InPDAC

InPPLL

GaN

CMOS

InP + GaN + Si CMOS

Heterogeneous integration enables unprecedented

output swing

InPSwitches

CMOSCal. DACs

7

Decimate Low-Pass Filter

Buffer(16X256)

23X2

DAC(Interleaved)

Clock Gen.

3

10 GH

z

20 GH

z

5 GH

z

Mux.

adc_dither_en

atu

ne[

0:2

3]

btun

e[0

:23

]

AWG (synthesized)

2.5 GHz

1.25 G

Hz

Mux.

ClockGen.

20 GH

z

ttune[0:23]

PatternGen.

SRAMs(ECC)

625 MHz 2.5 GHz

Therm.Encode

+DEM

ADC ClockGen. and Interface

SPI/Memory

Decimation (synthesized)

23X16

2X48

12X64

23X16

12X32NCOs

12X16

Therm.To

Binary

16

ClockGen.

To Logic Analyzer

40

MH

z

1616ΣΔ-ADC

From NiDAQmx

Legend

GaN Chiplet

InP (TF5) Chiplet

CMOS 45nm SOI

Balun

Balun

18-20 GHz

12-18 GHz

GaNSwitches

Calibration Sensors(<100 MHz)

50 MHz

20 kHz

(<100 MHz)

pattern_clk

pattern_clk

dac_

cal_

lsb

_en

cal_mix

er_e

n

sclk

integrator

comparator

High-Q Passives

30 GHz PLL

cal_clk (I or Q): 15 GHz

15

GH

z

30 GHz

ΣΔ-ADC

Offset DAC

Polystrata

50 M

Hz

12-18 GHz Output

0-12 GHz Output

18-20 GHz Output

Digital Core

MUX + DAC

GaNbypass

MUX + DAC

Digital Core

InP

GaN

InP

bypass

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 15: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

15

DAHI MPW1: Dual-Band Frequency Synthesizer Demonstrates Modularity

MPW1 Q/E Dual Band Frequency Synthesizer (36 and 72 GHz)

Integration of diverse device technologies enables modular functionality.

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 16: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

DAHI simplicity enables rapid evolution

Technology MPW0 MPW1 MPW2 MPW3 Future MPWs

CMOS IBM 65nm GF 45 nm GF 45 nm GF 45 nm GF 45 nm

InP HBTTF4 (2 metals) TF4 (3 metals) TF4 (4 metals) TF4 (4 metals) TF4 (4 metals)

TF5 (3 metals) TF5 (4 metals) TF5 (4 metals) TF5 (4 metals)

InP Varactor Diode AD1

GaN HEMTGaN20 GaN20 GaN20 GaN20 GaN20

T3 (HRL) T3 (HRL) T3 (HRL) T3 (HRL) T3 (HRL)

GaAs HEMT P3K6 P3K6

Passive Components

PolyStrata (Nuvotronics)

PolyStrata (Nuvotronics)

PolyStrata(Nuvotronics)

PolyStrata(Nuvotronics)

Base SubstrateCMOS CMOS CMOS CMOS CMOS

SiC (IWP5) SiC (IWP5)

(in design)

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited) 8Sources: DARPA, Northrop Grumman

Page 17: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

17

Flavors of heterogeneous integration

CHIPS: modular design

DAHI: wafer scale

DAHI: RF power

Heterogeneous devices

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)Source: DARPA

Page 18: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

18

Metal Embedded Chip Assembly (MECA)

MECA enables heterogeneous integration with a metal interconnect platform for high-power requirements.

MECA is analogous to wafer-level fan-out

packaging technology.Si / SiGe

GaN

Al2O3/AlN

MECA-integrated heterogeneous module Sources: HRL, Solid State Technology

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 19: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

19

DAHI chip-scale phased arrays

Heterogeneous integration for mm-wave:

Phased array beamformers

• Can maintain /2 channel spacing as frequencies increase

• CMOS control circuitry closely integrated with RF chain

• Improved channel performance and efficiency with addition of III-V devices

• Fully integrated beamformer channels demonstrated with integrated InP devices and Si control electronics

• >100mW Pout Tx channel, 4.5 dB NF Rx

InP/CMOS with DBI Process

Wafer-level heterogeneous integration

InP HBT

Si CMOS

Integration schematic

DBI = Direct Bond Interconnect

Source: Teledyne Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 20: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

20

Advancing integration to the device level

Populated Stamp

Microtransfer Printing

Source: Naval Research Laboratory Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 21: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

Distribution Statement 21

Present

Page 22: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

22

Common Heterogeneous Integration and IP Reuse Strategies: CHIPS as the next step in heterogeneous integration

CHIPS: modular design

DAHI: wafer scale

DAHI: RF power

Heterogeneous devices

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)Source: DARPA

Integration technologies

Page 23: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

“Printed circuit board” invented by Paul Eisler.

Early PCB demo in a

radio.

First HVM PCBs enable

proximity fuzeduring WWII.

Patent to US Army for PCB

assembly.

IPC (Institute for Printed Circuits)

founded; standards follow.

Multi-layer PCB invented.

1936 1941 1943 1956 1957 1960 1980s 1995

Surface Mount Technology on

PCBs revolutionizes manufacturing.

HDI / Microviatechnology

enables further integration.

Integration impact driven by Standards and Modularity

DoD jump-start

2006

First package-on-package

standard from JEDEC

$-

$10,000

$20,000

$30,000

$40,000

$50,000

$60,000

$70,000Global PCB Revenue ($M)

Sources: Prismark, Arstechnica, USPTO, Wikipedia, IPC, SMTA

PCB industry sees steady expansion with DoD origins, standardization, and technology development.

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Image: Intel

20

Page 24: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

24

Modularity Already in Place at Monolithic Level

Apple A8

Sources: Cadence; ARM, Chipworks, Harvard

Modular semiconductor IP reduces chip design effort (time AND cost)

Apple iPhone processor

IP business model is based on chip modularity

IP usage grows with node progress and

design updates.

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 25: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

Fab cost for a DoD IC amortized over entire 29

year acquisition of JSF

Fab cost for commercial electronics amortized over

one day’s worth of iPhones

25

But Advanced Si is Still Expensive to Design and Fab

Source: “Cashing in with Chips” AlixPartnersSemiconductor R&D outlook report, 2014.

… which some commercial products can support …

0

50

100

150

200

250

300

350

400

450

130 90 65 45 32 22 16 10

AS

IC D

esig

n C

ost

($M

)

Technology Node (nm)

Expensive to design at advanced nodes …

© apple.com

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

… but DoD cannot.

Page 26: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

26

Conventional Assembly Has Attractive Features Too … But Isn’t Keeping Up on Pitch and Performance

Source: 2003-13 ITRS, Wikipedia

mic

rons

Need to combine speed and flexibility of packaging with pitch and performance of advanced heterogeneous device technology.

• Flexible heterogeneous integration• Quick design / manufacturing turns• Coarse pitch• Lower performance

• Monolithic process• Long design / manufacturing turns• Fine pitch• Higher performance

0.001

0.01

0.1

1

10

100

1000

10000

19

71

19

73

19

75

19

77

19

79

19

81

19

83

19

85

19

87

19

89

19

91

19

93

19

95

19

97

19

99

20

01

20

03

20

05

20

07

20

09

20

11

20

13

20

15

20

17

20

19

20

21

20

23

20

25

Packaging Flip Chip

PCB

Wire Bond

Local wiring (M1)

Process node

Silicon

Global wiring (min)

IBM C4

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 27: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

27

Conventional Assembly Has Attractive Features Too … But Isn’t Keeping Up on Pitch and Performance

Source: 2003-13 ITRS, Wikipedia

mic

rons

Need to combine speed and flexibility of packaging with pitch and performance of advanced heterogeneous device technology.

• Flexible heterogeneous integration• Quick design / manufacturing turns• Coarse pitch• Lower performance

• Monolithic process• Long design / manufacturing turns• Fine pitch• Higher performance

0.001

0.01

0.1

1

10

100

1000

10000

19

71

19

73

19

75

19

77

19

79

19

81

19

83

19

85

19

87

19

89

19

91

19

93

19

95

19

97

19

99

20

01

20

03

20

05

20

07

20

09

20

11

20

13

20

15

20

17

20

19

20

21

20

23

20

25

Packaging Flip Chip

PCB

Wire Bond

Local wiring (M1)

Process node

Silicon

Global wiring (min)

How to get both?

IBM C4

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 28: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

28

Interconnect Pitch Progress Has Lagged Projections

Source: 2003-13 ITRS

Can address the growing interconnect gap with standardized, fine pitch interconnects.

Notes:1. Dimensions in microns.2. Wire bonding:

a. Discontinuity in 2009 due to shift to Cu as mainstream wire material.b. Data is for “single in-line.” Multi-tier first appeared in 2005 roadmap.

3. Flip chip:a. Discontinuities due to shifting ITRS product/application categories.b. Data is for “GPU/CPU/Chipset” in 2007, “high-performance” in 2009, and

“cost-performance” in 2011-12. 4. PCB:

a. Data is for “cost-performance” category.b. Data is for “conventional system board” application starting in 2007.

0

10

20

30

40

50

60

20

03

20

04

20

05

20

06

20

07

20

08

20

09

20

10

20

11

20

12

20

13

20

14

20

15

20

16

20

17

20

18

20

19

20

20

20

21

20

22

20

23

20

24

20

25

20

26

Wire Bond Pitch by ITRS Vers ion

2003

2005

2007

2009

2011

2012

0

20

40

60

80

100

120

140

160

180

20

03

20

04

20

05

20

06

20

07

20

08

20

09

20

10

20

11

20

12

20

13

20

14

20

15

20

16

20

17

20

18

20

19

20

20

20

21

20

22

20

23

20

24

20

25

20

26

Fl ip Chip Area Array Pitch by ITRS Vers ion

2003

2005

2007

2009

2011

2012

0

100

200

300

400

500

600

700

800

900

20

03

20

04

20

05

20

06

20

07

20

08

20

09

20

10

20

11

20

12

20

13

20

14

20

15

20

16

20

17

20

18

20

19

20

20

20

21

20

22

20

23

20

24

20

25

20

26

PCB Solder Ball Pitch by ITRS Version

2003

2005

2007

2009

2011

2012

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 29: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

29

Interconnect Pitch Progress Has Lagged Projections

Source: 2003-13 ITRS

Can address the growing interconnect gap with standardized, fine pitch interconnects.

Notes:1. Dimensions in microns.2. Wire bonding:

a. Discontinuity in 2009 due to shift to Cu as mainstream wire material.b. Data is for “single in-line.” Multi-tier first appeared in 2005 roadmap.

3. Flip chip:a. Discontinuities due to shifting ITRS product/application categories.b. Data is for “GPU/CPU/Chipset” in 2007, “high-performance” in 2009, and

“cost-performance” in 2011-12. 4. PCB:

a. Data is for “cost-performance” category.b. Data is for “conventional system board” application starting in 2007.

0

10

20

30

40

50

60

20

03

20

04

20

05

20

06

20

07

20

08

20

09

20

10

20

11

20

12

20

13

20

14

20

15

20

16

20

17

20

18

20

19

20

20

20

21

20

22

20

23

20

24

20

25

20

26

Wire Bond Pitch by ITRS Vers ion

2003

2005

2007

2009

2011

2012

0

20

40

60

80

100

120

140

160

180

20

03

20

04

20

05

20

06

20

07

20

08

20

09

20

10

20

11

20

12

20

13

20

14

20

15

20

16

20

17

20

18

20

19

20

20

20

21

20

22

20

23

20

24

20

25

20

26

Fl ip Chip Area Array Pitch by ITRS Vers ion

2003

2005

2007

2009

2011

2012

0

100

200

300

400

500

600

700

800

900

20

03

20

04

20

05

20

06

20

07

20

08

20

09

20

10

20

11

20

12

20

13

20

14

20

15

20

16

20

17

20

18

20

19

20

20

20

21

20

22

20

23

20

24

20

25

20

26

PCB Solder Ball Pitch by ITRS Version

2003

2005

2007

2009

2011

2012

Or, performance is compromised for cost reduction, as in this shift from Au to Cu wire.

Progress is typically pushed out in subsequent roadmap updates.

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 30: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

Heterogeneous Integration: Bridging the Gap

DAHI creates integration capabilities beyond current advanced interconnect technologies.

DAHI MPW0

MEMS + ASIC

Processor + memory PoP

Stacked die (WB)

PoP memory

NAND/DRAM TSV

Processor + memory TSV

Image sensor TSV

2.5D Si interposer

Advanced PCB

Monolithic 3D NAND

F2F wafer-bond

DAHI MPW1

Technologies Integrated

1

4

1+(>1 Si)

3

2

100mm10mm1mm0.1mm

Interconnect Pitch

TSV / Wafer-scale:Immature or not well-suited for Heterogeneous Integration Interposer-based:

Scalable and flexible

Package-based:Mature and flexible, but not scalable

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 31: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

31

Many factors affect optimal integration approach:

Complicated Trade Space in Choosing Integration Approach

Source: UCSB

The die cost dominates, independent of integration approach, so chip cost reduction via IP reuse is beneficial in all scenarios.

Power

Die sizeDie sizeDie size

Cost

Die size

2D

2.5D

3D

• size of IP blocks

• % IP reuse

• licensing cost

• die size

• process yield / maturity

• relative cost of nodes

• power / cooling options

• gate count

2.5D is typically the best option for high power, high complexity designs.

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 32: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

Modularity Addresses Digital Challenges at Leading Edge

32

Increases Yield

Enables IP Reuse

Links High Bandwidth Memory to Processor

But everything is a point solution!

Xilinx Virtex-7 H580T2 FPGA slices + transceiver

Sources: EETimes / Xilinx, Extremetech / HMC, Altera/Intel

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 33: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

CHIPS will develop design tools, integration standards, and IP blocks required to demonstrate modular electronic systems that can leverage the best of DoD and commercial designs and technology.

What is CHIPS?

Today – Monolithic Tomorrow – Modular

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited) 33

CHIPS enables rapid integration of functional blocks at the chiplet level

Image: Intel

Source: DARPA

Page 34: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited) 34

Integration diversity vs. density

Interconnect Pitch

Technologies Integrated

1

100

1+(>1 Si)

10

MEMS + ASIC

Processor + memory PoP

Stacked die (WB) PoP memoryNAND/DRAM TSV

Image sensor TSV

2.5D interposer

Advanced PCB

3D NAND

Wafer-bond

300mm100mm30mm10mm3mm1mm0.3mm0.1mm

CHIPSOPPORTUNITY

Sources: Samsung, Tezzaron, Micron, Hynix, Sony, TSMC, Prismark,STATS ChipPAC, Georgia Tech, IEEE, STMicro, Finetech

Page 35: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

35

CHIPS developing interface standard

CHIPS Program Interface Standard Metrics

Data rate 10 Gpbs

Energy efficiency < 1 pJ/bit

Latency < 5 ns

Bandwidth density > 1000 Gbps/mm

CHIPS Target

Ground ref.

EMIB

Co-ax

HBM

SerDes

Differential

Single-ended

Sources:1. 2016 JSSC, Dehlaghi2. 2013 JSSC, Poulton3. 2012 JSSC, Dickson4. 2013 JSSC, Mansuri5. 2016 ECTC, Mahajan

CHIPS interface is one of many possible routes for efficient interdie communications

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 36: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited) 36

CHIPS program: structure and timing

PHASE 1 PHASE 2 PHASE 3

Interface and IP Block Demo Module Demo with IP Blocks Rapid Module Upgrade

TA1 Modular Digital Systems

TA2 Modular Analog Systems

TA3 Supporting Technologies

Interface standards Interface demo Rapid upgrade Module demo

Seeking CHIPS collaboration to help drive a common interface

Source: DARPA

Page 37: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

37

CHIPS: August 2017 Kickoff

Substrate with EMIB Option 14 AIB ports + 4 UIB ports

Stratix 10FPGA die

14nm

UIB UIB

UIB UIB

AIB

Transceiver die120nm

HBM die22nm

HBM die22nm

HBM die22nm

HBM die22nm

Std 1

AIB

Transceiver die120nm

AIB

Transceiver die120nm

AIB

Transceiver die120nm

Substrate with EMIB Option26 AIB ports

Stratix 10FPGA die

14nm

AIB

Transceiver die120nm

Std 2

AIB

Transceiver die120nm

AIB

Transceiver die120nm

AIB

Transceiver die120nm

AIB

Transceiver die120nm

AIB

Transceiver die120nm

De

sig

ns

Ch

iple

tsT

oo

ls

CHIPS Team CHIPS ApproachModularityStandards

CHIPS ResultsFast

Cost-EffectiveBest-in-Class• Boeing

• Intel• Lockheed Martin• Northrop Grumman• Univ. of Michigan

• Intrinsix• Jariet• Micron• North Carolina State• Synopsys

• Cadence• Georgia Tech

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Images sources:Lockheed Martin, Boeing, Intel, Intrinsix, Univ. of Michigan, 3GPP.org

Page 38: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

38

CHIPS Performer Summary

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)Sources: UCLA, Michigan, Georgia Tech

Manufacturing ToolsChiplets

IntelNorthrop Grumman

MicrossUCLA

JarietSynopsysMicron

IntrinsixLockheed Martin

MichiganNCSUFerric

CadenceGeorgia Tech

Page 39: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

39

Current Focus of CHIPS: Interface Standard and Manufacturing

FOUO

Page 40: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

40

CHIPS Manufacturing Research Vectors

Sources: Xilinx, UCLA

Low CostInterposers

Micron Scale Chiplet Placement

10-55um Bump & Bond

CHIPS is targeting solutions suitable for low volume (<100K units) trusted manufacturing needed for national security requirements.

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 41: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

41

CHIPS Interface Standard Based on Intel’s AIB

• AIB (Advanced Interface Bus) is a PHY-level interface standard for high bandwidth, low power die-to-die communication

• AIB is a clock-forwarded parallel data transfer like DDR DRAM

• High density with 2.5D interposer (e.g., CoWoS, EMIB) for multi-chip packaging

• AIB is PHY level (OSI Layer 1)

• Can build protocols like AXI-4 or PCI Express on top of AIB

• AIB Promoters agreed to promote AIB as a die-to-die interface standard

• Public information available from Intel at: https://intel.ly/2LISZcr

AIB Promoters:

-Boeing-Intrinsix-Synopsys-Intel-Lockheed Martin-Sandia-Jariet-NCSU-U. of Michigan

ADC/DACMachine Learning

MemoryProcessors Adjacent IP

Etc. …

Your Chiplet

AIB

Our Chiplet

AIB

AIB

AIB

Source: Intel Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 42: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

42

The CHIPS Vision: Ethernet for Chips

• Modularity: A ubiquitous chiplet interface standard “Ethernet for chiplets”

• Speed: Board manufacturing time scales (days) possible with a library of hundreds of COTS chiplets

• Performance: 1pJ/bit and 1Tbit/mm WILL disrupt the computing landscape

• Security: CHIPS disaggregation offers a pathway to high assurance electronics

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 43: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

43

DARPA MTO Electronics Resurgence Initiative

Most presentations from the July 2018 and July 2019 ERI Summits are available as links from the agenda pages:

http://www.eri-summit.com

Page 44: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

44

• ERI—creating an electronics capability that will provide a foundational contribution to national security

• Three thrust areas: Materials and Integration, Architectures, Designs

Electronics Resurgence Initiative

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 45: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

P.3

Electronics, April 19, 1965: Cramming More Components onto Integrated Circuits; Gordon Moore

New investment based on “Page 3”

VIII. DAY OF RECKONING

Clearly, we will be able to build such component-crammed equipment. Next, we ask under what circumstances we should do it. The total cost of making a particular system function must be minimized. To do so, we could amortize the engineering over several identical items, or evolve flexible techniques for the engineering of large

functions so that no disproportionate expense need

be borne by a particular array. Perhaps newly devised design automation procedures could translate from logic diagram to technological realization without any special engineering.

It may prove to be more economical to build large systems out of smaller functions, which are separately packaged and interconnected. The availability of large functions, combined with functional design and construction, should allow the manufacturer of large systems to design and construct a considerable variety of equipment both rapidly and economically.

DesignQuickly enabling

specialization

ArchitectureMaximizing specialized

functions

Materials & IntegrationAdding separately packaged novel materials and

using integration to provide specialized computing

newly devised design

automation procedures could translate from

logic diagram to technological realization

evolve flexible techniques for the

engineering of large functions

more economical to buildlarge systems out of smaller functions, which

are separately packaged

45Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Page 46: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited) 46

ERI Materials and Integration

Bill Chappell, DARPA ERI Summit, July 2018

Page 47: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

47

ERI Designs

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Bill Chappell, DARPA ERI Summit, July 2018

Page 48: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

48

ERI Architectures

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Bill Chappell, DARPA ERI Summit, July 2018

Page 49: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

49

ERI Applications

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)

Bill Chappell, DARPA ERI Summit, July 2018

Page 50: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

Distribution Statement 50

Future

Page 51: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

51

Semiconductor Packaging Landscape:

Examples of leading edge capabilities available at commercial scales

ASE

System-in-

Package in

Apple Watch

TSMC Integrated fan-out (InFO) packaging of A10 processor in iPhone7

Amkor / Ibiden / TSMC Silicon interposers and

through silicon vias (TSVs) for Xilinx FPGA

Page 52: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

52

Semiconductor Packaging Landscape:

Examples of leading edge capabilities not available to the DoD

ASE

System-in-

Package in

Apple Watch

TSMC Integrated fan-out (InFO) packaging of A10 processor in iPhone7

Amkor / Ibiden / TSMC Silicon interposers and

through silicon vias (TSVs) for Xilinx FPGA

Page 53: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

53

Electronic Manufacturing Supply Chain:

Unavoidably international, even for DoD

Page 54: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

54

Electronic Manufacturing Supply Chain:

Unavoidably international, even for DoD

Page 55: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

55

Semiconductor Assembly Example (2.5D HBM)

Source: “Start Your HBM/2.5D Design Today,” SK Hynix, Amkor, eSilicon,

Northwest Logic, Avery Design, 2016.

How many people have access

throughout the supply chain?

Page 56: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

56

Semiconductor Assembly Example (2.5D HBM):

How many people have access throughout the supply chain?

Source: “Start Your HBM/2.5D Design Today,” SK Hynix, Amkor, eSilicon,

Northwest Logic, Avery Design, 2016.

Can’t control every step

=> Need combination of trusted resources and in-line checks

Optimal solution varies by assembly flow

=>Need semiconductor packaging process knowledge

Page 57: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

57

Semiconductor Security Vulnerabilities:

Many types of threats, motivated by financial and malicious interests

Source: Mark Tehranipoor, “Counterfeit Detection and Avoidance,” April 2018.

Source: “Supply Chain Hardware Integrity for Electronics Defense (SHIELD),”

Serge Leef, Software and Supply Chain Assurance Winter Forum, Dec. 2018

Many ways to profit

via counterfeiting

Motivation

Page 58: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

58

Semiconductor Security Vulnerabilities:

Many types of threats, motivated by financial and malicious interests

Source: Mark Tehranipoor, “Counterfeit Detection and Avoidance,” April 2018.

Source: “Supply Chain Hardware Integrity for Electronics Defense (SHIELD),”

Serge Leef, Software and Supply Chain Assurance Winter Forum, Dec. 2018

Many ways to profit

via counterfeiting

Actual EffectMotivation

Page 59: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

59

Supply Chain Vulnerability:

Headline-worthy hacking – full story still TBD, but illustrates the risk

• Recent reports of malicious interdiction in Supermicro

server boards assembled in China

• Very broadly used in commercial and government systems

(Amazon, Apple, CIA, etc.)

• High functionality components miniaturized, hidden, and

disguised as other components

• Enable third party to control hardware, apparently done in

parallel with SW/FW attacks

Source: Bloomber BusinessWeek, Aug. 2018

Page 60: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

60

Government Focus on Semiconductor Packaging Security

Page 61: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

61

DARPA Microsystems Technology Office (MTO) PMs:

Areas of interest => significant interest in security / packaging

Ad

apti

bili

ty

Au

tom

atio

n

Co

mm

un

icat

ion

s

Cyb

er

Dec

entr

aliz

atio

n

Elec

tro

nic

s

EW Imag

ery

Inte

grat

ion

ISR

Lead

ersh

ip

Man

ufa

ctu

rin

g

Mat

eria

ls

Mic

rost

ruct

ure

Mic

rosy

stem

s

Pho

ton

ics

PNT

Pro

cess

ing

Qu

antu

m

Secu

rity

Sen

sors

Spec

tru

m

MTO PM Joined 2 2 2 2 1 8 3 2 3 1 1 3 5 1 5 3 2 1 1 2 5 7 Packaging Interests

Griffin, Ben Oct-18 1 1 1 1 extreme environments

Rebello, Keith Oct-18 1 1 1 1

Burke, John Aug-18 1 1 1 1 1 1

Leef, Serge Aug-18 1 1 1 1 Supply Chain, Security

Trimberger, Steve Aug-18 1 1 1 1 Security

Mason, Whitney Nov-17 1 1 1

Chen, YK Sep-17 1 1 1 1 Heterogeneous integration, materials

Keeler, Gordon Aug-17 1 1 1 1 Photonics

Polcawich, Ron Aug-17 1 1 1 1 MEMS

Olofsson, Andreas Jan-17 1 1 1 1 HI, standards, automation, manufacturing

Hancock, Tim Sep-16 1 1 1 1 Heterogeneous integration

Rondeau, Tom May-16 1 1 1

Plaks, Ken Jan-15 1 1 1 Security

Tilghman, Paul Dec-14 1 1

Lewis, Jay (DD) Nov-14 1 1 1

Salmon, Linton Sep-14 1 1 Manufacturing, foundries

Chappell, Bill (OD) Jun-14 1 1 1 1

Areas of Interest (https://www.darpa.mil/about-us/people)

Source: https://www.darpa.mil/about-us/people

Page 62: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

62

Another Approach to Trust:

SHIELD uses advanced assembly technology to verify a full assembly

Source: “Supply Chain Hardware Integrity for Electronics Defense (SHIELD),”

Serge Leef, Software and Supply Chain Assurance Winter Forum, Dec. 2018

Challenge and response to

verify presence of trusted

SHIELD dielet within

Page 63: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

63

Microelectronics Innovation for National Security and Economic

Competitiveness (MINSEC): Packaging is critical part of security

Source: “Long-Term Strategy for DoD Assured Microelectronics Needs and

Innovation for National Economic Competitiveness,” K. Baldwin, NDIA, Oct. 2018

Page 64: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

64

Microelectronics Innovation for National Security and Economic

Competitiveness (MINSEC): Packaging is critical part of security

Source: “Long-Term Strategy for DoD Assured Microelectronics Needs and

Innovation for National Economic Competitiveness,” K. Baldwin, NDIA, Oct. 2018

Threats and opportunities

concentrated at the back end

Solutions

needed

where all

pieces

come

together

Page 65: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

65

Office of the Secretary of Defense, July 2019

Source: Lisa Porter, OSD, DARPA MTO ERI Summit, July 2019

Page 66: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

66

State of the art Heterogeneous Integrated Packaging (SHIP)

Page 67: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

67

State of the art Heterogeneous Integrated Packaging (SHIP)

$25M for a

six-month

paper study!

Page 68: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

68

SHIP Advanced Prototype Capability Concept

Page 69: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

69

SHIP Capabilities

Page 70: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

70

SHIP Interposer Specs

Page 71: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

71

SHIP Assembly Specs (sample)

Page 72: Government Investment in Heterogeneous IntegrationLuncheon-Presentati… · InP chiplet Si base chip HIC M2 M1 3 um Pitch Silicon InP BCB MOABB Si 1990s 2000s 2010s 2020s ASEM: Application

72

Future of heterogeneous integration

Requires a lot of pieces coming together!

Distribution Statement “A” (Approved for Public Release, Distribution Unlimited)Source: DARPA