12
High-density plasma patterning of low dielectric constant polymers: A comparison between polytetrafluoroethylene, parylene-N, and polyarylene etherT. E. F. M. Standaert, a) P. J. Matsuo, X. Li, and G. S. Oehrlein b) Department of Physics, State University of New York at Albany, Albany, New York 12222 T.-M. Lu and R. Gutmann Rensselaer Polytechnic Institute, Troy, New York 12180 C. T. Rosenmayer and J. W. Bartz W. L. Gore & Associates, Inc., Eau Claire, Wisconsin 54701 J. G. Langan c) and W. R. Entley Electronics Division, Air Products and Chemicals, Inc., Allentown, Pennsylvania 18195 ~Received 24 November 1999; accepted 18 December 2000! The pattern transfer of SiO 2 hard masks into polytetrafluoroethylene, parylene-N, and poly~arylene ether!~PAE-2! has been characterized in an inductively coupled plasma source. Selected results obtained with blanket parylene-AF4 films are included in this work. These dielectrics offer a relatively low dielectric constant ~k;2–3! and are candidate materials for use as intra- and interlayer dielectrics for the next generations of high-speed electronic devices. Successful patterning conditions were identified for Ar/O 2 and N 2 /O 2 gas mixtures. It was found that the formation of straight sidewalls in Ar/O 2 discharges relies on the redeposition of oxygen-deficient etch products on the feature sidewall. Furthermore, the etch rates of parylene-N, parylene-F, and PAE-2 for blanket and patterned films could be captured by a semiempirical surface coverage model, which balances the adsorption rate of oxygen and the ion-induced desorption rate of oxygenated etch products. © 2001 American Vacuum Society. @DOI: 10.1116/1.1349201# I. INTRODUCTION Integration of low dielectric constant ~k! materials in up- coming generations of integrated circuits ~IC’s! becomes in- dispensable, because interconnect delays will limit the over- all performance of sub-0.25 mm devices based on conventional dielectrics and metals only. Low-k dielectrics also reduce cross-talk noise between adjacent lines. Even though many low-k materials have been introduced over the last years, only a very few are used in commercial devices. Successful integration puts high demands on thermal con- ductivity and stability, gap fill, low outgassing, production costs, and compatibility with processing steps like etching, stripping, cleaning, and chemical–mechanical polishing. Be- cause of partial compatibility with conventional oxide tech- nology, oxide-like materials were the first low-k dielectrics ~k;3–4! to be implemented in commercial devices. Some of the etching and cleaning issues for fluorinated oxide, hydro- gen silsesquioxane ~HSQ!, and methyl silsesquioxane ~MSQ! have been discussed in previous publications. 1,2 Organic ma- terials have a lower dielectric constant ( k ;2–3) and will enable the production of high-speed devices. The process flow of organic dielectrics, however, may differ significantly from the process flow developed for the integration of con- ventional metals and dielectrics. The challenge is thus to develop consistent process sequences for organic dielectrics that require minimum and low-cost modifications of the ex- isting production lines. The aim of this article is to examine the patterning of organic thin films in oxygen-based high-density plasmas (O 2 /Ar and O 2 /N 2 ). The use of oxygen in nonoptimized processes can result in serious erosion and bowing of feature sidewalls. 3–8 However, the formation of straight sidewalls is feasible in two different process windows which will be dis- cussed along with a deeper understanding of the etch mecha- nism for organic films. The studied organic dielectrics are polytetrafluoroethylene ~PTFE!, parylene-N, and poly~arylene ether!~PAE-2!. Addi- tionally, a few results will be shown on parylene-AF4. The structure of each polymer is shown in Fig. 1. Parylene-N and parylene-AF4 are both vapor-deposited films with dielectric constants of 2.7 and 2.3, respectively. Even though both polymers can be successfully integrated, integration in com- mercial devices is unlikely due to relatively high production costs. 9 PAE-2 and PTFE are deposited by spin-on and sub- sequent drying and curing techniques. 10,11 PAE-2 ( k 52.8) suffers from a relatively low glass transition temperature ~;270 °C!. Recently, Schumacher introduced VELOX as a variant on PAE-2. The chemical structures of VELOX and PAE-2 are very similar, although the glass transition tem- a! Current address: IBM Microelectronics, 2070 Route 52, Mail Stop E40, Hopewell Junction, New York 12533; electronic mail: [email protected] b! Author to whom correspondence should be addressed; current address: Department of Materials and Nuclear Engineering and Institute for Plasma Research, University of Maryland, College Park, Maryland 20742-2115; electronic mail: [email protected] c! Current address: Air Products and Chemicals, Inc., Santa Clara, California 95054. 435 435 J. Vac. Sci. Technol. A 192, MarÕApr 2001 0734-2101Õ2001Õ192Õ435Õ12Õ$18.00 ©2001 American Vacuum Society

High-density plasma patterning of low dielectric constant polymers

  • Upload
    others

  • View
    11

  • Download
    0

Embed Size (px)

Citation preview

Page 1: High-density plasma patterning of low dielectric constant polymers

High-density plasma patterning of low dielectric constant polymers:A comparison between polytetrafluoroethylene, parylene-N,and poly „arylene ether …

T. E. F. M. Standaert,a) P. J. Matsuo, X. Li, and G. S. Oehrleinb)

Department of Physics, State University of New York at Albany, Albany, New York 12222

T.-M. Lu and R. GutmannRensselaer Polytechnic Institute, Troy, New York 12180

C. T. Rosenmayer and J. W. BartzW. L. Gore & Associates, Inc., Eau Claire, Wisconsin 54701

J. G. Langanc) and W. R. EntleyElectronics Division, Air Products and Chemicals, Inc., Allentown, Pennsylvania 18195

~Received 24 November 1999; accepted 18 December 2000!

The pattern transfer of SiO2 hard masks into polytetrafluoroethylene, parylene-N, and poly~aryleneether! ~PAE-2! has been characterized in an inductively coupled plasma source. Selected resultsobtained with blanket parylene-AF4 films are included in this work. These dielectrics offer arelatively low dielectric constant~k;2–3! and are candidate materials for use as intra- andinterlayer dielectrics for the next generations of high-speed electronic devices. Successful patterningconditions were identified for Ar/O2 and N2/O2 gas mixtures. It was found that the formation ofstraight sidewalls in Ar/O2 discharges relies on the redeposition of oxygen-deficient etch productson the feature sidewall. Furthermore, the etch rates of parylene-N, parylene-F, and PAE-2 forblanket and patterned films could be captured by a semiempirical surface coverage model, whichbalances the adsorption rate of oxygen and the ion-induced desorption rate of oxygenated etchproducts. ©2001 American Vacuum Society.@DOI: 10.1116/1.1349201#

e

vheeonngBeh-

oro

esslyn-to

tricsx-

ofas

dtureiss-cha-

ne

endricothm-n

ub-

rea

ndm-

40il:

resm15

rn

I. INTRODUCTION

Integration of low dielectric constant~k! materials in up-coming generations of integrated circuits~IC’s! becomes in-dispensable, because interconnect delays will limit the ovall performance of sub-0.25mm devices based onconventional dielectrics and metals only. Low-k dielectricsalso reduce cross-talk noise between adjacent lines. Ethough many low-k materials have been introduced over tlast years, only a very few are used in commercial devicSuccessful integration puts high demands on thermal cductivity and stability, gap fill, low outgassing, productiocosts, and compatibility with processing steps like etchistripping, cleaning, and chemical–mechanical polishing.cause of partial compatibility with conventional oxide tecnology, oxide-like materials were the first low-k dielectrics~k;3–4! to be implemented in commercial devices. Somethe etching and cleaning issues for fluorinated oxide, hydgen silsesquioxane~HSQ!, and methyl silsesquioxane~MSQ!have been discussed in previous publications.1,2 Organic ma-terials have a lower dielectric constant (k;2 – 3) and will

a!Current address: IBM Microelectronics, 2070 Route 52, Mail Stop EHopewell Junction, New York 12533; electronic [email protected]

b!Author to whom correspondence should be addressed; current addDepartment of Materials and Nuclear Engineering and Institute for PlaResearch, University of Maryland, College Park, Maryland 20742-21electronic mail: [email protected]

c!Current address: Air Products and Chemicals, Inc., Santa Clara, Califo95054.

435 J. Vac. Sci. Technol. A 19 „2…, MarÕApr 2001 0734-2101 Õ200

r-

en

s.n-

,-

f-

enable the production of high-speed devices. The procflow of organic dielectrics, however, may differ significantfrom the process flow developed for the integration of coventional metals and dielectrics. The challenge is thusdevelop consistent process sequences for organic dielecthat require minimum and low-cost modifications of the eisting production lines.

The aim of this article is to examine the patterningorganic thin films in oxygen-based high-density plasm(O2/Ar and O2 /N2). The use of oxygen in nonoptimizeprocesses can result in serious erosion and bowing of feasidewalls.3–8 However, the formation of straight sidewallsfeasible in two different process windows which will be dicussed along with a deeper understanding of the etch menism for organic films.

The studied organic dielectrics are polytetrafluoroethyle~PTFE!, parylene-N, and poly~arylene ether! ~PAE-2!. Addi-tionally, a few results will be shown on parylene-AF4. Thstructure of each polymer is shown in Fig. 1. Parylene-N aparylene-AF4 are both vapor-deposited films with dielectconstants of 2.7 and 2.3, respectively. Even though bpolymers can be successfully integrated, integration in comercial devices is unlikely due to relatively high productiocosts.9 PAE-2 and PTFE are deposited by spin-on and ssequent drying and curing techniques.10,11 PAE-2 (k52.8)suffers from a relatively low glass transition temperatu~;270 °C!. Recently, Schumacher introduced VELOX asvariant on PAE-2. The chemical structures of VELOX aPAE-2 are very similar, although the glass transition te

,

ss:a;

ia

4351Õ19„2…Õ435Õ12Õ$18.00 ©2001 American Vacuum Society

Page 2: High-density plasma patterning of low dielectric constant polymers

erl.oe

t

einuetia

ectt

thatorr.tera

taticcany rfeniaserstheof

ly-ec-d byeb-

naled.thessheedFrThe-re-

e

ble,the

singkly,dis-

ideted.

ec-l

byed

en

436 Standaert et al. : High-density plasma patterning 436

perature of VELOX is much higher~;400 °C!. A compari-son between PAE-2 and VELOX showed that both polymare very similar in terms of etch rates and profile contro12

PTFE, trademarked as SPEEDFILM by W. L. Gore & Assciates, has a dielectric constant of 2.0, which is extremlow for a nonporous material.

II. EXPERIMENTAL SETUP AND PROCEDURES

The transformer-coupled plasma~TCP! reactor used forthis study has been described in detail elsewhere.1,13 All ex-periments were carried out in discharges maintained asource power of 600 W~13.56 MHz!. A constant gas flow of40 sccm O2/Ar/N2 mixtures was injected in the dischargregion. The discharge is laterally confined by an alumring and vertically by the wafer and the quartz window. Dto the restriction in pumping speed a pressure differenbuilds up between the discharge and the area just outsidconfinement. All experiments described here were conduat a pressure of 4 mTorr, which was measured outside

FIG. 1. Structure of parylene-N, parylene-AF4, PAE-2 and PTFE. The dsity and the dielectric constant of the films are indicated byr and k, respec-tively.

J. Vac. Sci. Technol. A, Vol. 19, No. 2, Mar ÕApr 2001

s

-ly

a

a

ltheedhe

confinement area. Even though unknown, it is expectedthe pressure at the wafer surface runs higher than 4 mT

Samples with the polymer films were placed in the cenof a 125 mm silicon wafer located 7 cm below the plasmgeneration region. The wafer was clamped on an electroschuck and cooled at 10 °C. The ion energy at the waferbe controlled independently of the plasma generation bbiasing.13 The rf bias power on the wafer was varied betwe0 and 100 W at a fixed frequency of 3.4 MHz. The self-bvoltage on the wafer was measured with a probe. A BalzPPM422 plasma process monitor was installed next towafer surface and was utilized to obtain the compositionthe ion flux. Furthermore, etch products of the blanket pomer films were monitored by spatially resolved mass sptrometry. The setup of this mass spectrometer is discusseLi et al.14 A brief description of this spectrometer will bgiven in Sect. III B. Etch rates of blanket samples were otained usingin situ He–Ne ellipsometry.

In the etching of these organic dielectrics only a margietch selectivity with respect to photoresist can be achievHence, patterning requires an additional layer betweenresist and the polymer. This layer is typically a spin-on glaor a nitride layer and is referred to as a hard mask. Tpatterning occurs in two steps and is schematically outlinin Fig. 2. First, the trilayer structure is processed in a C4

discharge~1000 W source power and 100 W bias powe!where the resist pattern is transferred into the hard mask.low polymerization rate of a CF4 discharge leaves a minimum amount of residual fluorocarbons on the wafer andactor walls. The use of CF4 leads to high etch rates of thhard mask material. Dilution of CF4 in argon~1:1 ratio! re-duces the etch rate and allows for longer, more controllaprocessing times on the order of 20–40 s. The pattern inhard mask is subsequently transferred into the polymer uan oxygen-based chemistry. The resist erodes quicwhereas the hard mask has a high etch resistance in thecharge. For the patterning of parylene-N, a 100 nm nitrmask was applied. PTFE and PAE-2 were both spin coawith a spin-on glass film of 100 and 200 nm, respectively

Cleaving patterned polymer films for cross-sectional sondary electron microscopy~SEM! often requires speciatechniques such as focused ion beam~FIB! to prevent tearingof the film. In this work, patterned samples were frozensubmerging them in liquid nitrogen and were then cleavusing a regular graphite tip.

-

FIG. 2. Patterning scheme for organic dielectrics.

Page 3: High-density plasma patterning of low dielectric constant polymers

mhiThth

/OeO

thbsthlecreerstndit

tothetheronstheedter. It

b-

th-d by

is

Aionff22

inedis-n

betheely

of

aofto

en

neundsedtheoteanires

orilityur-ve

needdi-

ndore

s

437 Standaert et al. : High-density plasma patterning 437

III. RESULTS

A. Gas-phase characterization

The ion flux to the wafer in high-density plasma systeis not affected by the rf bias power and a linear relationsbetween self-bias voltage and rf bias power is obtained.ion current density can be calculated from the slope oflinear relationship.13,15The ion current density in Ar/O2 plas-mas is plotted as a function of the relative O2 flow in Fig.3~a!. The source power, pressure, and total gas flow of Ar2

mixtures were fixed at 600 W, 4 mTorr, and 40 sccm, resptively. The ion current density drops significantly as the2flow is increased.

Ions were sampled by a Balzers PPM422 system. InPPM system, ions pass a retarding electric field and suquently a cylindrical mirror analyzer. The pass energy ofanalyzer was fixed while the magnitude of the retarding etric field was varied. After the energy selection, ions wedetected by a quadrupole mass spectrometer. Ion enspectra for various O2 flows up to 25% showed an almoconstant plasma potential of 20 V with respect to grouFigure 3b shows the integrated mass spectrometer intensfor O1 and O2

1 divided by the total O1, O21 , and Ar1 in-

tensity. The contribution of O1 and O21 to the ion flux in-

creases linearly with O2 flow.

FIG. 3. Characterization of the ion flux as a function of O2-flow percentage:ion current density~ICD! ~a!, and ion composition of the ion flux~b!. Sourcepower, pressure, and total gas flow (Ar/O2) were fixed at 600 W, 4 mTorr,and 40 sccm, respectively.

JVST A - Vacuum, Surfaces, and Films

spe

is

c-

ee-e-

gy

.ies

Threshold-ionization mass spectrometry was appliedobtain the qualitative behavior of the radical densities inAr/O2 plasmas. Neutral species were sampled byPPM422 system and subsequently bombarded by electwith a controllable energy. For electron energies belowthreshold for ionization from the ground state only excitspecies are ionized and detected in the mass spectromewas found that both O* and O2 density are proportional tothe O2 flow. This method, unfortunately, does not reveal asolute densities.

In order to estimate the degree of dissociation other meods need to be applied. A rough estimate can be obtainemonitoring the pressure increase~drop! when the plasma isturned on~off! while the pumping speed is fixed. Using thmethod we noticed only a small pressure change~,0.1mTorr!, suggesting a degree of dissociation below 3%.different method compares the intensities of the parentpeaks (O2

1 and Ar1) in the case of plasma on and plasma ousing the line-of-sight mass spectrometer of the PPM4system. This method leads to a similar result as was obtaby monitoring the pressure change. A similar degree of dsociation in inductively coupled Ar/O2 plasmas has also beemeasured by Wang, Van Brunt, and Olthoff16 using massspectrometry.

A low degree of dissociation in these discharges mayunexpected. Dissociation of the oxygen molecule inground state requires only 5 eV and modeling of inductivcoupled Ar/O2 discharges suggests a very high degreedissociation close to 100%.17 Additionally, Fig. 3~b! showsthat O1 can form up to 10% of the ion flux and suggestsreasonable degree of dissociation. Dissociative ionizationmolecular oxygen requires almost 19 eV and is less likelyoccur than nondissociative ionization of molecular oxygwhich requires only 12 eV. Hence, O1 most likely originatesfrom ionization of oxygen atoms.

Finally, argon actinometry using the oxygen emission liat 777 nm showed an almost linear increase in the grostate oxygen density when the source power was increafrom 600 to 1400 W. This measurement suggests thatdegree of dissociation at 600 W is at least below 50%. Nthat the contribution of dissociative excitation of oxygen cbe neglected in inductively coupled discharges as it requa high electron energy@;15 eV ~Ref. 18!# relative to directexcitation@;11 eV ~Ref. 18!#.19

At this point it is not clear why the various estimates fthe degree of dissociation are so different. One possibmay be that associative reactions of oxygen, including sface recombination, in inductively coupled discharges habeen underestimated. Absolute density measurementsto be performed to measure the degree of dissociationrectly.

B. Etch rates and etch products of blanket films

The etch rates of parylene-N, parylene-AF4, PAE-2, aPTFE as a function of rf bias power are plotted in Fig. 4 fvarious Ar/O2 flow ratios. It is important to note that thPTFE etch rates are plotted on a different scale. All filmshow a relatively low etch rate when no O2 is added to the

Page 4: High-density plasma patterning of low dielectric constant polymers

ysoxge-avhial. Aolyyeroplllyxpthnce

hislan

e

ci

thesig-om-ace.rderheon-e 6E-2-

thetep

antally,

etbyne-

we

eter

438 Standaert et al. : High-density plasma patterning 438

Ar discharge. In this case, the etch rate or, better, the phcal sputter rate, may be enhanced by residual water andgen trapped in the chamber and by small amounts of oxyreleased at the quartz-coupling window.20 The etch rates exhibit a maximum around 25–50 W corresponding to anerage ion energy of approximately 15–25 eV. Above tenergy, a high etch rate was observed which graduslowed down to the constant values presented in Fig. 4these higher energies ions may induce cross linking of pmer chains which results in a more etch resistant overlaThe presence of this overlayer can be seen by switching fpure Ar to a more reactive feed gas chemistry, for examAr/O2 . Initially, a slow etch rate is observed which graduaincreases to the value that is measured without the preesure to a pure Ar plasma. Unfortunately, the thickness ofoverlayer cannot be determined by this experiment, sietching of the polymer may occur when this overlayer bcomes thin enough. The addition of 6% O2 to the Ar dis-charge significantly enhances the polymer etch rates, wsublinearly increase as more O2 is admixed. The etch rate afunction of the rf bias power exhibits also a sublinear retionship in the case of parylene-N, parylene-AF4, aPAE-2. In contrast, PTFE etches 2–3 times faster andlinearly dependent on the rf bias power.

In order to detect etch products in Ar/O2 plasmas, thesampling system of the spatially resolved mass spectromwas positioned 6 mm above the polymer sample 2.5 cm32.5cm in size, see Fig. 5. The mean-free path of neutral spe

FIG. 4. Blanket etch rates of parylene-N~Pa-N!, parylene-AF4~Pa-AF4!,PAE-2, and PTFE as function of the self bias voltage. The etch ratesmeasured for various O2 percentages in Ar/O2 plasmas.

J. Vac. Sci. Technol. A, Vol. 19, No. 2, Mar ÕApr 2001

i-y-n

-slyt-r.me,

o-ee-

ch

-dis

ter

es

at 4 mTorr is on the order of 1–2 cm and is larger thandistance between the orifice and sample. As a result, anificant portion of the species detected in the mass spectreter were either reflected or created at the sample surfThe sheath thickness in high-density plasmas is on the oof 0.1–0.5 mm and is not affected by the position of tlinear-motion mass spectrometer. The electron-impact iizer of the mass spectrometer was set at 30 eV. Figurshows the spectrometer output during the etching of a PAfilm. In the first step~I! PAE-2 is etched in a pure Ar discharge at 0 W rf bias power. The initial high levels of COcan be attributed to oxygen and water that adsorbs atreactor walls during loading of the sample. In the second s~II ! the bias power is increased up to 50 W, but no significchange in the mass spectrometer signals is observed. FinO2 is injected~region III! which yields a significant increasin etch rate. CO, CO2, and H2O ~the latter ones are noshown in Fig. 6! were the major etch products detectedthe linear-motion mass spectrometer. In the case of paryle

re

FIG. 5. Setup of the linear motion mass spectrometer.

FIG. 6. Ar, O2 , and CO detected by the linear motion mass spectromduring the etch back of a PAE-2 film.~I! 1:0 Ar:O2 , 0 W bias; ~II ! 1:0Ar:O2 , 50 W bias;~III ! 3:1 Ar:O2 , 100 W bias; and~IV ! etching of SiO2 ,3:1 Ar:O2 , 100 W bias.

Page 5: High-density plasma patterning of low dielectric constant polymers

annO

ce-

ththinbde.m

rea

lin

or

-e

rgtiom

chtioepssonfirWerstete

ul

rge.

ias

t is,i-

ner

b-

fer-ost

allyghII

mis-a-

ed1byat92.

ofex-

s-

-.

film

atica

hist isre

Thea

,is

rin

439 Standaert et al. : High-density plasma patterning 439

AF4 and PTFE, fluorinated etch products, such as HFCOF2, were also detected. At the end of region III the uderlying SiO2 is reached. This is followed by a drop in Cintensity and an increase in O2 intensity in region IV, whilethe measured Ar signal remains at a constant level. Sinsignificant portion of the O2 detected in the mass spectrometer reflects from the sample surface, it can be concludedoxygen is an important etchant. It cannot be concludedmolecular oxygen is an important etchant as the recombtion rate of atomic oxygen may be high in the sampling tuof the spatially resolved mass spectrometer. Only if thegree of dissociation of the Ar/O2 discharge is low, then Fig6 shows that molecular oxygen is consumed at the polyinterface. This is consistent with other studies.21–25 The roleof atomic oxygen could not be established with spatiallysolved mass spectrometry due to the low detection levelsthe possible recombination of atomic oxygen in the samptube of the mass spectrometer. Cook and Benson26 andSelwyn27 have established that atomic oxygen is an imptant etchant.

Tepermeister and Sawin28 observed in a study on polyimide etching in Ar/O2 plasmas that the formation of acetylen(C2H2) exhibits the strongest dependence on the ion eneHence, formation of acetylene could be an important reacchannel for the abstraction of aromatic groups froparylene-N, parylene-AF4, and PAE-2. To verify if the etrate of these polymers is indeed governed by the formaof acetylene, a PAE-2 sample was etched back in four stThe O2 and C2H2 levels detected by the linear motion maspectrometer are shown in Fig. 7. The electron-impact iizer of the mass spectrometer was set at 16 eV. In thestep~region I! PAE-2 is etched in a pure Ar discharge at 0rf bias power. In line with the observations made by Tepmeister and Sawin, the C2H2 level increases significantly athe rf bias power is increased to 50 W in the second s~region II! and is accompanied with an increase in etch raas was shown in Fig. 4. The formation of acetylene co

FIG. 7. O2 and C2H2 detected by the linear motion mass spectrometer duthe etch back of a PAE-2 film.~I! 1:0 Ar:O2 , 0 W bias;~II ! 1:0 Ar:O2 , 50W bias; ~III ! 3:1 Ar:O2 , 0 W bias; ~IV ! 3:1 Ar:O2 , 50 W bias; and~V!etching of SiO2 , 3:1 Ar:O2 , 50 W bias.

JVST A - Vacuum, Surfaces, and Films

d-

a

atata-e-

er

-ndg

-

y.n

ns.

-st

-

p,

d

thus be an important reaction channel in a pure Ar dischaIn the third step~region III! O2 is admixed with Ar ~3:1Ar:O2) and the rf bias power is reduced down to 0 W and nosignificant amount of acetylene is detected. As the bpower is increased up to 50 W~region IV! the C2H2 in-creases, indicating that the C2H2 formation is indeedstrongly dependent on the ion energy. However, the C2H2

level does not reflect the etch rates observed in Fig. 4. Itherefore, unlikely that the formation of acetylene is a domnant reaction channel in Ar/O2 discharges. Instead, carboand hydrogen are primarily abstracted from the polymthrough the formation of CO, CO2, and H2O. The last region~V! in Fig. 7 shows the acetylene and O2 level after thePAE-2 film is etched back to the underlying SiO2 film. Theincrease in O2 level corresponds to a higher reflection proability of ~atomic or molecular! oxygen from an oxide sur-face than from a reactive polymer surface.

C. Surface analysis

The surface of PAE-2 films after a partial Ar/O2 etch wascharacterized by x-ray photoelectron spectroscopy~XPS!.The etching for these experiments was performed in a difent TCP reactor, but the processing conditions were almidentical as for the experiments described above. Partietched PAE-2 films were transferred under ultra-hivacuum conditions to a Vacuum Generators ESCA Mkanalysis chamber. Photoemission spectra at a grazing esion angle of 22° were acquired using a nonmonochromtized Mg Ka source~1253.6 eV!. The resolution is in thiscase limited by the linewidth of the MgK a source and isapproximately 1 eV.

Figure 8 shows the C~1s! and the O~1s! photoemissionspectra for PAE-2 films unprocessed and partially etchback at19, 250, and2100 V self-bias voltage and a 3:Ar/O2 flow ratio. The spectra are corrected for chargingpositioning the C~1s! emission from the aromatic groups284.8 eV.29 It is interesting to note the shake up around 2eV in the C~1s! spectrum of the unprocessed PAE-2 filmThis shake up is typical for polymers with a high degreearomaticity and arises from an inelastic loss process thatcites the ground-state ringp orbitals of the aromatic groupin the polymer.29 The shake-up feature is significantly reduced after processing in Ar/O2 and indicates that the polymer surface is severely modified by the plasma exposure

More-detailed information can be obtained from the O~1s!spectra. The photoemission for the unprocessed PAE-2is centered around 533.5 eV, corresponding toC–O–Cbonds where the carbon atoms belong to an aromgroup.29 After the PAE-2 film is partially etched back atfloating potential of19 V ~with respect to ground!, a signifi-cant emission at higher binding energies is observed. Tcan be attributed to the formation of a surface layer thaheavily oxidized and is rich in carbon-oxygen bonds whethe carbon atom is bonded to two or three oxygen atoms.peak positions for these bonds can typically be found inrange up to approximately 534 eV.29 In this case, howeveran additional, unknown contribution at 535–536 eV

g

Page 6: High-density plasma patterning of low dielectric constant polymers

omomed-

O

-oa

g.

rcotlooee

lein

ofwith

hationllstch.

allhich

heintheione,re-atnce.tedxactbe

be-

ig.

ngersa--

ture-N.or

in-ioners.isof

2n

s 0th

440 Standaert et al. : High-density plasma patterning 440

clearly present. It could be speculated that it originates frhydroxyl groups which are bonded to the same carbon ati.e., C~OH!2 and C~OH!3 . As the bias power is increased, thO~1s! emission shifts towards lower binding energies. In adition, the integrated O~1s! intensity decreases and is accompanied by an increase in the integrated C~1s! intensity. Thelower binding energies correspond to bonds such as C–~;532.9 eV!, C–O–C~;532.6 eV! and C5O ~;532.3 eV!,where the carbon atoms are aliphatic.29 Hence, it can be concluded that as the ion energy increases a less heavilydized surface is obtained where most carbon atomsbonded to at most one oxygen atom.

D. Undercutting and erosion of hard mask

It is well known in the literature that anisotropic etchinof polymers in oxygen-based plasmas is hard to realize3–8

An example is shown in Fig. 9~a!, where parylene-N waspatterned using an Ar/O2 discharge~1:1! without applying arf bias to the substrate. The hard mask is seriously undeand sidewall bowing can be observed. It is interesting to nthat parylene-N exhibits a high lateral erosion rate direcbelow the hard mask, even though this position is almcompletely shadowed from ions and neutrals emerging frthe gas phase. Hence, atomic and molecular oxygen refling from the feature bottom are likely to be involved in thlateral erosion process. Pons, Pelletier, and Joubert5 sug-gested that in the absence of ion impact the desorptionoxidized products is induced by the absorption of ultraviolight. The sidewall erosion can be minimized by increasthe rf bias power as is demonstrated in Fig. 9~b!. Since the

FIG. 8. Carbon 1s ~a! and oxygen 1s ~b! photoemission spectra for PAE-after processing in Ar/O2 as a function of self-bias voltage. The collectioangle is 22° with respect to the sample surface.

J. Vac. Sci. Technol. A, Vol. 19, No. 2, Mar ÕApr 2001

,

-

H

xi-re

utteystmct-

oftg

plasma exposure time in Fig. 9~a! and 9~b! was fixed at 200s, it can be concluded that the lateral erosion rateparylene-N depends on the ion energy and is consistentthe work of Heidenreichet al.3 and Joubertet al.4 The spa-tially resolved mass spectrometry data in Fig. 7 show tless oxygen reflects from the feature bottom at higherenergies. This will lower the flux of oxygen to the sidewaand reduce the lateral erosion rate during the polymer eHowever, the polymer etch in Fig. 9~b! is completed in ap-proximately 40 s. After this, the oxygen flux to the sidewincreases and there must be a second mechanism by wthe sidewall is protected from the oxygen flux during tremaining 160 s overetch. We will argue in Sec. III E thatan Ar/O2 discharge the sidewall can be passivated byredeposition of oxygen-deficient etch products. At higherenergies it is more likely that partially oxidized and, hencinvolatile products, desorb from the feature bottom anddeposit on the sidewall. In Sec. III E we will also show ththe redeposited material has a relatively high etch resistaInvolatile etch products can, unfortunately, not be detecby spatially resolved mass spectrometry. Hence, the ecomposition and flux of these etch products could notestablished.

Two comments on the effect of ion energy shouldmade here. First of all, the profile control is not always improved at higher ion energies. An example is shown in F10 where PTFE was patterned in an Ar/O2 discharge~3:1!using two different rf bias powers, 0 and 50 W. The etchitime was fixed at 200 s. In this case, both rf bias powyield a similar amount of undercutting. A possible explantion may be found in terms of the difference in stoichiometry: CH for parylene-N and CF2 for PTFE. PTFE containsrelatively less carbon and the etch products from the feabottom may be more volatile than in the case of paryleneIn Sec. III E it will be shown that the redeposition rate fPTFE can very high in oxygen-deficient discharges.

It also should be noted that the ion energy cannot becreased too high. The sputter yield increases at higherenergies and results in the tapering of the hard mask cornThis can result in the loss of the critical dimension, asdemonstrated in Fig. 10. Figure 11 shows the sputter yield

FIG. 9. Two examples of parylene-N etched in an Ar:O2 discharge~1:1!maintained at 4 mTorr, and 600 W source power. The rf bias power waW for ~a! and 100 W for~b!. The plasma exposure was fixed at 200 s in bocases.

Page 7: High-density plasma patterning of low dielectric constant polymers

h,

oureni

ig

ao

eln

ssful

on–

a-

edrdtoreide-par-in a13lsoex-ilshe

es,eilrceeilthe

then of

fose

o

e Ar

441 Standaert et al. : High-density plasma patterning 441

blanket SiO2 films in Ar, N2 , O2 , and H2 discharges as afunction of self-bias voltage. Due to its relatively higatomic mass, Ar exhibits the highest sputter rate. Hencethe process design for the patterning of polymers, one shcarefully choose the Ar flow and ion energy in order to pvent excessive tapering of the hard mask. For the patterof PTFE, the erosion of the hard mask may be enhancedthe fluorine from the PTFE as long as the ion energy is henough.

E. Methods of sidewall passivation

Patterning of organic dielectrics in oxygen-based plasmis favored for the relatively high etch rates and the goselectivity to hard mask and etch stop layers. Unfortunatsidewall bowing and undercutting is often observed a

FIG. 10. Two examples of PTFE etched in an Ar:O2 discharge~3:1! main-tained at 4 mTorr, and 600 W source power. The rf bias power was 0 W~a! and 50 W for~b!. The plasma exposure was fixed at 200 s in both ca

FIG. 11. Sputter yield of SiO2 as a function of self-bias voltage in Ar, N2 ,O2 , and H2 plasmas maintained at a source power of 600 W, a gas flow40 sccm, and a pressure of 4 mTorr.

JVST A - Vacuum, Surfaces, and Films

inld-ngbyh

sdy,d

means of sidewall passivation are necessary for succepattern transfer. Namatsu, Ozaki, and Hirata30 showed thatadequate profile control can be obtained using hydrocarboxygen mixtures as an etching gas. Joubertet al.4 proposed adifferent approach using SO2/O2 mixtures. This section dis-cusses two alternative mechanisms allowing for the formtion of straight sidewalls.

The first mechanism of sidewall passivation is observfor the patterning of PTFE. After the opening of the hamask in an Ar/CF4 discharge, the pattern was transferred inthe 500-nm-thick PTFE film at 200 W rf bias power in a puAr-discharge. Figure 12 shows that in this case straight swalls are obtained. The photoresist pattern eroded onlytially and needs to be removed. The resist was strippedpure O2 plasma at a low source and bias power. Figureshows that the resist is successfully removed but it ashows that veils are covering the sidewalls. A prolongedposure to the O2 plasma reduces the thickness of these veand eventually erodes the protruding parts completely. Tprotruding parts are first removed in the smaller featurafter which the PTFE behind the remaining part of the vstarts to erode. Via cleaning in a high-density plasma souusing O2 is, therefore, not successful for PTFE, since the vremoval is anisotropic and feature dependent. However,fact that the veils have a relatively low erosion rate andfact that the thickness of the veils increases as a functio

rs.

f

FIG. 12. Cross-sectional SEM photographs of PTFE patterned in a purdischarge at 200 W for 80 s.

Page 8: High-density plasma patterning of low dielectric constant polymers

urpre

thl ts

ia

thE-alocel

ing

ide-tes-

ant-2

asi-

mate-itysi-e-rend

ec-

th-isn-ing

ig.ec--

ub-

is

d

442 Standaert et al. : High-density plasma patterning 442

feature size, provide important information about the natof the veils. It suggests that the veils are formed by redesition of fluorine-deficient sputter products from the featubottom. Assuming that the thickness is proportional tovolume etched in the feature and inversely proportionathe area of the sidewall, it can be argued that the thicknesveils scales with the feature width.

This type of veil formation seems also to occur in Ar/O2

discharges. Figure 14 shows an unusual case for a partetched contact hole in PAE-2 using an Ar/O2 discharge with25% O2 addition. The veil can be seen near the corners ofhard mask, since it is partially eroded. As a result, the PAhas been slightly undercut. We, therefore, suggest thatin Ar/O2 discharges redeposition of carbon-rich productscurs. However, the redeposition rate is too low to completprevent sidewall bowing.

FIG. 13. Cross-sectional SEM of PTFE after patterning in a pure Ar dcharge followed by an ashing step in a pure O2 discharge.

FIG. 14. Partially etched contact hole in PAE-2 where the veil is exposethe corners of the hard mask.

J. Vac. Sci. Technol. A, Vol. 19, No. 2, Mar ÕApr 2001

eo-

eoof

lly

e2so-y

Successful pattern transfer into PAE-2 is possible usN2/O2 plasmas as is shown in Fig. 15. The N2 /O2 ratio was10:1. In this case, no bowing is observed and straight swalls are obtained. The N2/O2 flow ratio has also importanimplications for the bottom profile. Figure 16 shows trenchetched in N2/O2 plasmas for various flow ratios while etching time and other parameters were fixed. A pure O2 dis-charge yields the highest etch rate, but results in a significamount of sidewall bowing and undercutting. The PAEetch rate decreases as the N2/O2 flow ratio is increased. Thebowing and undercutting disappears for high N2 /O2 flowratios. It is interesting to note that microtrenching appearssoon as the sidewalls are in line of sight of the ions. Mcrotrenching has been explained by differential charging.31,32

This mechanism assumes that the areas near the maskrial charge up negatively due to a relatively isotropic velocdistribution of the electrons, while the feature bottom is potively charged by ions which have a highly directional vlocity distribution. Due to the charge buildup more ions afocused at the corners of the feature bottom. A secomechanism which can account for microtrenching is refltion of ions from slightly inclined sidewalls.33–35 Bogartet al.36 recently showed for Cl2-based etching of silicon thadifferential charging is not the primary cause of microtrencing by demonstrating that the formation of microtrenchingsimilar for both conductive and oxide masks. A similar coclusion can be drawn here. Even though differential chargshould occur for the trenches shown in Figs. 16~a! and 16~b!,no microtrenching is observed. The microtrenching in F16~c! seems, therefore, more consistent with sidewall refltion than with differential charging. A study of the underlying mechanism of sidewall passivation in N2/O2 dischargesis currently underway and will be addressed in a future plication.

-

at

FIG. 15. Trench etched in PAE-2 using N2/O2 discharge~10:1! ~40 sccmtotal gas flow, 4 mTorr, 600 W source power, and 50 W rf bias power!.

Page 9: High-density plasma patterning of low dielectric constant polymers

orcach

beh

thdimerh

heimniis

tiveave

ofsing

de-in

are

genithre-b-tionini-ov-tch

ra-

ndn-

cu-ds.

ecita-y

e

E-2

Eqs.

443 Standaert et al. : High-density plasma patterning 443

F. Aspect-ratio-dependent etching

The results from spatially resolved mass spectrometrySec. III B clearly show that oxygen neutrals play an imptant role in the polymer etching process. Consequently, itbe anticipated that feature etching differs from blanket eting due to neutral shadowing. Figure 16~a! shows a partiallyetched trench in PAE-2 using a pure O2 discharge. In addi-tion to mask undercutting and sidewall bowing, it canseen that the feature bottom is nonuniformly etched. Tcurved bottom profile can be explained by the fact thatdirect neutral flux from the gas phase is more shadowethe corners than in the center of the feature bottom. The tdependence of the etched depth measured in the centtrenches and via holes in PAE-2 is shown in Fig. 17. Tetching is performed in a 4 mTorr Ar/O2 discharge~3:1 flowratio! at 600 W source power and 50 W rf bias power. Tresists pattern erodes completely in the first 50 s. As tprogresses, the PAE-2 etch rate slows down more sigcantly in the narrower features and via holes. To verify if th

FIG. 16. Partially etched trenches in PAE-2 for various ratios of N2/O2 : ~a!0:1, ~b! 1:1, and~c! 10:1. ~40 sccm total gas flow, 4 mTorr, 600 W sourcpower, 50 W rf bias power, and 150 s etching time!.

JVST A - Vacuum, Surfaces, and Films

in-n-

eeineof

e

efi-

behavior is consistent with neutral shadowing, the respecroles of ions and oxygen neutrals in the etching process hto be clarified first.

IV. MECHANISM OF ETCHING IN AR ÕO2 PLASMAS

Oxygen-based etching of polymers in various typesreactors has been modeled by several researchers uLangmuir adsorption kinetics.22,37,38 Motivated by theirwork, we were able to successfully model the etch ratependence on ion flux, oxygen flow and feature geometryAr/O2 plasmas. The organic dielectrics, especially PTFE,known to have a high resistance to chemical attack.39,40 Achemical reaction between the polymer surface and oxyoccurs only at elevated temperatures or in conjunction wion, electron, or photon bombardment. The etch rates psented in this article were obtained at a relatively low sustrate temperature of 10 °C. It is assumed that the reacbetween polymer and oxygen neutrals is predominantlytiated by ion impact and can be described by a surface cerage model. The model fails, therefore, to describe the erates of surfaces that are not in line of sight of the ion tjectories, for example, undercut sidewalls.

The characterization of the gas phase in Secs. III A aIII B is inconclusive about the absolute atomic oxygen desity. In addition to atomic oxygen and ground-state molelar oxygen O2(3Sg

2), the absolute density of exciteO2(1Dg) may play an important role in the etching procesThe low-lying state1Dg is separated by only 1 eV from thground state and is easily accessible through electron extion. The transition from1Dg to the ground state is strongl

FIG. 17. Etched depth in trenches and via holes of various widths in PAas a function of time in an Ar/O2 ~3:1! discharge~40 sccm total gas flow, 4mTorr, 600 W source power, and 50 W rf bias power!. The solid lines showthe model prediction for the smallest and largest features according to~5! and ~6!.

Page 10: High-density plasma patterning of low dielectric constant polymers

intra

k

th.e

hatonp

ayeaedewth

dthte

nanola

bee

be-

thglnc

ve

se

ldly-

m-en

to-

ofrsarere,ldn is. Itpen-ed

esagethe

lafer

heheonx-el.rn 4

e-e inll

444 Standaert et al. : High-density plasma patterning 444

forbidden. Hence, the internal energy of O2 (1Dg) can beused during a reaction at the polymer surface. At this poit is assumed that the flux of the various oxygen neuspecies is linear in the O2 flow. The total oxygen flux will bedenoted as 2GO2, whereGO2 is the flux of molecular oxygento the surface when no dissociation or excitation would taplace in the gas phase.

The energy from a single ion impact is dissipated ontime frame that is many orders of magnitude shorter thancharacteristic time for the next impact of a gas particle24

Hence, the interaction of ions and oxygen at the polymsurface has to occur in at least two steps. Gokan and Es22

suggested that in the first step free-radical sites are crevia hydrogen abstraction by energetic ions. In the secstep oxygen reacts on the radical sites to form volatile scies, such as CO. Vanderlinde and Ruoff37 and Joubert, Pel-letier, and Arnal38 suggested a different reaction pathwwhere oxygen first adsorbs at the polymer surface. The rtion between adsorbed oxygen and the polymer surfacthen initiated by the impact of energetic ions. The mopresented here is based on this two-step reaction pathsince it predicts that the surface oxidation is reduced asion energy is increased. The reaction pathway suggesteGokan predicts the opposite and is in disagreement withXPS results presented in Sec. III C. Other, more-complicareaction pathways can be considered. However, based osimple two-step reaction, where oxygen first adsorbsthen desorbs in an etch product upon ion impact, it is psible to explain the observed etch rate behavior of both bket and patterned films.

Given the oxygen flux 2GO2and total ion fluxG i , the

surface coverageu of sites where oxygen is adsorbed cancalculated by balancing the adsorption and desorption ratoxygen:

sdu

dt52GO2

S~12u!2G iYiu50, ~1!

wheres is the highest attainable surface density of adsoroxygen.S is an effective sticking probability for all the various oxygen neutral species at unoccupied sites.Yi is thereaction probability between an adsorbed oxygen andpolymer during an ion impact. Since the energy of a sinion is dissipated by several surface atoms, reactions cainitiated at sites that are not directly at the center of impaThe area affected by ion impact and, consequently,Yi , aredependent on the ion energy. Here, it is assumed thatYi is alinear function of the ion energyEi :

Yi5Ei

E0, ~2!

whereE0 is a constant. The surface coverage is then giby

u5S 11G iEi

2SGO2E0

D 21

. ~3!

Equation~3! predicts that the surface coverage decreasethe ion energy is increased, which is consistent with S

J. Vac. Sci. Technol. A, Vol. 19, No. 2, Mar ÕApr 2001

t,l

e

ae

roedd

e-

c-isl

ay,e

byed

thed

s-n-

of

d

eebet.

n

asc.

III C. At this point, it is convenient to define the etch yieYL as the amount of carbon atoms removed from the pomer surface per single ion impact event. The yieldYL ac-cording to Eq.~1! is then given by

YL5

2S

aS GO2

G iD

112SE0

EiS GO2

G iD , ~4!

wherea is a dimensionless constant accounting for the nuber of carbon atoms per site and for the number of oxygatoms required to remove a single carbon atom.

The composition of the ion flux has been neglected upthis point. However, it is very likely that the impact of oxygen ions (O1 and O2

1) immediately results in the formationof volatile products and does not require the assistanceadsorbed oxygen neutrals. Baggerman and co-worke24

showed that the etching reactions occurring at the surfaceexothermic. The reaction with oxygen ions should, therefoalready occur at relatively low ion energies. It also shouexhibit a weak dependence on ion energy as the reactiolimited by the number of oxygen atoms that the ion carriesis assumed that the rate constant for this reaction is indedent of the ion energy for the experimental conditions usin this work. Including this reaction, the total etch yieldYT isnow given by

YT51

a S GO1

G iD1

2

aS GO

21

G iD 1

2S

aS GO2

G iD

112SE0

EiS GO2

G iD , ~5!

where GO1 and GO21 are the fluxes of O1 and O2

1 ions,

respectively.To verify this semiempirical model, the blanket etch rat

in Fig. 4 have been reduced to yields in Fig. 18. The averion energy was calculated from the self-bias voltage andplasma potential. The total ion fluxG i was calculated fromthe ion current density in Fig. 3~a! and is listed in Table I.The oxygen ion fluxesGO1 andGO

21 are obtained from Fig.

3~b!. The oxygen fluxGO2was calculated from the partia

pressure assuming a gas temperature of 500 K and a warea pressure of 4 mTorr. Equation~5! was then fitted to thedata in Fig. 18 for each organic dielectric by varying tparametersa, E0 , andS using a least-squares method. Tbest fits were obtained where the sticking coefficient wasthe order of 1. This suspiciously high value may be eplained in part by the semiempirical nature of the modAdditionally, the sticking coefficient may actually be lowesince the wafer area pressure may run much higher thamTorr as was mentioned in Sec. II. The fitted values foraandE0 vary around 0.6 and 70 eV, respectively.

It was not possible to fit the yields for PTFE with Eq.~5!.In contrast to the other dielectrics, the yield for PTFE dpends almost linearly on the ion energy, and an increasthe O2-flow ratio from 6% to 25% has a relatively sma

Page 11: High-density plasma patterning of low dielectric constant polymers

hioe

o-eetioim

iurregeerd

nce

ofes

ly,ch

tch

h ase/

eeing

herg-delect-hato-sedlts

ndely

e for

ver-Oitiond atess-

is-

i-nd

ac-rs

forthiseis-

t

445 Standaert et al. : High-density plasma patterning 445

impact on the etch yield. Clearly, PTFE is etched througdifferent mechanism which is more dependent on theenergy. A possible explanation for the different etching bhavior may be found in the relatively large amount of flurine that is incorporated in the PTFE. Comparison betwparylene-N and parylene-AF4 shows that the incorporaof small amounts of fluorine does not have a significantpact on the etch yield.

Further validation of the suggested reaction kineticsobtained by extending the blanket formalism to the featetching in PAE-2, for which the experimental results weshown in Sec. III F, Fig. 17. Because of the relatively larfeature sizes~.1 mm!, it is assumed the ion flux at the centof the feature bottom is the same as for a blanket film annot affected by charging or reflection from the sidewall. Othe other hand, the neutral flux can be significantly redu

FIG. 18. Etch yields of parylene-N~Pa-N!, parylene-AF4~Pa-AF4!, PAE-2,and PTFE as a function of the average ion energy for various O2 percentagesin Ar/O2 plasmas. The solid lines are fits according to Eq.~5!, the dashedlines are just a guide for the eye.

TABLE I. Ion and molecular oxygen fluxes in Ar/O2 plasmas maintained a40 sccm total gas flow, 4 mTorr pressure, and 600 W source power

O2 flow G I GO1 GO21 GO2

~%! (1017 cm-2 s-1) (1017 cm-2 s-1) (1017 cm-2 s-1) (1017 cm-2 s-1)

0 1.28 0.002 0.001 06.25 1.11a 0.028a 0.031a 0.69

12.5 0.94 0.053 0.061 1.3825.0 0.78 0.079 0.114 2.76

aInterpolated values.

JVST A - Vacuum, Surfaces, and Films

an-

nn-

se

is

d

at the feature bottom due to shadowing. The direct fluxoxygenGO2

f at the center of the feature bottom for trench

and via holes is given by41,42

GO2

f 55 GO2S 114L2

w2 D 21/2

, trench,

GO2S 114L2

w2 D 21

, hole,

~6!

whereL andw are the feature depth and width, respectiveand GO2

is the oxygen flux on a blanket surface. The etrate in features can now be calculated by replacingGO2

in

Eq. ~5! with GO2

f . Subsequently, this depth-dependent e

rate can be integrated over time to obtain the etched deptfunction of time. The sacrificial resist layer on the oxidPAE-2 stack erodes within the first 50 s of the Ar/O2 etch.Since it is hard to account for this rapid erosion, the timintegration of Eqs.~5! and~6! was started after 50 s using thmeasured depth as the boundary condition. The stickprobabilitySwas set at 1. The parametersa andE0 were setat the values as obtained from the blanket fits in Fig. 18. Ttime integration was performed for the smallest and the laest features. The solid lines in Fig. 17 show that the mopredictions are in good agreement with the observed aspratio-dependent etching for PAE-2. It should be noted tfor this integration no additional fitting parameter was intrduced. Furthermore, this result is independent of the uvalue for the sticking coefficient. Namely, similar resuwere obtained by using other combinations ofS, a, andE0

that fit the data in Fig. 17 well.

V. CONCLUSIONS

The etching of PTFE, parylene-N, parylene-AF4, aPAE-2 films has been characterized in an inductivcoupled plasma source employing Ar/O2 gas mixtures. It ispossible to capture the observed etch rate dependencparylene-N, parylene-AF4, and PAE-2 on O2 flow, ion flux,ion energy, and feature geometry by a simple surface coage model. Sidewall bowing and mask undercutting in Ar/2

discharges can be reduced or prevented by the redeposof oxygen-deficient and carbon-rich etch products releasethe feature bottom. Finally, it was demonstrated that succful pattern transfer is also possible in N2/O2 discharges. Theunderlying mechanism for sidewall passivation in these dcharges will be addressed in future work.

ACKNOWLEDGMENTS

This work was financially supported in part by the Semconductor Research Corporation and Air Products aChemicals, Inc. Air Products and Chemicals, Inc. is alsoknowledged for the supply of PAE-2 wafers. The authoacknowledge Leybold Inficon and Balzers Instrumentssupplying them with the mass spectrometers used inwork. M. A. Plano is acknowledged for providing thparylene-AF4 wafers. T. J. Dalton is thanked for helpful d

Page 12: High-density plasma patterning of low dielectric constant polymers

Min

.

.

ele

an

m

. J

a

. S

,

ac

er-

ae

EE

s

ec-

m.

in,

.

er.

ch-al

A.

446 Standaert et al. : High-density plasma patterning 446

cussions. M. Schaepkens, E. A. Joseph, and K. H. J.Robben are thanked for their assistance with the data tak

1T. E. F. M. Standaert, P. J. Matsuo, S. D. Allen, G. S. Oehrlein, and TDalton, J. Vac. Sci. Technol. A17, 741 ~1999!.

2P. J. Matsuo, T. E. F. M. Standaert, S. D. Allen, G. S. Oehrlein, and TDalton, J. Vac. Sci. Technol. B17, 1435~1999!.

3J. E. Heidenreich, J. R. Paraszcak, M. Moisan, and G. Sauve, Microtron. Eng.5, 363 ~1986!.

4O. Joubert, C. Martinet, J. Pelletier, M. Pons, J. M. Francou, J. P. Pbiere, A. Weill, S. Tedesco, F. Vinet, and T. Mourier, Proc. SPIE1803,130 ~1992!.

5M. Pons, J. Pelletier, and O. Joubert, J. Appl. Phys.75, 4709~1994!.6A. M. Goethals, F. van Roey, T. Sugihara, L. van den Hove, J. Vertomen, and W. Klippert, J. Vac. Sci. Technol. B16, 3322~1998!.

7T. E. F. M. Standaert, P. J. Matsuo, S. D. Allen, G. S. Oehrlein, TDalton, T.-M. Lu, and R. Gutmann, Mater. Res. Soc. Symp. Proc.511,265 ~1998!.

8M. R. Baklanov, S. Vanhaelemeersch, H. Bender, and K. Maex, J. VSci. Technol. B17, 372 ~1999!.

9E. Korczynski, Solid State Technol.42, 22 ~1999!.10W. F. Burgoyne, U. S. Patent Document No. 5,658,994~1997!.11C. T. Rosenmayer, U. S. Patent Document No. 5,889,104~1999!.12T. E. F. M. Standaert, M. Schaepkens, X. Li, P. J. Matsuo, and G

Oehrlein~unpublished!.13J. H. Keller, J. C. Forster, and M. S. Barnes, J. Vac. Sci. Technol. A11,

2487 ~1993!.14X. Li, M. Schaepkens, G. S. Oehrlein, R. E. Ellefson, and L. C. Frees

Vac. Sci. Technol.~submitted!.15M. F. Doeming, N. R. Rueger, G. S. Oehrlein, and J. M. Cook, J. V

Sci. Technol. B16, 1998~1998!.16Y. Wang, R. J. Van Brunt, and J. K. Olthoff, J. Appl. Phys.83, 703

~1998!.17C. Lee and M. A. Lieberman, J. Vac. Sci. Technol. A13, 368 ~1995!.18R. E. Walkup, K. L. Saenger, and G. S. Selwyn, J. Chem. Phys.84, 2668

~1986!.19M. van Kampen, Internal Report No. VDF/NT 97-33, Eindhoven Univ

sity of Technology~1997!.20M. Schaepkens, N. R. Rueger, J. J. Beulens, X. Li, T. E. F. M. Stand

J. Vac. Sci. Technol. A, Vol. 19, No. 2, Mar ÕApr 2001

.g.

J.

J.

c-

a-

-

.

c.

.

J.

.

rt,

P. J. Matsuo, and G. S. Oehrlein, J. Vac. Sci. Technol. A17, 3272~1999!.21G. Turban and M. Rapeaux, J. Electrochem. Soc.130, 2231~1983!.22H. Gokan and S. Esho, J. Electrochem. Soc.131, 1105~1984!.23CH. Steinbruchel, B. J. Curtis, H. W. Lehmann, and R. Widmer, IE

Trans. Plasma Sci.PS-14, 137 ~1986!.24J. A. G. Baggerman, R. J. Visser, and E. J. H. Collart, J. Appl. Phys.75,

758 ~1994!.25E. J. H. Collart, J. A. G. Baggerman, and R. J. Visser, J. Appl. Phys.78,

47 ~1995!.26J. M. Cook and B. W. Benson, J. Electrochem. Soc.130, 2459~1983!.27G. S. Selwyn, J. Appl. Phys.60, 2771~1986!.28I. Tepermeister and H. Sawin, J. Vac. Sci. Technol. A9, 790 ~1991!.29G. Beamson and D. Briggs,High Resolution XPS of Organic Polymer

~Wiley, New York, 1992!, p. 234.30H. Namatsu, Y. Ozaki, and K. Hirata, J. Electrochem. Soc.130, 523

~1983!.31J. C. Arnold and H. H. Sawin, J. Appl. Phys.70, 5314~1991!.32M. Schaepkens and G. S. Oehrlein, Appl. Phys. Lett.72, 1293~1998!.33S. Van Nguyen, D. Dobuzinski, S. R. Stiffler, and G. Chrisman, J. El

trochem. Soc.138, 1112~1991!.34T. J. Dalton, J. C. Arnold, H. H. Sawin, and D. Corliss, J. Electroche

Soc.140, 2395~1993!.35A. C. Westerheim, A. H. Labun, J. H. Dablash, J. C. Arnold, H. H. Saw

and V. Yu-Wang, J. Vac. Sci. Technol. A13, 853 ~1995!.36K. H. A. Bogart, F. P. Klemens, M. V. Malyshev, J. I. Colonell, V. M

Donnelly, J. T. C. Lee, and J. M. Lane, J. Vac. Sci. Technol. A18, 197~2000!.

37W. E. Vanderlinde and A. L. Ruoff, J. Vac. Sci. Technol. B6, 1621~1988!.

38O. Joubert, J. Pelletier, and Y. Arnal, J. Appl. Phys.65, 5096~1989!.39T. A. Blanchet, inHandbook of Thermoplastics, edited by O. Olabisi

~Marcel Dekker, New York, 1997!, p. 981.40P. K. Wu, G.-R. Yang, J. F. McDonald, and T.-M. Lu, J. Electron. Mat

24, 53 ~1995!.41R. A. Gottscho, C. W. Jurgensen, and D. J. Vitkavage, J. Vac. Sci. Te

nol. B 10, 2133~1992!; note that this reference contains a typographicerror in Eqs.~7! and ~8! which is rectified in Ref. 42.

42A. D. Bailey III, M. C. M. van de Sanden, J. A. Gregus, and R.Gottscho, J. Vac. Sci. Technol. B13, 92 ~1995!.